You are on page 1of 364

:

www.dodeca.ru

.
(^ /

EMBEDDED TECHNOLOGY
SERI ES

Analog Interfacing
to Embedded
Microprocessors
by Stuart R. Ball

(fif) Newnes

An imprint of Elsevier Science

-1
2007

004.32
32.973
79
.
79
. .:
-1, 2007. 360 .: . (
).
15 978-5-94120-142-6


, .
, 12, 51/\, , 115-232/485/422,
4-20 . :
, , , , . .
, , , , , . ,

(, ).
,
.
, ,
,
.
004.32
32.973

.
, , ,
,
.
.
Elsevier Inc., 200 Wheeler Road, 6th Floor, Burlington, MAO 1803, USA.

ISBN 978-5-94120-142-6 (pyc.)


ISBN 0-7506-7723-6 (.)

Elsevier Science (USA), 2004


-XXI, 2007

.................................................................................................................... 12
........................................................................................................................................ 13
.............................................................................................................................................. 14
1. ..................................................................................................... 15
1.1. ............................................................................................................ 15
1.2. ........................................................................................... 16
1.3. .................................................................................................................................... 16
1.4. ............................................................................................. 19
1.5. .......................................................................................... 20
1.5.1. .................................................................................................................... 21
1.5.2. .................................................................................................................... 21
1.5.3. ............................................................................21
1.5.4. ...........................................................................................22
1.5.5. ..................................................................... 22
1.5.6. .................................................................22
1.6. .............................................................................................................. 22
1.6.1. ............................................................................................................................. 23
1.6.2. ............................................................................23
1.7. .............................................................................................24
1.7.1. ........................................................................................ 24
1.7.2. ......................................................................................................24
1.7.3. ............................................................................................................24
1.7.4. ............................................................................................... 25
1.7.5. .................................................................................... 27
1.7.6. .................................................................................................................... 27
1.8. .................................................................................... 27
2. - ...................................................................30
2.1. ........................................................................................................................... 30
2.2. ............................................................................................................................. 32
2.2.1. ................................................................................................... 33
2.2.2. .............................................................................................................. 33

6
2.2.3. ..................................................................................................................... 33
2.3. .................................................................................................................................... 34
2.3.1. .............................................................................................................. 34
2.3.2. ...................................................................................................... 36
2.3.3. ................................................................... 37
2.3.4. ................................................................................ 38
2.3.5. - ........................................................................................................40
2.3.6. ............................................................................................................ 43
2.4. ...........................................................44
2.5. -............................................................................................... 45
2.6. .............................................................................................................. 48
2.6.1. ............................................................................................................ 48
2.6.2. ...................................................... 49
2.6.3. .............................. 49
2.6.4. ............................................................................................................ 49
2.7. ................................................................................................. 49
2.7.1. .................................................................................. 49
2.7.2. ...........................................................................................50
2.7.3. ................................................................................................................ 52
2.7.4. BUSY..................................................................................................................... 54
2.7.5. ...................................................................................... 54
2.7.6. .............................................................55
2.7.7. ........................................................................ 55
2.7.8. ............................................................... 56
2.8. .......................................................................................................... 56
2.9. ............................................................................................. 58
2.9.1. SPI/Microwire........................58
2.9.2. 12 ......................................................................................................................... 61
2.9.3. SM Bus................................................................................................................... 63
2.9.4. .....................................64
2.10. ............................................................................................................ 65
2.11. ................................................................................ 65
2.12. .......................................................................................................................................... 67
2.13. ................................................................................................................................67
2.14. ..................................................68
2.15. ...........................................................................................70
3. ............................................................................................................................. 71
3.1. ........................................................................................................... 71
3.1.1. ............................................................................................................ 71
3.1.2. ........................................................................................................75
3.1.3. ........................................................ 79

7
3.1.4. ..........................................................................81
3.1.5. ...........................................................................................................................81
3.1.6. ..........................................................84
3.2. ...................................................................................................................... 85
3.2.1. ................................................................................................................85
3.2.2. ...................................................................................................85
3.2.3. -......................................................................... 88
3.2.4. ......................................................................................90
3.2.5. ............................................................................................... 91
3.2.6. ......................................................................... 92
3.2.7. ................................................................ 94
3.2.8. ..................................................................................96
3.3. ....................................................................................................... 99
3.3.1. ......................................................................................................... 99
3.3.2. ......................................................................................... 101
3.3.3. -.............................................................................................................. 101
3.3.4. ................................................................................................. 103
3.3.5. ................................................................................................... 104
3.3.6. .................................................................................... 106
3.3.7. -............................................................. 106
3.3.8. ............................................................................................................ 107
3.3.9. ..................................................................... 107
3.3.10. ..................................................................................................... 108
3.3.11. .............................................................................................................. 110
3.3.12. ..................................................................................................................... 110
3.4. ..................................................................................................... 110
3.4.1. .............................................................................................................. 110
3.4.2. ............................................. 113
3.4.3. ..................................... 114
3.5. /........................................... 116
3.6. /............................................................................ 117
3.7. .......................................................................................................................................... 119
4. ..................................................................... 121
4.1.
4.2.
4.3.
4.4.

......................................................................................................................... 121
........................................................................................... 125
....................................................................................................................... 127
-.............................................................................. 129
4.4.1. ........................................................................................................ 130
4.4.2. .................................................................................................................. 131
4.5. ................................................................................................. 131
4.6. .................................................. 133

8
5. ...................................................................................................... 139
5.1.
5.2.
5.3.
5.4.
5.5.
5.6.

........................................................
.............................................................................................
.......................................................................
.............................................................................................
........................................................................................................
..........................................................................

139
139
140
141
144
144

5.7. -- ............................ 148


5.7.1. ..................... 151
5.7.2. .............................. 153
5.7.3. - ........................................................................................ 155
5.7.4. -........................................... 156
5.7.5. ................................................................................................................... 157
5.7.6. ...................................................................................... 159
5.7.7. ................................................................................................... 159
5.7.8. ...................................................................................... 160
5.7.9. ........................................................................................ 161
5.8. .......................................................................................................... 161
5.8.1. ........................ 163
5.8.2. ................................................................................................... 165
5.8.3. ...................................................................................... 167
5.9. ................................................................................................. 168
5.10. .................................................... 169
5.10.1. / ........................................... 170
5.10.2. ....................................................................... 171
5.10.3. ................................................................. 173
5.11. -................................................................... 173
5.11.1. -....................................................................... 173
5.11.2. .................................................. 174
5.11.3. .................................................................................. 174
5.11.4.
............................................................................................175
5.11.5.
................................................................................. 176
5.11.6. ................................................................................ 177
5.12. ......................................................................................... 178
6. , ............................... 179
6.1. ..................................................................................................................................
6.1.1. ..................................................................................................................................
6.1.2. ................................................................................
6.1.3. / ....................................................................................
6.2. ......................................................................................................

179
179
180
182
185

9
6.2.1. .....................................................................................................
6.2.2. ..............................................................................................................
6.2.3. .........................................
6.3. .................................................................................................................................
6.3.1. ...................................................................................................
6.3.2. ................................................................................................................
6.4. ..................................................................................................................................
6.4.1. ..................................................
6.4.2. .....................................
6.5. ..............................................................................................................................................

186
187
188
191
191
191
193
195
196
198

6.6. ................................................................................................... 199


6.7. .....................................................................................................................202
6.7.1. .........................................................................................204
6.7.2. ............................................................................204
6.7.3. ..........................................................................................................205
7. .......................................................................................................................206
7.1. .................................................................................................................. 206
7.1.1. ........................................... 208
7.1.2. .........................................................................................................................210
7.1.3. ..............................................................................211
7.1.4. ..........................................................................214
7.1.5. ............................................................................215
7.1.6. ................................................................................................................ 216
7.1.7. .............................................................................................................. 220
7.1.8. ...................................................................................... 221
7.1.9. ....................................................................... 222
7.1.10. ............................................................................224
7.1.11. ............................................................................................... 225
7.2. ................................................................................................. 226
7.2.1. ........................................................ 227
7.2.2. ..................................................230
7.2.3. .......................................................................................................................234
7.2.4. ................................................237
7.2.5. ............................................................................242
7.3. ............................................. 244
7.4. .....................................246
7.5. .............................................................................................248
7.5.1. ....................................... 248
7.5.2. ..................................................248
7.5.3. ..............................................................................248
7.6. ....................................................................... 249

10
8. .................................................................................... 254
8.1. ...........................................................................................................................
8.1.1. ................................................................................................................
8.1.2. .......................................................................
8.2. ...................................................................................................
8.2.1. ....................................................
8.2.2. ...............................................................

254
258
259
260
260
261

9. .................................................................................... 264
9.1.
9.2.
9.3.
9.4.
9.5.
9.6.
9.7.
9.8.
9.9.

.........................................................................................................................
...........................................................................................
..........................................................................................................
...................................................................................................
....................................................
........................................................................................
.......................................................................
...................................................................................................................
.........................................................................................................................
9.9.1. ................................................................................
9.9.2. ......................................................................................................
9.10. .............................................................................................

264
266
267
268
269
270
272
273
275
275
277
278

9.11. ................................................................. 280


9.12. ........................................................................................................................................ 280
10. ........................................................................................ 281
10.1. 1 1451.2 ........................................................................................................ 281
10.1.1. ...................................................................................... 281
10.1.2. ............................................................................................... 282
10.1.3. ........................................... 282
10.2. 4-20 ................. 283
10.3. 15................................................................................................................. 283
11. ........................................................................................286
11.1. ................................................ 286
11.2. ................................................................................................................. 288
11.3. ..............................................................................289
11.4. ............................................................................ 291
11.5. ............................................................................ 293
11.6. ..................................................................... 294
11.6.1. ................................................................. 296
11.6.2. ........................................................................................ 296
11.6.3. ........................................................................................... 297
11.7. -................................................................................................................ 297

11
11.7.1. -....................................................................... 297
11.7.2. - ..................................................................... 299
11.8. .....................................301
11.9. ............................................................................................... 302
11.9.1. ............................................................................................... 305
11.9.2. ............................................................................305
11.9.3. -................................................................................................. 309
11.9.4. - ..................................................313
. ................................................................................314
. - .................................................................326
. ......................................................................... 339
. Python 11. Excel 4 ....................340
...........................................................................................................................345
.................................................................................................................. 348


ISR (Interrupt Service R outine) .
NTC (Negative Temperature Coefficient)
.
- .
.
(reference).
.
( ).
-.
(C urrent Transfer Ratio, CTR).
MK .
--.
.
(Random Access Memory, RAM).
OK .
OOC .
.
.
.
(Read Only Memory, ROM).
--.
-.
.
.
.
-.
.
- .
.
- .
.
.
.


,
.
,
.
.

,
,
.
, ,
, .

.
.


,
, .
,
. ,
,
, ,
...
.
, , ,
, .
, .
,
?


.
.


().
, . ,

.
,
,
. ,
- "
.


.
, .
, , ,
( ) , .
,
.

1.1.
,
.
,
. , ,
,
. ,
(
, 2).
(),
. ,
.
, .
,
.
, ,
... 1, 100
. 8- -
() 28,
256, , 8--

16 1.

.
0.1, 100/0.1 , . .
1000 , , , 10- (
210, 1024 ).

1.2.
, ,
(, ,
, . .),
. , ...
: 0...5
0... 1 . .
0...5 1
19.5 , 5 /256,
0 . 4.8 (5 /1024). 0...1
3.9 976 .
() . . (
.)
,
. ,

, .

1.3.
, ,
. ,
,
.
, ,
. 10
9900... 10100 ,
1%, 9990...10010 , 0.1%.
, .
, , .
9, ,
.

.... 1

1.3. > 1 7
. , 0.1
,
.
,
.

. , ,
, ? ,
, , ?
, ?
?
, ?

.
,

.
, , ,

. ?
. ,
X ,
Y.
,
/ .
.
,

.
,
,
. . 1.1
. . 1.1
.
,
( Electrically
Erasable Programmable Read-Only Memory, EEPROM) -
(flash memory).
:

18 1.

. 1.1.


,
. ,
, ,

.

.
,
,
, ,
.
. 1.16
, ,
, .
,
: 12 (
. 2).
:

,
.
.

1.4. 19

.
, , . 1.1
.
( ),
.
:

.

.
,

,
.

, ,
(, ),
.

.
, ,
3.66 (12 )
ENTER ?
,

?
? ,
.

1.4.

.
-.
1024 ^ . ,
150 200 .
8- -
!) ( . picture element pixel) . (. . .)

20 1.

( ).
, , 150x1024x200 30 720 000 /.

- VME (Versa Module Europe bus)
.
, , , 1 .
,
VME. VME
(bandwidth) 40 /,
.
:

, : 30.72 /.
: 30.72 /.
: 30.72 /.
:
30.72 /.
: 3.84 /.
, 126.72 /,

VME.

, (Digital Signal Processing, DSP)
32-
PCI (Peripheral
Component Interconnect), PCI
.
, ,
,
.
, Ethernet FireWire,
, .

1.5.

(Processor Throughput).
,

.

1.5. 21
.
,
.

1.5.1.
,

(Interrupt Service Routine, ISR)?
?
, ?
:

,
.

1.5.2.
?
?
,
? , 12,
,
, , .
,
.
12 2.

1.5.3.
,
, (Direct Memory Access, DMA),
, ,
. ,
,
(block-move ), ,
. , ,
,
, .


.

22 1.

1.5.4.

(, ) (,
) .
,
.
,
, ,
.

1.5.5.

.
?
,
?
?
(
)?

1.5.6.
-
, C ++, ,
?
? 100
1 , ,
?

1.6.
,
?

, ,
, ... ,
.

1.6. 23

1.6.1.
/104
PC,
. /104
,

ISA

,
5 /. ()


/104+.
PCI (133 /).
, , , ,
/104 , /104+.
, /104
.
( ) /
/104, /104
. ,
,
/104+. ,
,
PC, Compact PC . . ,
.

1.6.2.

() ,
. ,
, ,
. ,
, .

30 ...1 . , 6 ,

,
. .
, 33-
. , ,

24 1.

,

. 6- 3- 2 , .

, .

, .

1.7.
1.7.1.
,
. ,
.
;
, .
,
,
.
, ,
. ,
.

1.7.2.
? ,
,
.
,
. -
! - 1?
,
.

1.7.3.
1 .

, ,

1.7. 25
. ,
\Vindows . , ,

-
. , ,
,
.
,
- ,
, ?

, .
- ,

.

1.7.4.
,
? , 8-
. , ,
486- .
?

. , ,
,
, .
.
, , ,
20
(. 1.2). ,
, ,

.
20-
3.3 , 1000 ,
666 , 5000 ./.
(
) 1 ,
1000 ./ 3300 ,
5000 ./ 666 .

26 1.

20

,

---------------------------- ^



20

. 1.2.

,
5 , . 1.2.
(
5) :

~
5

= ----- --------- N ,
20 /
.
, 1000 ./ 5 825
, 5000 ./ 166 .

-
.
,
.
,
,
. , 20-
32 , 0.625.
. 5 5/0.625 8 .
:
= ---------- N .
32 /

1. 8. 27
, (825
1000 ./ 166 5000 ./ ), 32
,
. ,
, ,
: .
8-
. ,
,
. ,
.

1.7.5.
12-
, , , 16- 8. 16- 8-
,
. ,
,
() .
32- ,
16- 32-
.

1.7.6.
, -
. ,
. ,
?
?
.

1.8.
. 1.3
.
,

28 1.

. (aliasing)
,
.
. 1.3
. ,
.

. 1.3.

, ,
. ,
, ,
. ,
(),
, 1),
,
. (. . .)

1.8. 29
.
.
,
.
( ), 0.25, 0.5, 1.0 . .
. , 4- 16
, 0000 1111.
0.25 0000 0 ,
1111 ( 15) 0.25 15 = 3.75 .
, - ,
:
1. .
2. .
3. , . . .
4- 0000 1111.
, ,
,
- .
. 1.3.
,
,
:

.
.

.
: ,
, ?
, , ,

, .
, 2
,
.
.

,
.

2.1.
(ADC),
(DAC) . . 2.1
. R/2R
. ;
10 , 100 . . S0...S2
2R
, VREF.
, S2 ON ( VREF), a SO S1
OFF ( ).
-
(V0) 0.5 VREF.
Vq , :
S2

SI

SO

V0

OFF

OFF

OFF

OFF

OFF

ON

0.125-Vref(1/8-Vref)

OFF

ON

OFF

0.25-Vref (2/8-Vref)

OFF

ON

ON

0.375-Vref(3/8-Vref)

ON

OFF

OFF

0-5'Vref (4/8-Vref)

ON

OFF

ON

0.625-Vref(5/8-Vref)

ON

ON

OFF

0-75-Vref(6/8-Vref)

ON

ON

ON

0.875-Vref(7/8-Vref)

(-) ,
,
( ON = 1 (), O FF = 0 ()):

2.1. 31

...52

52

82

(33

, \/011= 0.5\/

!
, ,
.
/8 . , ,
50...52,
/8. 3- 8 ,
/8.
11/21*. ,
/16

32 2. -

. 256 /256
. ,
.

2.2.

.
, , ,
(. 2.2). . 2.26
. :
, VREF (reference), .
8 ,
.
.

, Vref

1.0 Vref
X

CL

| 0.6 Vref

<D
X 0.4 Vref
5

32

64

96 128 160 192 224 255

( )

a)


(8 )

)
. 2.2.

2.2. 33

2.2.1.
, VREF ,
.
0 VREF.
256 . :

- - =
= 0-0195 = 19.5 .
ZJO
2
. .

2.2.2.
8-
. ( 7) ,
, VREF/2 (2.5 VREF = 5 ).
( 6 0,
least significant bit, LSB) ,
:

[]

2.5

1.25

0.625

0.3125

0.156

0.078

0.039

0.0195

0010 1100 :

[]

2.5

1.25

0.625

0.3125

0.156

0.078

0.039

0.0195

, ,
:
0.625 + 0.156 + 0.078 = 0.859 .

2.2.3.
.
,
/.
, ,
,
.

34 2. -

, 8- = 5 ,
0.0195 (19.5 ). ,
19.5 0. 19.5
39 1 . 39 58.6 2 . .
. 5
2.5 2.5/256 9.7 .
5, 2.5 .

. 10 5 210, 1024,
. 5 /1024 4.88 .

2.3.
,
,
. .

2.3.1.
, ,
, .

( + /). ,
, ,
( .2.3)
(+), , ().
. = 5 .
,
0...5 . 1,
= 2.5 . 1, 1.25 ,
3.75 .
, .
, :

[]

2.5

1.25

0.625

0.3125

0.156

0.078

0.039

0.0195

. 2.3 ,
= 0.37 . ,
. -

2.3. 35
,
- , ,
, .
, , .

11

0.372

___
+!

12

0.352
,

0.37 0...5 .
0,
0 .
,
,

,


.

3.125 3.00
3.75 I 3.04

, t

3
0...5 .

7, 2.5
,
,
.
6, 3.75 ,

, 6 ,
5.
,
8 .

. 2.3.

36 2. -

-. 256 8- ,
1024 10- . . ,
VBX.
0.18 ,
VBX = 0.37 .

.
,

.
,
.
.
; ,
, Analog Devices, Maxim,
Burr-Brown ( ),
. ,
.
, . ,
,

, . ,
, , ,
.

2.3.2.
(. 2.36)
.
.
.
. , ,

.
1
, .
,
m -
, 0, 1.
2m 1,

2.3. 37
. 3- 7 ,
4- 15 . .
, , 6 12 . ,
63 .

(
).
.
.

. 12 ,
.
(
) .

. , , 10-
0.5 .
. 8-12-
.

2.3.3.
(. 2.3)
, / ,
,
. ,
,
. ,
3 , 5 (. 2.3).
:
, 2.5
- , .
: 1000 0000
6, 3.75 (2.5 + 1.25)
- , 6.
: 1000 0000
5, 3.12 5 3 {2.5 + 0.625)
- , 5.
: 1000 0000
4, 2.8125 (2.5 + 0.3125)
- , 4 .
: 1001 0000
3, 2.968 (2.8125 + 0.15625)

38 2. -
- , 3 .
: 1001 1000
2, 3.04 (2.968 + 0.078125)
- , 2.
: 1001 1000
1, 3.007 (2.8125 + 0.039)
- , 1.
: 1001 1000
0, 2.988 (2.8125 + 0.0195)
- , 0 .
: 1001 1001

8- 0...5 ,

2.5 + 0.3125 + 0.15625 + 0.0195 = 2.988 .
3 , , 8 5 .
8-
8 , .
, ,
.

2.3.4.
(. 2.4) ,
.
,
.
,
(1 . 2.4),
.
, 1,
,
.
(2 . 2.4),
,
, .


, 2,
.
, ,
, .

2.3. 39

> ------

. 2.4.

,
, ,
(
).
.
,

() . ,
(
)
.

,
.

40 2. -

2.3.5. -
, - ,
, (oversampling),
- . . 2.5
3 , 0.2 .
, .
.
:
(3.05 + 3.1 + 2.9 + 2.95)/4 = 3 .
, ,
.
4 , ,
4 .
10 , 10
. ,
.


.
. 2.6 , - .
,
- .
1- .
+ V. ,
. 2.6, +V 0.5 , V 0.5 .
,

. ,
0.3 ,
-0 .8 ( -0 .5 ), 0.2 ( 0.5 ).
,
. , -
,
,
,
. , ,
0 .
. 2.6,
, 0.3 ,

2.3. 41

. 2.5.

20%, 80%.
0.5...0.5 .
.
(. 2.6) 20%/(20% + 80%) = 20% = 0.2.
.
- .
. 2.6 0.5...0.5 ,
1 . 1 2 .
-
. ,
1 ,
. , .
,
, .
, ,
.

. ,
--

42 2. -

. 2.6. -

.
( )
, . -
. ,
1 , ,
+ . .

2.3. 43
-
. ,
. -
, . ,
, , -
.
-
,
. -


.

2.3.6.
. 2.7 .
8- 32
256. 4- (16 )
.
4-
.
,
4-
.

4-
(16 )


(4 )


(4 )

. 2.7.

44 2. -

,
0...5 = 3.1 (. 2.7),
:
( . 2.7)
......................
............................................... 9
9 16 19.53 = 2.8125

. . . 3.1 2.8125 = 0.2875
( . 2.7)
...............
................... 1

9, 158!
3 ; 12-
, , 3 ,
4 . 4
, 4-
.
, 4- .
, ,
, , .

2.4.

. 2.8
: , -,
. ,
(12...24 ) - ,
(12...20 ) . . (. 2.86)
. ,
-
, ,
.
. ,

8... 16 , 16-
. (
) 12- , 6-
8-.

. --

2.5. - 45

16

24

[]

~ I ----------- 1--------------------------1--------1---------------- 1---------------- 1---------------- 11

10 100 1 10

1 100

. [] ( )

. 2.8.

,
.
-
,
, ,
, , , .

2.5. -

. ,
? . 2.9 ,
. ,
, 2.3 .
117(1 75.

46 2. -

( ),
2.5 .
2.5 , 6
. ,
12710 (716).
2.8 , 14310 (8]).

,
5-

2.3
( 117d = 75h)

2.8
( 143d - 8Fh)

4-

3
9 17,

21

2.34375

0111 5111 1000\

1.25
0100 0000

1.875
0110 0000

2.4218

2.4804
\
01111111

2 4fi0Q

01111110

0111 1100

I-------1-------1------- I------- 1------I


. 2.9. ,

(12710)
2.48 . (2.3 )
(2.8 ).
.
,
.
-,
,
.

- (sample-and-hold circuit, S/H )
. . 2.10 , - ().
.
(), ,
,
. , ,
.

2.5. - 47
-
, .
,

,
. , ,
,

.


--------- 1
/

>

-1

1 )

. 2.10. -


,
( )
( ).

(droop rate) /, / /.
,
. ,
.
, .
, ,

48 2. -

,
(acquisition time) .
,
-,
.
(full power bandwidth)
.
, ,
.


(feedthrough) .
(output offset)
.

.

2.6.

, .

2.6.1.

0...5 .
,
. AD570 Analog Devices
10 .
, 5...5 ,
0...10 . ,

.
2.5 3 .

,

. ,
5 0...5 .
3.3
2.7 0...VS.

2.7. 49

2.6.2.

().
V ref = 2.5 AD872 Analog Devices.

.
. ,
.
,
,
.

2.6.3.


,
.
,
. ,
, VREF
0.1 .

2.6.4.
, , , 191 MAXIM/Dallas,
.

.

2.7.
2.7.1.
,
,
, ,
,
.
.
,

50 2. -

,
( ) .


.
.
- (Binary Coded Decimal, BCD).
,
. ,
; 12-
0 4095, - 12-
(0...3999). (BCD)
.

2.7.2.


.

.
151 MAXIM/Dallas 10 8-
. . 2.11,
151 8 ,
: CS (chip select) (), RD (read strobe)
- , BUSY . 151
- ().
RD CS
, -.
CS
RD.
,
BUSY () . BUSY
, .
, MAXIM
(Slow Memory Mode), ,
RD CS
. BUSY
RD () WAIT () . -

2.7.

CS
RD
BUSY

N-1

DATA

N
__
RD


BUSY



,
BUSY


CS
RD

1__

BUSY

<Z>

DATA

N
__
RD

t


,


BUSY

. 2.11. 151 MAXIM

52 2. -

, .
151 2.5 .
(ROM mode).
,
, .
.
BUSY . ,
BUSY
(polling)
.
BUSY ,
,
. (data sheets)
,
:
RD CS
.
,
.
RD CS
, .
,
; RD CS
,
.
151
.

151 .

2.7.3.
151 180
(. 2.12). ,
, 180 -~
RD (, CS ,
RD ).
,
RD. 151
, -

2.7. 53

'----------

+------

,




( )


151

CS ]
__ >
RD

RD
CS

CS
RD

151

^ZZ>-

. 2.12.
151

54 2. -

. , (,


) , , ,
151 ,
.

2.7.4. BUSY
BUSY 151
200 RD.
,
.
, RD WAIT
,
. ,
,
RD. : ,
BUSY .
, 8088,
(wait-state generator)
.
80188 ,
, .
. 2.12,
, BUSY
,
.
, 50 .
, (wait request)

BUSY
.

2.7.5.
151
(bus relinquish) 100 . , 151
100 , RD
.
-

2.7. 55
151, .
80186,
. ,
, .
151 ,
.
151 .
151,
. , RD
CS , ,
. 151 ,
,
( 0, 1) ,
.
, ,
.
, 151
. 80960,
.

2.7.6.
151 ,
, .
,
,
.
,

.
RD 300
(ROM mode). RD
- .

2.7.7.
151
, .
150 . ,
. ,

56 2. -

, .
150 .
, 151
500 ,
50 .
1 , 1.5 .
BUSY RD
151.

2.7.8.
,
, ,
.
, . ,
1 LSB (
). , 10- 4
, 1 8 /1024 7.8 .
,
9. ,
. ,
( ,
. .)
.

2.8.
151 , ,
, 80960,
. 2.13. 80960
RD.
,
, RD.

.
. 2.13
.
, .
,
.

2.8. 57
151. , 151
,
( )
( , ).
,
,
, .
,
.
. RD,
,
.

(ROM m ode). (Slow Memory mode)
2.5 ,
151, 82 80960 33 .

S
,
!

V
X

<z>

151

151







151
151


. 2.13.

58 2. -

2.9.

.
-
, ,
.

.
,
.

2.9.1.
SPI/Microwire
SPI/Microwire
,
.
2 , ,
,
SPI (, , , . .).
SPI
(clock), () (chip select),
(data input) (data output),
.
(. 2.14). , SPI
CS, .
-. ,
, 8-
.
.
( )
,
CS. 8 ,
16-

.
.
1242 MAXIM/DaWas
SPI. 1242 10-
8- . . 2.15 -

2.9. 59

SPI/Microwire

(CLK)

(DATA)

(CS)

. 2.14. SPI

1242.
CS ,
7.5 . CS , 1242
(data output) 0.
(data output)
.
,
(clock line) D OUT (data
output) 1242. , 10 ,
1242 (sub-bits) SI SO.
13 0,
DOUT (data output).
. 2.15 , 1242
SPI/M icrowire .
SCLK 1242 SCK SPI
, D OUT 1242 SPI
.
CS 1242. , CS
CS ,
. , SPI
,
, .
, SPI-,
.
, 1242
() SPI- .

. , ,
SPI-. -

60 2. -

1242

,




1242

. 2.15. 1242

SPI-
() .
, , ,
- .
. 2.15. D OU T
1242 IN TR ()
. CS , ,
DOUT , .

-.
SPI HCAD7823
Analog Devices. 1242, AD7823
3 : SCLK (), D OU T (
) CONVST (),
CS 1242. AD7823 8-

2.9. 61
.
5.5 .

.
1242, 07823
, ,
1
.
, ,
. ,
- , , ,
.
.
, .

2.9.2. 12
12 2 :
()
(). 8
. ( 8
) ()
(), : .

, ,
.
8 ,
. ,

.
,
,
-. ,
, -. . 2.16
I .
-,
7 , ,
, / (0 , 1 ). 7-
12- 27, 128,
: 400 .

62 2. -

12

8(_

!.

____

_____

\ _____

J ------------

__/------ V

B/W

..
7-
,

DATA
8

.. ...
1

. 2.16. 12
/ (/\0
: /
()
, 0. (1)
()
, . , 7 ,
,
/ , ,
( )

, ,
/.
,
, .
.
, .
8 ,
8-
. .

2.9. 63

.
/ (data/acknowledge)
, .
.
, 12 .
100 . /
100 /.
12, (Fast), 400 /.
(High-speed)
12 3.4 /. Fast high-speed
10- ,
1024 . high-speed Fast-
12,

. ,
.
,
.
, 12, PCF8591
Philips. , .
12-, PCF8591 : , 1 2.

.
, PCF8591 1001,
2, 1 .
PCF8591 12-.

2.9.3. SMBus
SMBus 12, Intel
1995 . 12
.
SMBus , ,
.
SMBus , ,
( ) (3...5 )
. SMBus 12
, SMBus
, - '
, .

64 2. -

2.9.4.
.
1101 MAXIM/Dallas. 8 ,
(). 1101
4 : M ODE ( ), LOAD (), DATA (
) SCLK (). M O DE ,
(1 , 0 ). DATA
, SCLK ,
, LOAD

. 1101
SPI, , (chip
select),
. 1101
.

,
/. 8031,
, ,
.

.
,
.
.
12 , , 20 , 12 SPI-.

SPI/Microwire, 12.

.
100000 / 8
SPI-, ,
1/(100000x8), 1.25 , .
-
,
SPI.
1,
-., .

2.10. 65
SPI , ,
,
. ,
.

2.10.
.

AD7824 Analog Devices. AD7824 8-
8- .
AD7824 151,
(0...2) .
151, AD7824 ,
,
, .
, ,
,
. AD7824 ,
.

2.11.
.
11677 Microchip AT90S4434 Atmel.

,
, .
11677 8-
.
4 8 .

. . , .
.
Microchip ,
(VREF). . ,
:
= (V 1N / V REF) 256.

66 2. -

Microchip
VREF ,
.
5 5 , .
3.2
:
* 256

3.2 256
=

--------- 1 6 3 ' 0 - 3 -


, Vs. 1% 5.05 ,
-
3.2 256 _ 1/ _
5.05
- 162,0 - 2 16.
, Vs ,
.
2 3 ,
.
Vs: ,
. .
,
. 1242
MAXIM .
O...VREF.
VREF 2.5 , 2.47 2.53 .
, 2
:


2 1024
0~
VREF = 2.47 , =
= 829 = 2.53 , = ^ 2* 53^ " = 80910. 1024 , 10- .
, 20
.
.

2.12. 67

2.12.
(codec)
compressor/decompressor coder/decoder. ,
2 :
- ,
^.
LM4546 National Semiconductor
,
18- .

(3D).
LM4546 .
3054 National Semiconductor ,
, , . 3054
.

2.13.
151 3.3 ,
, 303000 .
33 , ,
110 .

. ,
4 ,
.

. ,
,
( ,
). , ,
. ,

. , ,
80186 386.


. (. . .)

68 2. -

2.14.

I/O , .

, , 8- Atmel
ATiny , 20- 28- Atmel AVR Microchip PIC .

. . 2.17 ,
.
2.5 .
, VREF
5
.
2.5-
( ), , 2.5
128d = 80h 8- .
(. 2.17).
3
2 .
, ,
, ,
.
,
. ,

.
,
.
, , ,

2.5 , .
. 2.176 ,
. ,
5 . S1 , a S2 , 3.9 .
S2 , a S1 , 3.4 , , ,
, 2.9 .
,
.

2.14. 69

+5

+5

+5
2.2
VI

1
2

8.2

1 , 2 , VI = 5
1 , 2 , VI = 3.9
1 , !32 , VI = 3.4
1 , 2 , VI = 2.9
( )

4.7

. 2.17.

. 2.17 ,
.

.
1,
0. ,
, ,

70 2. -


3 . . 2.17, ,

,
.

2.15.
,
.
: ,
.
SPI , ,
, ,
,
SPI .
,
, ,
, .
,
.
(bypass capacitor) VREF ,
.
, ,
.

, ,
.
,
.

3.1.
,
. ,
, .

: , ,
,
.. , ,
...
.

3.1.1.
,
.
(negative
temperature coefficient, NTC),
.
, ,

(positive temperature coefficient, ),
.
,
(
).
.

72 3.


. ,
.
10 100 ,
.
,
25.
- . 3.1.
3.1.
NTC
[]

R/R25

[]

R/R25

-50

39.03

30

0.8276

-40

21.47

40

0.6406

-30

12.28

50

0.5758

-20

7.28

60

0.4086

-10

4.46

70

0.2954

2.81

80

0.2172

10

1.82

90

0.1622

20

1.21

100

0.1229

25

110

0.09446

Dale,
(N TC -).
R /R 25.
25 (R 25)
28.1 (10 2.81 = 28.1 ) 0
4.086 (10 0.4086 = 4.086 ) 60.
R 25== 5 25,
14.050 (5 2.81 = 14.050 ) 0.
. 3.1
, . 3.1. ,'

, . 3.1.
10,
5 1.

3.1. 73

.
:

, , , ,
.
.

. , 1 10%.

.

.
0.2. . 3.2
.
.

-2 0

-10

10

20

30

40

50

60

70

80

90

100

[]

. 3.1.

74 3.

. 3.2.

VREF
2.5 .
,
.
,
VREF.
,
. .
R1 ,

, ,
.
, ,
, (
), (dissipation constant, DC), .
, 1
. R1, , . ,
5, ,
, 0.1.
:
= ,
R , .
, 2 /,
0.5,
:
2 / 0.5 = 1 .

3.1. 75
, ,
, , , ,
0.5 . ,
. ,
R 25 10 ,
0 25. 25
10 .
0.5 , VREF = 2.5 , (pull-up)
(R1 . 3.2) :
= 0.5 10 .
()
2

/--------

( = ) = J P x R . R,

:
70.0005 X 10000 = 2.23 .
= 2.23 / = 223 .
R1 = 2.5 2.23 = 0.27 .
R1 () = 0.27 /223 = 1210 .
, ,
0...50. (. . 3.1)
50 5758 .
R1, 2725 .
,
1210 .

3.1.2.

,
. . 3.3
. Rth
0...5 8- , , 17
Microchip.
. VI :
2.5 R h
VI = --------- .
Rth + R l
R l 10 , . . 3.3.

, VI .

76 3.

[]

< []

VI []

-10

44.6

2.04

28.1

1.84

10

18.2

1.61

25

10

1.25

30

8.276

1.13

40

6.406

0.976

50

4.08

0.7244

70

2.954

0.569

100

1.229

0.273

, ,
...40 1( 0.333 1 ).
,
:
10 = (1.61/5) 256 = 82.
40 = (0.976/5) 256 = 49.
82 49 = 33 , 40 10 = 30 ().
, 33 / 30 = 1 .1 .
, ,
. ,
(...40)
.
...40 0.976 1.61 ,
1.61 0.976 = 0.634 . , 5 ,
5/0.634 = 7.88 .
...40
7.67 12.67 . 5 ,
(0...5 ). ,
() (
) .
. 3.3 ,
.
:

0-2 ] - 2 _ 2

3.1. 77

=2.5

[']
-10

44.6
28.1
18.2

100

6.406
5.758
2.954
1.229

[]

0
10

VI

42

10

25
40
50
70


10 40

[]

20

0 10 20 30 40 50 60 70 80 90100

40

. 3.3.

, VI = 2,
:
0 - 1
,

- 1
,

VI
.

0, :
(
*-
V = VI 1 + ^ +
,
,
,
VI 1 + +

V. ^

.
.


. , ,
...40 0.5 4.5 .
,

78 3.

.
204 30,
6.8 . 0.634
4.5 0.5 = 4 . 4/0.634 = 6.3 .
:

1 6.3, :
0.976 x6.3 = 6.14 ;
1.61 6 .3 = 10.143 .
, (10.14 6.14 = 4 ) ,
.
.
6.14 - 0.5 = 5.64 10.14 4.5 = 5.64 .
,
.


:

(6.3 = 1 + / + /) (5.64 = 1 ^/1 ^)


.
2.5 , (. 3.3). ,
2.5 ,
. 5 .

. , .
100
:
- 100

= 2.5 , :

3.1. 79
, :
= 44.32 ;
= 32.85 .
.
1% 44.2 ( +1%) 33.2 (
-1 % ). 0,
6.27 5.65 .
:
[]

Rh []

[]

10

18.2

4.44

227

25

10

2.18

111

30

8.276

1.44

74

40

6.406

0.467

23

,
, ,
.
1.
0 5 ,
,

(. . rail to rail ).
(227 23)/30 = 6.8 .

3.1.3.

() , .
1%
,
. ,
?
. 3.2.

80 3.

3.2. , 1% (44.642 44.2 )


= 44.2
[]

= 44.64

[]
0 []

, []

10

18.2

4.44

227

4.48

229

25

10

2.18

111

2.21

113

30

8.276

1.44

74

1.47

75

40

6.406

0.467

23

0.50

25

,
1% (44.64 ),
(32.868 )? . . 3.3.
3.3. ,

1%,
1^,

[]

^ []

1%

1%

[]

10

18.2

4.44

227

4.47

229

25

10

2.18

111

2.19

112

30

8.276

1.44

74

1.45

74

40

6.406

0.467

23

0.478

24


, .

1%
.
,
.
0.1 %, . . 3.4.
3.4. , 0.1%, 1 0.1%
),,
[]

, ,

, []
0 []

% []

10

18.2

4.44

227

4.47

229

25

10

2.18

111

2.19

112

30

8.276

1.44

74

1.45

74

40

6.406

0.467

23

0.478

24

3.1. 81
. ,

.

.
, ,
. ,
, ,
.

.
( ),
.

3.1.4.
()

,
. , ,
-.
, ,
, .
0.
, 100 0,
100.39 1 119.4 50.
, .
:
0.01...0.03%;
0.2%;
- 0.5%.
,
, .

3.1.5.

() . 1821
, -

82 3.

1\
-
( ),
. ,
.
: - ( .1), - ( ) - ( ).
, , ,
.
40 .
:
0.1
4 . ,
,

- ,
. ()

, .
,
.

. . ,
(. . 3.4).
,
, .


.

,
.
100...300, ,
, .

. , :
, ,
( ).
, . . (. . .)

3.1. 83

+ (,

>

<s>
. <

>

. 3.4.


,

(). .
.
Analog Devices
J, AD594/595. AD594/595
/>-- ,
,
,
.
0...300.

.
, ,
, .
,
,
.

, ,
.

84 3.

3.1.6.

, , -- .

/?--,
1.88 .
1617 MAXIM/Dallas,
/?-- ,
2N3904.
, . 1617
SMBus.
LM335 (. 3.5) National Semiconductor
, .
10 . 0
2.73 , 100 3.73. LM335 0.4 5 .
LM 34/35 National Semiconductor
4...20 ,
. LM35 500 50
10 . LM34
, a LM35 .
LM34/LM35
.
+v
LM 74 National Semiconductor 13-
-


55...+150 .
SPI/M icroware, -----
'!
. LM74
8- . 3.5. LM335
(SMT).

3.3 5 . LM75 LM74,


12
55...+125. LM 75 National
Semiconductor 9-
,
.
( )
12 , ,
.

3.2. 85

3.2.

-, .
(optoisolator) (
) (
).

3.2.1.
. 3.6 (slotted
optical switch).
,
,
.
,
. , , ,
.
, , ,
. , , ,
.
.

. 3.6.

3.2.2.
. 3.7
(reflective sensor). ,
, , ,
.

86 3.

,
.
0.254... 1.270 ( 0.1 0.5 ).

.

. 3.7.

,
. ,
.
.



,
. 8 ,
50 .
- .


-
, . ,
,
, (Current Transfer Ratio, CTR).
0.1. ,
10 , ,

3.2. 87
1 . ,
,
.

.

. -,
(. 3.8),

.
. ,
10 , 0.1,
5 .
(- ) ,
, ,
,
.
,
.

, > 1,
, 20%
.
, .
"
______
"

. 3.8.

88 3.

.
,
.

,
. , QT Optoelectronics
:
Eastman Kodak,
90%
.
,
.
, ,
.
,
.
,
.
,
.
.
, . .

.
, ,
.
, ,

.
( ) ,
.

3.2.3. -

. ,

. , ,
.
, .

3.2. 89
. 3.9 , ,
,
.
60 1*.
,
, ,
.
, . -
.
-
40 .
.
.
. -
, ,
, 10 .

"
1


60

. 3.9.

,
,
. , 11 60 ,
50 . (. . .)

90 3.

10 , 1 ,
. ,
,
,
.
.

3.2.4.
()
. ,
,
.
.
, .
()
, .
,
, , .
,
.
.

. ,
. ,
.
,
. ,
? ?
,
. ,
,
, . , ?
? ,
.


.
,
, ,
.

3.2. 91
(1811) ,

, ,
.

3.2.5.
, , ,

.
,
, ,
.
, , .
,
, . ,
,
.
,
, .
.
,
, .
,
.
: ,
, .
, .
.
, ,
, .
,
, .
, .
, , ,
,
, .
,

.

92 3.

3.2.6.

. . 3.10
.
.
. 8-
,
.
,
+5 .
.

(
), (
,
). .
:

, .

, .
,
.
.
-
.
, , ,
.
, . , ,
,
. , ,
, , .
-
, ... . 3.11
.
. ,
1.2 , ^
. (
), + ( +

3.2. 93

. .

3 ),
.
.
,
.
, ,
.

94 3.

,
,
. 0.6 ,

.
+V

. 3.11.

3.2.7.
(optoisolator),
. 3.12, ,
.
,
. ,
(Musical Instrument
Digital Interface, M IDI)
,
( ).
, ,
.
. 3.12 ,
.
. ,
,
.
, ,
-, .

.

3.2. 95

+1

+\12

VI ;
\/2

. 3.12.


.
.
,
. ( )
, 20... 100%,

.
435 10 ,
, 10 .
,
,
. , ,
. 6136 (. 3.13), ,
1 .

.

. 3.13. 6136

96 3.

3.2.8.

: .
( ) , ,
.
,
,
.

, .
.
,
, , , .

.
() .
,
.
,
. ,

.

. - ,
. ,
, ,
-.
, , ,
, ,
.
. 3.14
.
,
,

.
, , ,
.

3.2. 97
, ,
, (

, ).
.


.



.

.


,

.



( )
.

.
,
-
.

,
.


.

. 3.14.

. 3.15 ,

. , 1 /0 ,
8,
.

98 3.

. SPI-
( ),
U1 ,
SPI- I/O
. U 1
.
(U2) I/O ,
.
I/O ,
(O FF state), , S P l-
.
I/O , U2
,
U2 , S PI-.
, (return),
S PI-.
. 3.15 ,
,
SPI.

SPI

.
-L

U2

,
.

. 3.15.

,
(
), -

3.3. 99
.
.
, -
, , U2 (. 3.15),
,
.
,
,
. U2 -
,

.

3.3.
, (Charge Coupled Devices, CCD),

. , ,
-,
, .

3.3.1.

.
. , ,
- . -
, ,
. ,
- .
-
,
.
-
.
.
, ,
.

.

100 3.

, - ,

. -
,
,
. . 3.16 .

. 3.16.


. ,
.
, ,
, .
, ,
, :

.
,
.
.

.

3.3. 101

3.3.2.
, ?
: .
, ,
, , .

, ,
.

3.3.3. -
- ( )
.
.
15x30 .
, .
.

,
. - ,
,
. . 3.17 .
24 ;
512 4096 . -
, , .
.
- .
-
. ,
2.54 (1 ), 1024 .
, ,
12.7 ( ) .
5
-
. 1024 /5 204.8
/. (
),
, .
- ,
.

102 3.

. 3.17. -

. - 1024
, 0 511
, 512 1023 .
(0, 2, 4, ...,
1022), (1, 3, 5, ..., 1023).
15 ,
, ,
30 .
.
,
2.54 / (100 ), -

3.3. 103
(1024 ) 204.8 .
204.8x100 20480 .
1024 ,
20480x1024, 20.971 .
. 20480
, 48.8 .
,
.
, ,
.

(storage area), ,
. , ,
(capture array)
.
.

3.3.4.
.
,

,
.
R G
,
,

. . 3.18
:

.
,



,
, , , . ,
. 3.18.


, -.

,

.

(. 3.19).
,
. ,
. .

104 3.

1 ()

2 ()

, 3 ()

. 3.19.

3.3.5.
-, (Trilinear CCDs), .
. 3.20. .
.
,

. KLI
Kodak ILX Sony.
,
, , .

, . KLI-2113 {Kodak) ILX724
(Sony) 8 .
. 3.21,
( ), , ,
, .
,
, ,
.
,
. 0
8
16
. ,
1024
1024 .

3.3. 105

. 3.20.

4
*-


- ,
8 - ,
8 -

. 3.21. -

106 3.


FIFO (FIFO , First In First Out , )
16 8
,
.

. ,
,
, . , ,
, ,
.

3.3.6.
.
, ,
,
.
- , ,
. , ,
,
. , ,
,
BLUE , G REEN RED .
(-)
-
.
(R, G ) . ,
3 ,
, , , 3
.

3.3.7. -
-
, ,
. , -
. -
,
. -
, .

3.3. 107

-, . -
. - 512x512
512 , 512 .
, -
. ,
,
. ,
, .
- ,
,
.
-

,
-.

3.3.8.
, , ,
.
.
.
,
.
.
, .

-
.

3.3.9.

, (Correlated Double Sampling, CDS).
. 3.22 .
.
.
.
,
.

108 3.

ZZZ

T J

>

1
2

. 3.22.


.

.
,
,
( ). ,
,
( ,
). ,
.

3.3.10.
,
-
. -

. ,
.
,
.

(normalize) . . 3.23,


.

3.3. 109
.
, .
,
.
, , 85%
,
1/0.85, 1.176. , 25,
29 (25x1.176).

. 3.23.


.
.
, ,
.
,
. , 1024- ,
8-
256x1024x8 262144 .

( )
( ).
. 3.23 .
,
- ,
.
,
. , (lookup
table) ,
.
, (10
1024- ), ,

110 3.


. ,
, ,
.

3.3.11.

, 6.5 0.1
.
,
.
2000 .
- ,
.

. , ,
.
, ,
.

3.3.12.
,
.
, 3
.
1103
Fairchild, VSP2000/3000 Texas Instruments, 1101
Maxim/Dallas.

3.4.
3.4.1.
,
, .
1879 . ,
,
,

3.4. 111
(. 3.24). ,
, . ,
, ,
/. ,

.
.

( )

,
, , ,

. ,
, .

.
, /.
; , ,
.

, .
, ,

112 3.

.

.
,
.
(N) ,
, (S) .

, .
,
(the operate point),
,
(release point).

.
().
(
) (
).
,
.
. ,
.

.
3- ,
-92, .
, () .
5... 10 ,
30 .
.
, ,
, ,
.
,
( ,
, , ,
). ,
,
.

3.4. 113

.

(. 3.25). ,

, .

. 3.25.


, .
, .
, ,
.

3.4.2.
(Linear Variable Differential
Transformers, LVDT) ,
(. 3.26).
.
, ,
.
, .
,
, ,
. -
,
.

114 3.

>

------ -------------

<-------------------------------
. 3.26.

3.4.3.
(Variable Reluctance
Sensors, VRS) (
VRS-, )
(. 3.27).

. , ,
-,
, ,
.
. ,
,
,
, , .

,
.
, , ,
.

, -

3.4. 115

(2 )

-
,


-

. 3.27.

.
, .

,
.
,
. ,
, ,
(. 3.276).
,
,
. ,

.

116 3.

3.5.
/
, ,
- . ,
,
.
.
, ( . tenso
),
.
, , , , .
/
;

(. 3.28). ,
.
, 180 .
,
, . ,
.
, ,
. ,
,
.
.

ADXL202 Analog Devices. ADXL202 2- , ,
,
. ADXL202
.
. 0g
50%. ADXL202 2g 2g,
12%
g. , 2g 26%,
2g 74%.
.
,
. 3.29.

3.6. / 117

<-------------------

. 3.28.

( )

= 0.5

= -0.5

[
]

= 1

= -1

. 3.29.

3.6. /
/ (. 3.30)
, ,
.
.
, .

. ,

118 3.

(, )
- .
, . ,

, (. . 3.30).
. 3.306
. 111/112
/ ( ),
.
. ,
, , 1/2
/ ( ).
. ,
( ,
) .
,
.

1
1
1

. 3.30. /,

,
.
100 ,

0.0002% .
, .

,
. ,
.

3.7. 119

3.7.
,
() ,
. ,
. ,
, ? , ,
.
. 3.31
.
, ,
.
,
( ).
.
.

- 1 1 ------------------- 1
/---------1
1_11--------- ( )

. 3.31.

,
. ,
, , ,
.
,
.

,
. :

120 3.

.
10-30 .
, ;
.


.
, .

.

.
, .

, , ,
.

4.1.

, .
:


.
, ,
,
.

,
.
, ,
, ,
. ,
6576 ( 6577)
MAXIM/Dallas. 6576 6-
(SOT-23)
.
. 6576
,
10,40,
160 640 .

.
.
(capture), 1166 Microchip,
,
.
. 4.1. (free-running) 16-
16- ,

122 4.

.
.
. 4.1 90 ,
100 . 90
100 .
, ,
.

_______


I
(RST) ------------- L

90

100

~
. 4.1.


.
. , ,
, 100 ,
100, 200, 300 . .

.

0.

(setup code),
(ISR code) ,
(non-ISR code). .


.
(prescaler) (
).

4.1. 123
, ,
.
.


( )
.
(
).


( )
.
.
, +
1000(.
(
); .
. 16- .
,
1. , 20- , .


,
0.
. ,
,
, .
, ,
,
. ,
, .
,
. ,
- .
,
, ,
.
,
,
.

124 4.

,
.
, ,
,
,
,
( ).
, ,
, .
,
.
, ,
(. 4.2).


,




,


, ,


,
,

. 4.2.

4.2. 125
Analog Devices , MAXIM/Dallas
, , 03/04,
.

, .
, , .
,
. ,
.

4.2.
,
.

(R F signal).
, .
. 4.3.
.

. ,
FFFFh OOOOh;
.
,
, 2 . ,
, 5 5.005 ,
2 . :
5

2
= 10000 .
200
2

5.005

- = 10010 .
199.8
10
.
, .
. 4.3
. 10000,
500- ( 5 ).
16- .
10 .

126 4.

.
:
5

500

= 20000 .

5.005 ^ qq ^ = 19980.
20 .

,
.
.
10 20 , ,
. , .

--------1---------------------------------------------------------------------------------------------- I

^ ____

^ ____

-*

500
( )

5

. 4.3.

4.3. 127

4.3.
. 4.4
.
1 .
, .
5 ,7 , 12
() 2 (). 2.5
, 2 .
4000 500-
, .

--

--

. 4.4.

, 5 5.005 ,
7 5.005, 1.995 . 4000,
498.75 . 10 ,
:

128 4.

= 20000.
10
20050.
498.75
20 50
. , 4000 ,
. - ,
,
()
5 7 . 5
4 1 ,
.
, ,
.
. 4.46 ,
.

.
( ), NE612 Philips.
(. 4.4) LC-
.
. ,
.

, , :
5.005

,
, .
, . .
,
.
(. 4.4) 5 4.5 ,
2.5 ,
.
.

.
,
(injection frequency for mixing)
.

4.4. - 129

4.4. -

-, (voltage-tofrequency converter, V-F). . 4.5.
(one-shot),
.
,
, ,
.

-
'

'

I------ 1____I------ 1___ I------ U ------ 1__ I------ 1__

. 4.5 .
,
( )
.
1, V
.
, .
, .
,

130 4.

.
V ,
.
, . ,
,
, .
,
1.
7?-, ,
. ,
1 R 1 . ,
,
, ,
.
0 .

. ,
V .
()
LM231 National Semiconductor.
;
,
. LM231
1 ...0 .
.
, ,
,
.
/?-. ,
, .

4.4.1.

, . ,

, .
,
-
. ,
,

4.5. 131
(. 4.6).
.
,

.

(|)

. 4.6.

,
. ,
, .

4.4.2.
(. 4.7)
. . 4.7 ,
- 16.
16 .
,
. ,
.
1

16

16

. 4 .7.

4.5.

.
100 ,
, 2 (500 ),
500 .

132 4.

,
. ,
6576 10 / .
,
(. 4.8).
,
. 6576
30...+100,
6576 2.400 3.730 .
5 ,
12000 18650 . ,
:
,
, .

.
, 6576 .
10 ,
6576
(3.5 7.5 ) (1 ).
, ,
,
. , 6576
40 . 10-

0.25 .
.

. 4.8. 6576

4.6. 133
-, , ,
48000 74600. 16- (
65536),
. ,
,
,
, .
.
6576
, .
9.6 ( 30)
14.9 ( 100). 6576
(640 / ),
0.15 0.24 .
.

.
. ,
,
, 1/4 1/8 . 8-

0.5 1 . .
1,
.

,
,
.
,
.

4.6.


, ( ) .

. ,
, .
, . 4.9 , 2.5

134 4.

.
. ,
2 3,
. ,
.
.


,
3
(3 : ,

)

,
2
(2 :

)

,
3
(3 : , )

,
2
(2 : )

. 4.9.

, :

. - ,
122 .
,
, ,

.
,
.

4.6. 135

. . 4.9 -
2 3 .
,
. , 2.5 50% 2
50% 3. 2.75,
2 3 25% 75%
.
100 , , 100.
, ,
.
,
. , , 10,
100, 25. ,
,
. ,
,
16- , 4 ...8
.
, ,
,
. , ,
400 . 1 ,
40% .
, ,
40%. ,
,
0. , ,
1.
, ,
. 2.5
, 2
100%. 50- ,
. ,
, ,
.
(),
. . 4.1 ,
,
.

136 4.

4.1. , 100 1000


()

= 2

= 3

2.25

100

67

33

2.33

2.25

1000

740

260

2.26

2.5

100

55

45

2.45

2.5

1000

507

493

2.49

2.8

100

13

87

2.87

1000

188

812

2.81

. 4.1 random
Microsoft Excel (. ). ,
100 1000 .
,
:

. ,
.
, , ,
.
,
.

, , , ,
(128, 1024 . .).
.

.

.
,
, .
,
. ,
.
. 4.10 ,
.

4.6. 137
6576,
.
, ,
.
.
1.2
. (11)
(9), 1.22.
, 9 .
60%
.
, 0.578.
. .
,
6576.
,
100 1000 .
|
|
|
I
|
I
I
I
|
I
|
|
- 1--------- 1--------- 1---------1---------1------------------ --------- --------- --------- --------- ---------

1
0

1
1

^
0

2
1

. 4.10.

,

.
,
.
, ,
.
, ,
.

138 4.


,

, (
).
, ,
2.
,
. , , 0.6
25 , 64
0.6 64 = 38 25
.
, ,
,
, .
.
,
. ,

.

5.1.


().
,
. , ,
.
. ,
, 10... 20%
. /
, .
,
. ,
,

.
.
.

5.2.
. 5.1
().
,
().
. ,
2 .
0 ,
0 .

>

. 5.1.

140 5.

, 2 2.1 .

(2.1 ) (2 ). 0.1
, 2.1 ,
0 , 2.1 .

,
. , ,

.
,
.

5.3.

, . - - (
, ),
( ).
, , . ,

. ,
,
, , . .
, ,
. ,
.
, , .
,

. , ,
.
. 5.2. .
-,
.
. ,
, .

(. 5.2). ,
.

5.4. 141

. 5.2.

5.4.
(on-off),
(bang-bang control).
, .
( ),
. , . . 5.3
.
. ,
.
,
. .
, .
.
. 5.3 .
, , .
,
.

:
.
,
.

142 5.

f >
4

= (ON)
L = (OFF)

ON

ON ON ON

. 5.3.

,
, ,
.

.

.

.
, .

5.4. 143
. 5.3
.

, .
, , ,
.
, . ,
, ,
10...20 ,
. ,

. ,
,
:

;
, ,
.

:
,
, ,
.
.
(-
). ,

. ,

.
.
,
. ,
,
.

. ,
, ,
.
. ,

144 5.

,
,
.

(dead band), .
.
,
1,
. ,
, 1
. , 1
.
. ,
,
,
,
. ,
.

5.5.
. 5.3
.
.
, .
, ,
. ,
,
, , .
,
( ,
). , ,
, .

5.6.


.

.

5.6. 145
.
(Output)
:
Output = G x e ,

( 1)

G , (
) .
, ,
,
( ).

( ), .
. 5.4 .
100%, .
, ,
()
. ,

. . 5.4
2 , (.
. 5.3).
.

,
. , ,
,
, .
G x e ,
. ,
. 5.4,
:
Output = (G ) + ,

(2)


,
. ,
,
( G x e ), ,
. ,
50% ,
. (2) G x e -

7=0

\1

,+

. 5.4.

146 5.

5.6. 147
. ,
(7 ,
100%. ,
100%,
.
<7 ,
50% .
, (? , 50%
, 50%, , ,
. 2 ,
, .

.
,
. , .
20%,
80%
.

, .
,
.
,
,
.

. ,
. ,
. , , ,

, .
,
.

, ,
.
,
. ,
, ,
. , ,

148 5.

, .

, ,
, . ,
, ,
, ,
( ),
.

, .
(,
. .) .
, () .
,

.
,
. , , , 2 ?
? , ,
, , ,
? -,
, .
.
-, ,
, ,
. .
- .

5.7. -
, ,
, -
- , - (PID Proportional, Integral, Derivative (control)). ,
. -,
,
(

5.7. -- 149
),
, .
, ? ,
.
. 5.5 -.
.

, .
,
, .
,
. , -
:
O utput = G- [ e + 1 jed t + D ,
G (Gain),
e ,
(error),
I (Integral),
D (Derivative).
/ D , :
G x ,
. I D , a G
, ,
.
, -
, ,
.
, -

. :
,
. ,
.
.
-,
. , .
. -

150 5.

(
)

( )
-

. 5.5. -


,

,

()

. 5.6.

5.7. -- 151
, . . 5.6
. ,
,
, , . .
. 5.6
. ,
,
, .
, ,
.
,
, .
,
.
,
,
. , .
,
.
, .

5.7.1.

()
.

. ,
.
,
,
.
, / /.
, .
, .
,
. , ,
, .
/,
. 5.7.

152 5.

. 5.7.

,
, .
,
(1
, ((7 + )
/
. ,
. ,
,
, .
,
(? .
,
.
, ,
.
. ,
, .

5. 7. -- 153

. 5.8

. ,
. ,
, .
,
. ,
.
, ,
. . 5.9
,
.

5.7.2.


.
, .
, .

154 5.

. 5.10 . ,
,
.
, .
. 5.11
-
.
,
,
( , ,
,
).
.
-,
-
99.2 / (62 /) 104 /
(65 /).
99.2 / (62 /),
.

()

. 5.10.

5.7. -- 155

. 5.11.

5.7.3. -
-
( ).

.
.

()
. .
. 5.12 .
,

. 5.12.

156 5.

, .

.
,
.

, ,
.

,
.
, ,

. ,
,
.

5.7.4. -
-
, .
() .

( ), (7.
, , /
(Zigler/Nichols). ,
. ,

.
, -
,
.
/)
.
, /
.
, / :
1. ,

.

5.7. -- 157
2. ,
, .
.
3.

4. (G),

(77, Td) :
, gain = 0.5^.
-, G = 0.45,
Ti = 1.2/.
-, G = 0.6, Ti = 2/,
Td = / 8.
, ,
. ,

,
. ,
.
-
, , , .

,
. , ,
, .
/?
.
, .
, -, .

5.7.5.
,
.
, -
, ,

.
. ,
.

158 5.

, ,
. 100% ,
.
, ,
.
,
,
.
(wind up). . 5.13
, (wind up)
.

; ,
, (. . 3).
,
.

5.7. -- 159

5.7.6.
(wind up),

.
,
. ,
.
,
.
,
.
-
.
,
( ).
.
+ 1
. ,

.

.
.
.

5.7.7.
,
. ,
, ,
:
,
;
;
,
.
.
, ,
. -
, ,

160 5.

, .
,
, . ,
,
.
.
- ,
, .
-
, ,
(wind up).

,
.
,

. (Smith
Predictor) 1957 .
, .

5.7.8.

, -
. ,
. ,
-. ,
?

. ,
( ).
,

. - ,
.

, .

,
' .

5.8. 161

5.7.9.
-
. -
,
, .
,
-, .
,
.
, ,
, - -
. - ,
-.

5.8.

, .
,
, . . 5.14 -
. ,
.
( . .), (
,
).
, , .

. 5.14. -

162 5.

.
().
, , ,
. -
( ) .
. 5.15
.

, , ,
.
, ( ),
.
12 .
, .

.

. 5.15.

5.8. 163

5.8.1.


. ,

. ( )
.
.

(. 5.16)
. ,
100 ,
500 (500 ). . 5.16
, .

! 1

1111111111111111111111111111111111111111111111!1111111111111111111111111111

------------------------- 1-------------------------------1------------------------------- 1----


'

'

. 5.16.

(
) (
).
,
( ).
,
.

.
, , .

164 5.



,

,



,

,
7


|---------- 1----------- 1-------- 1------ 1------ 1---- 1------ 1

N1111111111111111111111111111111111

_ | ____________ |________ |__________ | _

25
16
16

. 5.17.

. 5.17 .

, .
;
,
1 , .
,
1 .
, (3 . 5.17),
.
,
,
. ,

5.8. 165
,

.
.
,
.
, , .
,

, .

, ,
50000 (500 100
/ ). ,
10000- , 60000-
(/ 1). , ,
, ,
, , 60000 110000 . .
, , ,
, (
) .

5.8.2.

, ,
.
.
. 5.18. -

111

. 5.18.

166 5.

,
( ).
, .
- , ,
, . -
.
.
.
. 5.19 .
,
, 628/629. -


,
;


500
80555
0 ()]
5

10

40
80
160
240
320
400
480

20

80480
80520
80540
80550
80555
80560

. 5.19.

5.8. 167
,
.

, -
.
-.
, . 5.14.
80555
, 161
500 . , 5
, 10, 20 . . ,
.

5.8.3.
- .

. ,
.
-,
,
.
.
1 2
, - ,

. ,

, .
, ,
.
.

, .

.

( ,
),

168 5.

.
(,
),
. ,
. , -

. ,
, .

5.9.
-
. ,
.
, -
. -
( ),
.
,
.

.
, ,
(Model Predictive Control, )
(N onlinear Model Predictive Control, N M PC ).

,
.

, .
, ,
.
(, )
,
.
,
,

. ,

5.10. 169
,
.


, .
;
, () .

5.10.

,
.
- . . 5.20
.
.

. 5.20.

170 5.

, ,
,
( ).
.

.
.

,

.
,
IBM PC . National
Instruments .

,
- .
, ,
. , ,
, ,
.
, , .

5.10.1. /
,

.
,
,
.
. 5.206
(Digital Storage Oscilloscope, DSO) .
,

.
( ) ,
.
,
,
/ .

5. 10. 171

,
,
.

.

5.10.2.
-,
,

. .
;
, .

.
. 5.21 ,
.
. 5.21 -

)
. 5.21.

172 5.

. .

. ,
,
.

, ,
, .
.

,
.

/.
2000 ./ (33.3 ./), 500 ,
:
^
= 60 .
500 33.33
8- 250 ,
250/60 4.167 .
, 100 ./ ,
833 , 5000
, 13- .
0 ,
. ,

( = ),
,
, .
. 5.216 ,
.
. 1000...5000 ./
500 , 10
8 100 ./ 166
2000 ./.
/. ,
,
.

5.11. - 173

5.10.3.
(),
-. , Wintune BestSoft
(www.bestsoft.com) - National
Instruments (www.ni.com).

5.11. -
Basic
-, .

5.11.1. -
Read input (actual position, speed, temp, whatever) from sensor, save as
CurrentValue.
Error = TargetValue - CurrentValue
Derivative = Error - PreviousErrorValue
Integral = Integral + Error
ControlValue = Kl*Integral + K2*Derivative + K3*Error
PreviousErrorValue = Error (for use with next sample)
Output ControlValue to control hardware

Definitions:
K1 = integral gain
K2 = Derivative gain
= Error gain
Integral = Integral term
Derivatiove = Derivative term
Error = Error term, setpoint minus actual value read from sensor
TargetValue = Setpoint, the desired input
CurrentValue = Current value read from sensor
PreviousErrorValue = The value of Error from the previous sample

. Error, Derivative Integral


, . ,
, 2 . .

, . ,
, - ,
,
.
.

174 5.

5.11.2.
(antiwindup)
:
Read input from sensor, save as CurrentValue
Error = TargetValue - CurrentValue
Derivative = error - PreviousErrorValue
Integral = Integral + Error
If Integral > MaximumlntegralValue, then
Integral = MaximumlntegralValue.
ControlValue =
Kl*Integral + K2*Derivative + K3*Error
PreviousErrorValue = Error(for use with next sample)
Output ControlValue to control hardware
Definition:
MaximumlntegralValue = maximum value of integral term; represents 100%

, ,
:
Read input from sensor, save as CurrentValue.
Error = TargetValue - CurrentValue
Derivative = error - PreviousErrorValue
If absolute value of Error < IntegralBand
Integral = Integral + Error
Else Integral = 0.
ControlValue =
Kl*Integral + K2*Derivative + Error
PreviousErrorValue = Error(for use with next sample)
Output ControlValue to control hardware
Definition:
IntegralBand = the range of error values for which the integral calculation
is enabled. Note that IntegralBand can be a constant or a fraction of the
setpoint.

5.11.3.
,
, .
,

5.11. - 175
.
-
.

. ,
8 . 10
80 .
Read input from sensor, add to CurrentValue.
Increment SampleCounter
If SampleCounter = 8,

[
SampleCounter = 0
CurrentValue = CurrentValue/8
Error = TargetValue - CurrentValue
Derivative = error - PreviousErrorValue
Integral = Integral + Error
ControlValue = Kl*Integral + K2*Derivative + K3*Error
PreviousErrorValue = Error
Output ControlValue to control hardware
CurrentValue = 0 (reset to zero so next accumulation can start)

, 8.
, .
,
. ,
8 ,
,
.

5.11.4.


(, )
(, ).
Read input from sensor, save as CurrentValue.
Error = TargetValue - CurrentValue
Derivative = error - PreviousErrorValue
Integral = Integral + Error
New ControlValue = Kl*Integral + K2*Derivative + K3*Error
If new ControlValue > MaximumControlValue,

176 5.
ControlValue = MaximumControlValue
Set MaximumPowerExceeded flag.
PreviousErrorValue = Error
Output ControlValue to control hardware

,
, ControlValue,
, (MaximumPowerExceeded),
, .
, ,
,
.

5.11.5.


,
. ,
,
, , .
AvgPwrArray,
10 .
; ,
10, 10 .
Read input from sensor, save as CurrentValue.
Error = TargetValue - CurrentValue
Derivative = error - PreviousErrorValue
Integral = Integral + Error
ControlValue = Kl*Integral + K2*Derivative + K3*Error
AveragePower = sum of values in AvgPwrArray [0] through AvgPwrArray [9]
If AveragePower > MaximumAllowablePower*10,
Set MaximumPowerExceeded flag.
ControlValue =
MaximumAllowablePower - AveragePower + AvgPwrArray [0]
If ControlValue < 0, ControlValue = 0
(The following discards the oldest value in AvgPwrArray [0] and
makes room for the newest)
For AvgPwrArray [0 through 8], AvgPwrArray [n] =
AvgP\ Array [n + 1]

5.11. - 177
AvgPwrArray[9] = ControlValue
PreviousErrorValue = Error
Output ControlValue to control hardware

ControlValue =
MaximumAllowablePower - AveragePower + AvgPwrArray [0]

ControlValue ,
10
. AvgPwrArray [0] ,
ControlValue.
, ControlValue 0.
, ,
.

;
( ) ,

.

5.11.6.

. .
.
. ,
.
.

, . ,
8-,
, .
. ,
2, 4 8,
2.
. ,
,
2- ( 2-) .
, . ,
, .
, .

178 5.

5.12.
,
. , ,
, .
-,
, .
,
, .

, .
,
.
.
2 64- .
8-
. ,
, ,
. ,
.

, ,
. 256- ,

.

,
.

6.1.
, -
, .
,
,
.

, 2-
.
,
1*.
: .
,
.
, , .
.
,
.
,
.

6.1.1.
.
.
-, -
.
, ,
: .

1 = 28.3 , 1 = 453 . (. . .)

180 6. ,

6.1.2.
,
. . 6.1
.
. -/?--, ;
--- -.

, , 25 , ,
, , , ,
, .

>

+4

V,

>


+\/ + 0 .6

+
V,

. 6.1.

6.1. 181

,
.
,
,
. . 6.16 ,
.
, , .
,
( ).
0.6 , ,
.

. -, , ,
. .
.

( ).
, ()
.
.
,

, ,

-
-


+\/ +

. 6.2.

182 6. ,

. 6.2 ,
. , ,
.
, ,
.
,
. ,
.

:

[]

12-

1.5

6-

2.2

5.5


. ,
, .

,
,
(
). .
.

6.1.3. /
,
.
, 50% .

.
,
.
. 6.3 .

. ,

6.1. 183

,
. , ,
.
. -,
. -,
, , .
. 6.36
.
. 2 ()
, . ,
, 2 , 1
() .
. ,
,
.
. . ,
, . (BKJI.)
. , ,
HOLD ().
.
,
( ) 50%,
.

(. .).
, .
90% 100%,
. ,
, 50%
.
. . ,
/?--/,
+V1 +V2. 1
, VT2 , V2
. , , 2 ,
1 .
. V2 , VI. , V2
12 , VI 6 8 . ,
, .

184 6. ,

-4

"

+Vo

+V

+V

HLD
= =1

2 > -

VT1

()

VT1

>__ Y' \VT1


^

VT2

1 >HOLD
_[_()

-L

1
.

D2
1

U +V 2

J ------------------
HOLD 1 .
()

i i D1
VT2 2
(--)

2
HOLD
()

R2

VT3

(--)
* +V1

)
. 6.3. /

6.2. 185
,
, .
,
!).

, ,
.

6.2.
,
, .
,
.
,
. . 6.4
. ,
.
, , , .

. 6.4. ,

1' .
, . {. . .)

186 6. ,

6.2.1.
, ?
. ?
. 6.5
. 1
- .
, , 10 .
, , - +,
.
. ,
,
.


V11

4 > ~

. 6.5.

,
,
. 1
,
. ,
.
. ,
, ( 100%),

.

6.2. 187

6.2.2.
, ?
(,
, )
.
, 100%-
.
.
.
,
.
,
.

,
2

. 6.6.

. 6.6 .
, ,
0...5 ,
.
30...50. 25 .
,
, . ,
(
), .
. VI
VREF, 2.5 .

188 6. ,

2.5 0,
. ,

2 .
.
,
+2 .
.

6.2.3.
-
(Resistance Temperature Detector, RTD)
, - ,
-.
. ,
,
.
,
.
. 6.7 -. , ,
.
. ,
V+
Rs + R h
Rh , Rs .

Rs .
, .
. 6.76 -
. - -
V+ . ,
D1 .
24
2.5 .
.
-
-, -
. () -

6.2. 189

+4

0^

>-

VII

-I-

-
-

)
+
\ 2

>-

-
-

+2 01
------

!
)
. 6.7. -

190 6. ,

.
,
, -,

.
, -
, , .
,
.
. International
Rectifier -,
SENSEFET.
- .
.
- .
, 10%.

5%.
-
.
(+24 ) 5%
5%. ,

.
.
, ,
, ,
, , .
-,
,
0%- . ,
100%- .

.
, -,
, .
.
,
.

6.3. 1 9 1

6.3.
6.3.1.
()
/?--, .

.

.
,

, 2 .
, ,
. ,
, . .

, ,

.
,
, .
- ,
.

6.3.2.
.
,
?
. ,
, .
,

, .
-. , .
, .
, ?
,
.

192 6. ,

.

.
.
,
( ,
).
. 6.8
. ( )
.
4.7 .
,
.

-, II -
, .
0 .

<

. 6.8.

2007

IV LV IV . I L[2 -L I e l V i t . L U

1 I
Up 1
ff>' ;;;

* *#

*> V
** V

'* ;
* *
*

-

,
,

*.

2007
2007

< .
* *5
*% *1

* *
*

'
* <#
*
* '#

'* V
V
** V S >

VW

ft
-1
* ** ' N *>

* '
* .
f*
".
ft

' ,

?#
V '*
V
*,

| 1 |

2007

-'
^

1*0**

. . 5: 22- t l

MAXQ

*"
*& * .

54

. --

[
*
-' $-'

I%
, "
%'

[ |: USB

4:"

8
(495) 730*64-97
e-mail: uriy_muray@macroteam.ru

www.platan.ru

SICK

^ ^ x ^ fh in e o n

International
International
t o s Rectifier

Panasonic

..... Tyco Electronics


EPCOS

F TvroF|ert

Honeywell

K in g b ri*,




- Maxim-Dallas
Maxim-Dallas
,


: , ,
,

6.4. 193
,
. ,
.
, .
, ,
,
(+ . 6.8).
. ,
, + ,
(. 6.9)? , (
+24 ) .
,
, .
,

+4.7 .
,
,
.
2 ,

. 6.9. ,

6.4.
.

.

194 6. ,

.
. . 6.10 .
.

, ,
, .
.
,
.
+9

+5

R1

R2

D1

. 6.10. -


. . 6.11 ,
.
,
. 7L e d :
j

_
LED

, 2.5 ,
250 , 10 .
,
. ,
, ,
0.6 .

( ),
D2. . 6.12
.
, .
,

6.4. 195
. ()

.
+9

+5

+5

+9

131

01

^ > ___^ __ "


''

02

*[] ^

. 6.12.

6.4.1.
( ^ ^ )
3.
, .
.

196 6. ,

, .
, , ,
.
, ,
( ) .
,
.
, ,
.
1 4.7 .
, .

.
(Silicon-Controlled
Rectifier, SCR)
.
.

6.4.2.

.
,
R1

. . 6.13


2^
.
.
. 6.13.


1-2 .


.
, ,
, , , ,
. . 6.14
. . 6.146
.
,

. , ,
, , . . +V

6.4. 197
, .

.
+

^ 03


3^

02

132

01

02


, 2

\1
---

. 6.14.

3^ 01

3^ 02

3^

03

. 6.15.

. 6.15 ,
.
(
),

.

198 6. ,

6.5.
2,
.
.

. ,
.
.
,
.

.
8- Analog Devices AD7801.
8 , WR
CS. WR,
CS . AD7801
: 2.7...5.5 .
CLR (), ,
.
AD7801 0
.
.
.

. 6.16.

, ,
. Analog Devices AD5300 8- ,
(rail-to-rail) SPI
. AD7801, AD5300
2.7...5.5 .

6.6. 199
.
Analog Devices ADV7120 8- .

-- (RGB, Red-Green-Blue).
ADV7120 SYNC BLANK,
(blanking), . . 6.16.
,
, . .

6.6.

,
, .

. ,
, ,
, .
Analog
Devices AD5220 (. 6.17). D IP
, 10, 50
100 .
3.3...5 . AD5220
( ) (W IPER,
W) . 7- 128
().
AD5220 (CLK),
(CS) /
( + /). CS ,
,
7- ,
. + / ,
W (
). , (
). AD5220
,
, + /
. D0 , W,
, , 1,
, 0.

200 6. ,

V+ ( 8)

( 3)
+ /- ( 2)

CLK ( 1)

WIPER( 5)

CS ( 7)
( 6)

GND(BbiBOfl4)

CLK

+/CS

\.

\.

\.
"V
+ /-
,

(wiper)

+ /-
,

(wiper)

. 6.17. A D5220


,
.
, .
,
)5220
0.
, . 6.18,

. . 6.186 ,
, 2.5 (
).
0...5 , 5
.

6.6. 201
. 6.18 ,
, )5220.
,
. ,
,

.
.
,
)5220
.
,
.

+5
05220

+/

>

+5

+5

05220

+/<

\/

, 05220.

6)

. 6.18.

202 6. ,

HCAD5203 Analog Devices (4-)


SPI.
AD5203 64
, AD5220 ( 0
). AD5203 24-
. AD5203
(shutdown): SH D N 0,
.
SH D N ,
. .
,

.

. AD5203
.
, , .

,
.

.

. , ,
Xicor
SPI, 12 / (increment/decrem ent).
EEPROM
.

6.7.

,
.
,
.
. 6.19
. - - -, .

6.7. 203
. ,

, .

.
. 6.196 +
V. ,
(V ).
, .

141

:
./.

:
./.

)
. 6.19. :

204 6. ,

6.7.1.

, . ,
.

, . . , ,
.
,
V+ V.
,
-
.
.

, .
, ,
. 4511/ 4512
Maxim/Dallas.
,
, - .
,
.
^on
Toff .

6.7.2.

, . 6.19. R1 R2
(. )
.
( 01, 10 11)
, R l, R2 R1, R2.
,
, , ,
. ,
,

6. 7. 205

6.7.3.
. 6.20 4-1 (4 /1
1
) .
2


4
(

,
, , ,

XI ...4 .
. 6.20.
,

,
,
, .

.
349 / 8-1
. 350
4-1 , .

^ , , , . .
,
. 1-4
(1 /4 ). (. . .)

,
.

.
,
.

, ,
.

7.1.
:
( - 1, ),
(-11 11, ) . . 7.1
.
()
.
( ),
, .
.
()

(. 7.2). ,
. ,

.
,
.

7.1. 207


( ),
.
, (. 7.3).
(Nord, N ),
(South, S). ,
,
(. 7.3).
.
,
.
100...400 3.6...0.9.
48...24
15...7.5.
.

. 7.1.

208

7.

. 7.2.

. 7.3.

7.1.1.

, .

: () .

7.1. 209

,
.
.
.
,
.

>

>
1

>

>

..... ^

1 1 *4



---------

. 7.4.

210 7.

. 7.4
. ,

, .
7.5 15. 7.5
360/7.5 = 48 .
.

7.1.2.
,
.
.
,

.
. . 7.5 .
( ,
), .
(, ),
.
, , , , , .


.
.
.
,

7.1. 211
. ,
,
,
, .
, ,
.
.

(. 7.6). ,

.

. 7.6.

7.1.3.
,
, .
. 7.7
. , ,
( 1

212 7.

. 7.7). , ,
,
3. , ,
, 5. ,
, ,
7.
(one-phase-on drive).

. 7.7.

, ,
( ), .
2, .
, 4.
, 6, ,
, , 8.
(45
), -

7.1. 213
. ,
:
+, ., 1;
+, +, 2;
., +, 3;
, +, 4.
45 22.5, . .
.
, 15 7.5, .

1__

I
____ I

. 7.8.

. 7.8
.
. , , ,
( 1, 3, 5, 7), -

214 7.

.
30%.
140% , ,
, 140%.

7.1.4.

, ,
, 90
. 1,
, . 3
, .

: ,
.
1,
, 3.
,
3, , 0,
.
, 90,
, . . 7.9
, sin/cos
. ,
.
,
.
(microstepping) .
,
.
,
. , -
2, 2.
, , ,
, .
- ,
.
, .
-

7.1. 215

------------ L



(sin/cos )

. 7.9.

, ,
.
,
.
1/6 1/3 . ,
10- 8-.

7.1.5.

. . 7.10
. -
/ - .
, \1 \
, 1,
, .
, , 2 4,
.
.

216 7.

1...08
,
.
.

+V

g VT1 21 02

_ +V

2 03 ,*]

VT4

A
VT2

JE

>

11 D1

1 D4

a
VT3

- -

>-

. 7.10.

7.1.6.
, ,
(shoot-through). ,

. , VT1 VT2, VT3
VT4. VT1 VT2 ,
,
, .
.
:
.

7.1. 217

\/1


--------------------1

1---------------------------------------II ____________

---------------------------------------1

II

I--------------(I
('*


. 7.11.

. . 7.11,
,
.
, .
, ,
, .

218 7.


.

.
(. 7.12),

. ,
.

.
, , .

. 7.12. ,


,
. ,
, , . .
.
, ( 1 (^
.
.
,
,
.
,
. , , reset

7.1. 219

()
, - . ,

.

. ,
, ?
.
.
.
. 7.13 , -.
-
( ).
2
.

V11 412

,
-
(), 2

. 7.13. , -

( ,
2, ),
\1 2. -

220 7.

2 .
2 ,
2
. ,
24, 36 , -
.
,
2.
.
,
,
.
- .

7.1.7.

.
(. 7.14).
, .
,
.

.

. 7.14.

7.1. 221
,
1 .
1 1 1 .
. ,

. ,
International Rectifier -,
SENSEFET ,
. .

7.1.8.

. L6201 SGS-Thompson.
L6201 48 ,
5 . L6201
,
. L6201
DIP, SMT Multiwatt.
L6201 11- Multiwatt
/11
10
9
8
7
6
5
4
3
2
' 1

ENABLE
SENSE
VREF
BOOTSTRAP 2
IN2
GND
IN1
BOOTSTRAP 1
OUT1
V+
OUT2



2
2

1
1
1

LMD18200 11- Multiwatt

1 .

1 7 ')

.11
10
9
8

I I
1
L )
1.... 1
"" )
J ___L . .

J _ ..i

>

BOOTSTRAP 2
OUT2
THERMAL FLAG
CURRENT SENSE OUTPUT
GND
V+
PWM INPUT
BRAKE INPUT
DIRECTION INPUT
OUT1
BOOTSTRAP 1

2
2



1
1

. 7.15. L6201 LM18200 Multiwatt

222 7.


LM18200 National Semiconductor.
, . L6201, L M 18200
.
, L M 18200
. (C U R R EN T SENSE O U TPU T
. 7.15) 377 .
2 , 4.99
,
:
377 10~6 2 x 4 9 9 0 = 3.76 .

.

7.1.9.

.
,
(. 7.16). , .
,
.
(V2 . 7.16),
,
. ,
.


,
. . 7.17 (chopper circuit)
. (, )

. ,
.
(chopper oscillator),
20...200 ( ),
S RS-. Q
RS .
, ,
.

7.1. 223

,
,
,
.
,
. , .

-
(

)

VI

2

,

. 7.16.

,

,

. 7.17.

224 7.

, . 7.17, .

,
. ,
.
,
.


, .

, ,
.
,

(. ).

.
.
,
( ) ,
. ,
,
. ,
, ,
,
(
).

7.1.10.
, (
), ,
, , .
. , ,
-
.
, ,
.

7.7. 225

.

(
).
, ,
, , .
, ,
? ,
, , - 200...500
.

7.1.11.
(chopping)
, ,
. 7.18.
, .
(, ),
. ,
, ,
.


,

, .



. ,
,
. 7.18.
V,

Vm I.


.
,
,

, .
L297 (. 7.19) SGSThompson. ,

226 7.

(, 1.6201) .
1.297
. ,
. /?-
.


.

>

Z3

DIRECTION

RESET

CONTROL

ENABLE

S
5

HALF/FULL

HOME

STEP

->

- >

->

1NH1

NH2
<

+5
RC
_|_

. 7.19. L297 SG S-Thom pson

L297 (ABCD)
(IN H 1, INH2).
,
(ABCD = 0101). L297

H A LF/FU LL.

7.2.
. 7.20 (D C motor),

(permanent magnet DC motor, PM DC motor).
( )
.
, , ,
. ,
, .
,

7.2. 227
.
. ,
. ,
,
.

. 7.20 ,
.
,
. ,
. ,

.
,
. ,
.

(encoder),
. .

7.2.1.
,

, .
-

228 7.

,
()
.

(
). ,
. ,
, .
, , ,
, , ,
.
. 7.21
.
, ,
. , ,
. ,
/
. ,
.

. 7.21.

7.2. 229

. ,
.
, -
. ,
,
. (dynamic braking).
. 7.22 (. 7.14),
.
,
. D,
VT1 VT3 ,
.
VT2 VT4,
.

, - ,
,
. 1 \ 4 . ,
, ; ,
. :
4, 2. . ,
, ,
1 .
,
/ ! 2/04.

230 7.


. L6201 L M 18200. L6201
.
( ),
. L M 18200 .

, .
,
, .

7.2.2.
. 7.23
. , , ,

. (1/2,
1/2 1/2).
.
. , ,
.

2
1

------
2
1
nnnr>

2
1
"
------
------------------

. 7.23.

7.2. 231
,
, .

.
,
. . 7.24
. ,
.
120 ,
120.
.
, (., ).

( )

.
( )
( )

__

.
( )

( )
.
( )

. 7.24.

, ,
;

( ).
(, 5 ),
.
( 2.5 ).

232

7.

,
.
,
, . ( ),
.
. 7.25 -
.
, .
, .
+
1

_+

02

\2

01

0
1
1

0
1

0
1

. - \ 1 , 1 1,
- 2 , 2 1.

. 7.25.

(
) .
.
, . 7.24,
, ,
. ,
.
. ,
,
. ,
.

7.2. 233

( )

.
( )
( )

__ 1 4 _

.
( )
( )

.
( )
,
,
,
131...136
.

. 7.26.

. 7.26
.
.
.
,

.
,
,

234

7.

.
.
,

.
, . 7.26,
.
,
.
, TDA5140 Philips
8
, .

7.2.3.


(encoder), .
.
. 7.27.
,
. 4 .

,
.
, ,
.
,
.
. 7.28 .
, 16 .
,
. ,
, .
16 .
.
, ,
: , .
. 7.29 ,
.
, -

7.2. 235

. 7.27.

. 7.28.

, .
, .
, ( )
. , ( )
.
, , .
,
, . ,
(quadrature encoder)
: , . 50 1000
.

236 7.


----------
-----------


------------------
--------- 1

( )

. 7.29.

(index output).
,
. (. 7.29)
.
.
. 7.30 (absolute
encoder).
, . ,
,
. .
, , 6

7.2. 237
64 .
. , ,
.

7.2.4.
,
.
LM 628/LM 629 National Semiconductor.
. 7.31 , .
LM628 8- 12- ( ,
)
. LM629
.
.
8- , (READ)
(WRITE), (chip select),
(reset) (register select). LM628/629
.
( )
. ; ,
.

238 7.

LM628

.

Q.

Q.
*

>

LM629

Q-

ZJ-

Q.

./.

JT

. 7.31. LM628/629 National Semiconductor

(LM628)
(LM629), LM628/629
. ,
, a LM628/629
,
,
, .
, 32-
.
LM628/629 2 .
, . 8 (opcode),
. 0 14
, . LM628/629
:

7.2. 239

00

08

8-

05

12

12-

06

02

81

(
)

03

20

21

51

2...10

04

2...14

01

(,
)

09

08

07

628/629
, .
628/629
. ,
. , ,
628/629 . ,
,

240 7.

.
, .
( ) .
: 628/629 ,
.
, ,
628/629
, .
. . 7.32
.




629

1__
,
,

. 7.32. 28/629
,
, , ,
. 628/629
.
, .
628/629
:

7.2. 241
: 32
LM628/629. , ,
,
. .
, 64- .
, ,
32 ,
C017B390h + 40000000b 32
LM628/629.
, LM629 .

,
. 32-
.

.
, LM628/629 (
) ,
- (race condition).
, ISR
LM628/629, .
, ,
. ISR ,
, ISR -
. . 7.33 .

ISR - ( ISR), LM628/629.

04
( )

4-

, ,

,

3 ,

________________ ]

''


09 ( )
4

. 7.33. LM628/629

242 7.

LM628/629.
.
2300 Precision Motion Devices (PMD)
.

. 2300

.
2100 ( PM D )
. 2300, 2100
, 32-
.
PM D ,
.
HCTL-1100 Agilent
24- 8-
. HCTL-1100
,
.

7.2.5.

,
LM628. :
.
, (
) LM628?
. ,
.
,
?
.
,
-. ,
, 64 128-.
.
,
,
.

7.2. 243
, ,
.
.

.

. . 7.34 .
(
) .
,
( ).
, ,
, .
. 7.34,
1,
, .
--------- 1

I-------------------

------------------1

I------------


------------------1

I----------------- 1

--------- 1

I------------------- 1

I--------I-------- ---------

[=



,
,

. 7.34.

244 7.

,
, ,
.
.
4,
0 (rollover),
. ,
0001, 0000 (
FFFF), . ,
. 7.34,
( ,
),
( ),
. ,
, ,
.
,
.
,
. ,
.
, ,
, ,
.
.

,

. , PIC16C Microchip
(interrupt-of-change
feature), .

7.3.

,
,
:

.

7.3. 245
,
. .
, ,
.
.
,
.

, .
.

.
,
.

, .
- ,
.

,
.
.
,
, .
, , ,
. , /
. .
,
.
, ,
, ,
.
, - ,
. ,
,
.
, , ,
,
. ,
, / .

246 7.



. . ,
, .

7.4.


.
.
.

3.3 5 .
12, 24 50 .
,
, .
, , ,
-, .

.
, 100%
.

,
, .

.
,
? ,
(5 12 )
.
(<100 ).

, , ,
0. . 7.35
,
.

, - , ,

7.4. 247

+5

QR1


:
1 .

VT1

:
+5 ;

--

. 7.35.


. ,
.
. 7.36 , .
L M D 18200 National. L M D 18200
, .
, .
+24 , 5 ,
- ( ).
24 4.7
.
L M D 18200, .
5- (
- -),
, ,
.

+24

R1

(Brake Input)
LMD18200

--1
1 V 4.7

. 7.36. LMD18200

248 7.

7.5.
,
? ,
, ?

, , ,
.
Pacific Scientific 4N
55 163 (0.39...1.15 ) .
, , 6.8 14.1 .
,
. ,
,
.

7.5.1.
(Stall Torque) ,
, .

7.5.2.
,
( ,
).
.
, ,
. ,

.

7.5.3.

. ,
.

.

7.6. 249

7.6.
,
, . . 7.37

. .

.
.

, ,
. ()
.
, .
;
,
.

-,
.

:
,
,
.
, .
,
-.

.

, .
,
,
. .
() ;

.
,
, . -

250 7.

5-

___________________|___________________ |___________________ |
I------------------------------- 1--------------------------------- 1--------------------------------- 1------I------------------------------- 1--------------------------------- 1--------------------------------- 1------
( )

. 7.37.

7.6. 251
,
,
.
,
,
.
,
.

,
.
.
,
.
, - (timeout) 5
. .
,
,
.
,

, .
,
:
.
.
.
.
.
(, ).
.

.
.


, .
5 , .
, .

252 7.

.
, .
/ , .
,
.


,
.
.

, .
,
.
.

,
.


,
, , Bad \bltage.

= Bad \bltage,
.
,
, ,
.
,
, ,
.
,
, ,
.
, ,
.

(debounce)
, ,
, ,
1 2.

7.6. 253

1 ,
.
, ,
, ,
2 1.
2 ,
.


, ,
,
.


(
).
, .
.
.
; 1
, 0 .
,
.
.
,
, ,
.
(1511)
.
, ,
.


.
: , ,
. , ,
(interference), (susceptibility).
,
.
, , ,
.
,
. .

8.1.


.
.
, ,
, . . 8.1
,
.
. ,
. ,
, , .
, ,
.
,
.
, .

8.1. 255

.
. ,
.
,
.

. 8.1.

, ,
.
.
118-232 , .
, ,
.
, .
,
(, 208- ,
110 ),
!.
, !
, (, ),
.
,
.
(, ),
. 118-232, ,
.
,
.
.

256 8.

208 /1 10 , 208-
( ).
110 ,
208 , ,
, . ,
;
.
. 8.2 ,
,
.
,
.

. 8.2.

, 10 /.
(25)
250 . ,
.
.
,
.
, .
. 8.3 , .

.
, 1 .
50 ,
50 1 = 50 .
, 250 , 300 . 50
5, 5.
- ,
,
, . -

8.1. 257

50

50

300
---------------------------------------------------

250

----------------


250 ,
300


,
250

. 8.3. ,

. 8.4.

.
.
.
. 8.4.
.
.
,
.
, ,
,
. ,
.
, ,
, .

.

258 8.

8.1.1.
. 8.5 .
.
,
.
,

. ,

.
.

. 8.5. -

,
,
.
, ,
.
. 8.6.

.

. ,
.
, -
,
.
.

. , -

8.1. 259

. 8.6.

,
, ,
. ,
1*.
- ,
. ,
,
.
, ,
.

.

8.1.2.
,
, .
,
,
,
.

. ,
,
.
.
1 . (. . .)

260 8.

8.2.
(electrostatic discharge, ESD)
, , ,
,
.
, ,
, .

,
, .
, , .

.

, .


,
.

8.2.1.


, ,

,


.
. 8.7.

--

(
). . 8.7
, ,
.
.
, , ,

8.2. 261
(sealing
action). , -
, ,
.
. ,
0.635 (1/4 )
. ,
.
, , ,
,
.

8.2.2.
. 8.8 ,
. . 8.8 ,
. D1
,
. D2
.
,
,
, .
. 8.86 ,
.
R1 , RC-
. ,
,
, .

. ? ,
.
, .

, ,
- (. 8.8).
,
,
,
. ,

262 8.

+5

01


>

02
)
|-

+5

02

01 3 1

03 1

,5 7

013?;
1

02

1, 2 ,
1 .
02 ,

.

. 8.8.

8.2. 263
, ,
.
,
, .
, .
, ,
, .

. ,
, 12 , .
,
, ,
12 , .
. 8.8
. , . 8.8
,
.
.
0.01
(
100 ).
,
.
.

.
,
. ? ,

.

.
(watch-dog timer)
.

9.1.
(highprecision applications). ,

10 .
:

.
: 12-
16- , 8- 10-.
. 10-
5 4.88 . 12- 1.2 ,
4 . ,
3 1 10- , ,
, 3 12- 10 16-
.
R1

R2

V+ ^

. 9.1.


. . 9.1
. :
VQ= M V +- V _
V0 ,
\ ,
V+ ,
V_ .

9.1. 265
+ , V] _
0 :
=

,
___ I
/?2 + /?!

'

- ^ ~ 1+ >
:
0 (] + 2) = ( X , ,) + ( , X 2) - ( X 0 ,).

0:
, X

X 2

+ 2+

/ ? | + /?2 + X

,
:
V, .
= --- --- 1--- +

V,
,

/?2

+ + /?, + + ,
1

, :
,2
=

2\
1 + ;]


. ,
, .
? , 318
50000
200000. ,
: { = 10 2 = 50 , V! 1.2 .
2> \ ,
:

266 9.

, , 318
100000, ,
:

/?, +/?2+ X

X , X / ? 2

+ ^ 2 ^1

|
= _______ 100000 1.2 10
10 + 50 + (100000 10 )
+ _______ 100000 1.2 x 5 0 _______ =
10 + 50 + (100000 10 )
= 7.199568 .
,
432 . 8-
0...5 , 5
16- .
. , /?2 (
12), 0.6 .
7.2 .
7.199136 , 864
7.2 .

9.2.
0
.
, .
.
,
0.
.

:
0 = (+ - _ + ),

.
:

9.3. 267
0, ,
, :

,
, ( ,
). .
318, ,
4 (.) 10 (.). 10
6, 60 . ,
2 8- 0...5 . 16 786 , 9 !
, 318 .
, 18 ,
, 318
,
.
, , 400
/, 10 .
10,
400 60 .
, 1 16- 0...5 .

9.3.
,
,
. .
318, , 0.5 (.)
3 (.). ,
.
. 9.2

. ,
3 ,
:
\ + 2 {
+ , :

268 9.

2
-

11 1

VI

. 9.2.

,
. , {,
, .
,
.
318 , 400
, , 30 .
, ,
.
,
, ? \. ,

.

.
,
.

9.4.
. 9.3
318.
( )
10 . ,
318 5.99964
(7.199568\1.2) , 6. ,
. 9.3 ,
100000 0 , 3000 1 500
10 . 1.2 ,
, 6,
:

9.5. 269
0 7.199568 (
5.99).
1 7.185 (
5.98).
1 7.115 (
5.93).
8- 2 10 .

. ,
.
, 60, 6 (i?]/T?2 = /599 ),
59.688 1 54.28 10 .

[] (, )

. 9.3. LM318

9.5.

.

, (temperature coefficient, )
106 (/ ).
25... 100 / .
:

270 9.

2 |

,
\ ,
2 ,


2 2.

, :
, ( 0- ,)
7 = --------- L- ----- 1- + ,
2
106
1

, ,
, , 100 /,
25. ,
, 10?
, ,
10010 . (
) 6 5.995.
7.2 7.194 8-
, 1 10- 2
12- . ,
, ,

, . ,

.
,
.

9.6.

().
.
,
.

9.6. 271
LM336A-2.5 ,
+V

(. 9.4). 25 ,
R1

2.44 2.54 (
2.5
).
-* D1
LM336-2.5
LM336
8- , 1
100 (VREF = 2.54 )
104 ( V r e f = 2.44 ). 10-
1
R1

403 419.

. 9.4
2.5
LM336 ,
D1
LM336-2.5

. 0 ,

0.
,
. 9.4.


.
LM336
120 .
,
.

. ,
. ,
1 10- LM336 ,
409 2.5 .
419,
, 409/419 0.976.
403, , 1.014.
,
, 1024-
.
.
,
.
. , ,

( ).

272 9.


. - ,

.
. ,
,
.

30 (55...+125).
,
.
.
, 6225 / +25
2.5 2.499 2.501 .
,
2 /. 6225
,
30 50 .

9.7.
,
, ,
. . ,
.

, , ,
.

,
.
, .
, ,
, ,
.
, .

.

9.8. 273
. -
, (1).

,
. ,

, , .
:
,
,
.
. ,
, , .


.

.
,
.
.
,
,
.
,
(, ,
),
.
,
.
,
, ()
.

9.8.
. 9.5
. ,
.
,

274 9.

.

. ,
.
.
. 9.56 , ,
.

)
. 9.5.


. ,
, .

, ,
. .
.
.
,
. , 12- ,
0... 100 0...2.5

9.9. 275
24.4 610 . ,
100 24.4 1 ,
2.5 610
. , ,
, , ,
( ),
.

9.9.
(Printed Circuit Board Layout, PCB)


.
. ,
,
.

9.9.1.
,
, .
,

.
, ,
.

.

.

.

45 . ,

.

,
.

276 9.

)
2

. 9.6.

,

.

.
.
?

9.9. 277
. 9.6 . . 9.6
.
. ,
.
. 9.66
.
.
, .

9.9.2.

/104
(Virtual Machine Environment, VME).
. , - , -, -
.
/104, , +5 +12 .
LC (. . 9.7).

, , ,
,
.
, , .
,
12 , +5 .
. 9.76
(D C -D C converter)
. ,
, LC-.


(.
. 9.7).
.

.
, ,
SPI, 3. , -

278 9.

____

+5

(+)

(-)

+5

(+)

(-)

)
. 9.7.


.
.

9.10.


. 1%, ,
.

9.10. 279
,
. ,
.

.

. 1%- ,
, ,
, 1%. ,
.
,
,
. , .
,
,
, .
(,
).
, ,
, -.
,

.
, ,
:
, , .
, 2 ,
, ,
.
.

. ,
, ,
.
,
.
, ,
.
,
. .

280 9.

,
, .

, .
.
, : 4%
.
?


.
.

9.11.

, , +5 .

, .


. ,
.

9.12.
, ,
, :

;
;
;
;
;
;
;
;

, ,
.

10


. ,
, .
-
(industrial PC)
(Programmable Logic Controller, PLC).
,
.

10.1. IEEE 1451.2


IE E E 1451.2 ,
.
IEEE 1451.2
. IEEE 1451.2
,
(Smart Transducer Interface
Module, STIM). STIM
.
STIM 255
.

10.1.1.
IE E E 1451 10-
.
+5 , , ,
, . IEEE 1451 STIM ,
. STIM
( 255) .

282 10.

10.1.2.
IEEE 1451 ,

TEDS (Transducer Electronic Data Sheet, TEDS).
,
, ,
.
TEDS,
.

10.1.3.
, IEEE 1451,
, .
, , , ,
.

IEEE 1451.
:
();
();
();
();
();
();
();
();
() (2).
.
, , /,
STIM - /
IEEE 1451.
IEEE 1451,
,
.

.
0.
.

10.2. 4-20 283


-,
.

10.2.
4-20
4-20

(. 10.1).
, .
.
(, . .) .
4 20 .
+

4-------

4-20

. 10.1. 4-20

4-20 ,
.
.

.

10.3.
1
,
, , . ,
15
4-20
(. 10.2).
15 ,
: , .

284 10.

1
. ,
. 11
, 4-20 .

; 0 1
20 . -2
31250 /. -2
.
,
,

. -2
,
.

,
,
. . 10.2 2 0 1, 0110.

_J--- 1 _ _ _ "
|

I 1

I 1

. 10.2. Fieldbus
Fieldbus (polling)
(token passing).
(poll-)
. ,
.

10.3. Fieldbus 285


,
(token),
.
, - ,
.
,
Fieldbus, , 4-20 ,
,
, ,
.

11


, .

11.1.

2 ,

.

. . 11.1
,

.
,

. ,

,
,
.
. 11.16 ,

. .
1 10 , ,

, .
,
. ,

11.1. 287
.
,
.

+2.5

+5
+5

)
+5

Vcc

1
2

-1-

)
. 11.1.

,
, ,
. 11.1.
,
. ,
, ,
.

, . ,
5 ,
2.5 ,
128 ( 8- ).
4.8 ,
133.

288 11.

,
.
128/133, 0.96. ,
,
. ,
,
.

11.2.
,
,
1%, 0.1%-
, .
, .
,
0.1%, 1%, 5%.
2 , .
,
, ,
. , ,
, ,
, .
. 11.2
.

0...8
0...5 .
. ,

.
. 11.2.
1%

, .

, R\ 1%
, 10100 ,
2 1%, 9900 .

r

I N P U T
1

= I N P U T 0.495
2

11.3. 289
1% .
, Rj R2 .
- 1%,
0.5%. , R b -,
1% 10100 .

0.5%, R2 10049.5 . :
R2
I N P U T =- = I N P U T x 0.4987,
1
2
0.25% .

11.3.
.
, , . , ,
(,
), . . 11.3. ,
.

.
,
.
,
.
,
, , , .
, ,
, ,
, . . 11.3 . 11.36.
,
.
,
. ,
8 16 .
, , , .
. 11.. . 11. ,
16 .

290 11.

8 1

4\

10

11

12

V3

14

15

16/

. 11.3.


,
. ,
,
.

, .
,
, .


. , -

11.4. 291
. ,
.
, .
,
, .
,

, .
,

, :
,
.

.
, /
.
/

.
,
,
.
,

. 5
-.
, .


.
. , ,
.

11.4.

, ,
. .
(Solid-State Relay, SSR). . 11.4, -

292 11.

-
-,
.
.

(*>

<8>

- >
. 11.4. -
-

(


)


(^)
.
,

.

)
. 11.5.

11.5. 293

(. 11.56).
, ,
, .
. ,

. ,
(. 11.5).

0.


. . 11.5 :

. , 0,
.

. , ,

,
.
,
.
.

11.5.

.
TL7770 Texas
Instruments. TL7770
. ,
(1
TL7770),
.
,
, .

,
.

294 11.

,
,
.

.

11.6.

. . 11.6
. ,
, .
+.
+

!
'
+5
+3.3

. 11.6.

11.6. 295

, .
:
0.8
.

.
,
.

.
,
.
:
-

( ).
.
,
,
, ,
.
, , 0.6...0.8 .

, .
,
.
,
.

10...20,


. ,
,
.

,

296 11.

.
,
,
.
. ,
.
,
.

11.6.1.

.
. 11.66
+5
+3.3 .
+3.3 .

11.6.2.

.
, - .

,
.
.
,
.
,
. 11.6, .

, .
220 ,
.

.

.
.
,

11.7. - 297
,
. , ,

.

11.6.3.

, . . 11.6
--- . --
( --- ),
.
, ,
.
---
---.
,
.

, .
, +3.3 +5
, +12 . . 11.6
-- ---
. ---
, ---.

11.7. -
, --, -,
,
.

11.7.1. -
-
. 11.7. -
.
, -

298 11.

.
, - .
-
:

-,
. +3- , +5-
, -,
.
.
- -
-, , . ,
-, .
, -
, , , -

.
-
-.

, -
.
-
,

- . ,
, -
.
,
,
. 11.76.
5048 MAXIM/Dallas. 5048
+12.6 . 5048
- - .
R1, - , -. R1 -
,
-,
R1 -
.

11.7. - 299

5048

-
-

1+

1- N 01

.......

-
-

+12

-
^ -

5048

1+

1-

_1_

-
-

+16

-*

,+12

-
-

. 11.7. -

11.7.2. -
.
/^- , . 11.7. 5048
/^- -. ,
- -
,
, .

300 11.

- ,
-
, .
- - .
,
, /7-
. -
.
- -
. - ,
,

. ,
.
-
. :
(bootstrap)
.

, . . 11.7.
.
,
.
16
. ,
0 16 , 0 12 .
- (bootstrap)
-
, . . 11.7.
1, ,
.
,
, .
-, ,
,
, .
,
, -

11.8. 301
.
- -, .
, -
,
.
, -.
,
.

11.8.


,
.

- .
. 11.8 ,
, .
+5
, 2...+2 .

0...5 . ,
, 1.5...3.5 .
, :
,
.
: 4
2 .

.

.

, .


.

302 11.


.
.

2 ------

0 -----2 ------

. 11.8.

11.9.
,
,
.
. . 11.9
. 12 ,
25 , -.
.
14 .
,
. 8 , 0 0 ,
255 +5 .
IBM PC,
. PC
, PC
. ,
.
Python .

11.9. 303

. 11.9.


.
. ,
,

.
. 11.9 R2 . R2
R1 ,
.
, (reversed)
, . .
,
.
. 11.10 .
1 (
) 250 ( 100%)
1 . ,
,
.
Microsoft Excel.

304 11.

. 11.10.

11.9. 305
. 11.106 .
250 , ,
1 .
, .
.
. 11.10
.
1 241, ,
,
.

11.9.1.
(on/off, bang/bang) . 11.11.
, 100,
1.95 . ,
98 112, 0.3
15%. ,
. ,
, .
. 11.116
200. . . 11.11
100
4 . .
.
. 11.12 ,
150. ,

. , ,
, .

11.9.2.
. 11.13
150 ( 2.9 )
2.
, 200.
:
= 200 + ( - ) .
> 254, = 254.
< 1, = 1.

306 11.

<

. 11.11.

11.9. 307

[ ]

. 11.12. 100%

,
8- .
2 145.
. 11.136
, (100% ) 20.
150.
150,
- .
,
150. , 10 ( ),
149 150.
.
150, 10 100.
157 158.
,
.
,
100 222 (. ).

308 11.

<

[|

=
<

[}
:

[(3

. 11.13.

11.9. 309
. 11.14
150, 10, 47
(112), 22 (1).
150,
,
152 153. ,
,

.

[]

. 11.14.

11.9.3. -
. 11.15 -
:

= 2;
= 2;
= 2;
= 150.

310 11.

. 11.15. -;

0 ,
10%- .
, ,
150... 151. . 11.156
. ,

,
.

11.9. 311

[|

. 11.16. -

. 11.16 ,
40, ,
. . 11.166
2, 40.
135... 172 .
, ,

- .

312 11.

. 11.17 :

= 4;
= 2;
= 2;
= 150.

[|

. 11.17. -


. . 11.176 ,
47 (2), 22 ( ).
, ,
37 .

11.9. 313

11.9.4. -
. 11.18 - ,
4,
0.1. 140, , 145,
,
, , 150.

[]

. 11.18. -

314 .

.
()
, .
() (V -), (+ )
(+). :
0 = + - - ) ,
(, 100000), + _ .


. .1 :
, ,
. .

IV

>

VI

VI



VI

V-

V2

VI ------

I + ^ >

2^
. .1.


(0)
(V),
(+). :
0 = ( +- _ ) ,

. 315

(). 0 V :

\ +,
, :
0 = ( + -_, 0 = ( 1- 0),

0, :

, : 0 = ]


:
0 = ( + - _ ) .

,
+ = 0 . - ,

2- _ :

316 .


-V , 2
V =
0
*2 ,
+ + ,

1
2
^1
, 0,
V

- 1 2
0 = ------,
|*1
.

2
,


:
= ( + - _).

+ V-----
, 0 .
V

2 + {

:
(

12 + {,

:
0 ( \ + 2) = ( X , ,) + ( 2) ( 0 ^ ).

0
^ ^

^ + 2 + 7?!

{ 2

+ 2+

. 317

/?|
, 0,
V

V, 2

- , + - ! ^

7\

0 = ^ , ( 1 + ^ ) .



. +, V
, ,
:

- 1 ^ + -
/+ 3

2 +

, + 2'

( \ 2

( 0 ~ 2 )^ 3

, +2

^1

/?2

2 '

+ ^1 ^ 2 ~ ^ ) ~ (
'

^2

^2

+ ^2' ^

^3

^1

^ 2

2)

,
:
_

'^ 2' ^

+ ^1 ' ^ 2 ' ^ 3 ~ ( ^ 2

+ ^2 ^

' ^ 2)

/, + 2 /3
,

/?2 ~

= ^

/?

2 11 + ^

- ^

;-

/?) /? , :

,
/?//? 3 .
, +
:

318 .

- 1 + - ^ -


\ , 2. 0
0 .


, (
)
.
, :
+ = V _

, , .
, .


_ ,
0 \. + = 0,
:
( - -* !
0, :


0 .
=

+ =

1 = 7 + ! =

2\
1+ )

. 319
, 2 = ( 0 - 2) 3

, + 2

+ 3

0, :
/

^ = ' ^ 2( 1 +
,
+ = _ .


.
,
, .
, :

.
,
. .
,
. ,
.
,
,
.


, . . ,
. . .2 .
--- 1 .
.
, ,
.
, 1
,
. ,
, . -

320 .

, 1
. ,
1 .
,
. .

, .
+

. .2.

. . .
,
. ,
+5 .
+2.5 , 1 .
:
0 = (+- _ ) .

. ..

. 321
100000,
:
100000 ( 2 . 5 - 1) = 150000 .
,
, ,
+5 , .
, +4 .
, -150000 .
, - 0 .
,
,
, .
.
,
, , ,
.
,
.
,
,
.
,
. ,
+12 , (
) ,
+5 +3.3
.

,
? . .4
.
,
,
. ,
,
.
, . .4,
.

322 .

,

.
, ,
.

. . 4.

,

. . .5 ,
. .4, . 2
.

. ,
+5 . 1
+2.5 . ,
+ :
(0 - . ) .
V = 0
/
1+
+
+ 2
'
0 = 5 , = 2.5 ,
= 1 2 - 100 ,
+ 2.5247 .
, 2.5247 .

. 323

^
>

1
^

100

>

->

) ,
( 2 ) ,

,
\/+
4+

,
. + 0,
. 0
(0 ), + .
2 - 0
+, 0 . ,
+, 2.475 .
,
. ,

324 .

2.5247 ,

,
2.5247 - 2.475 = 0.049 , ,
. 0.049 .
, 0.049
.
:
.
, +,
, . +
0, + . .
.
, .

.

.
, ,
.
(
) .
,
.
,
0
2.

.

.



.
,
. ,
.

.

. 325
.
() > 120 .
80 . . .6
.
.
.
R5
R4

R1
R2

R1 = R3
R4 = R6
R5 = R7

R3
R6

. = - - ( 1 + ^ 1)

R7

. . 6.


,
. , AD624 Analog
Devices 16- . AD624
1, 100, 200, 500
1000 .

,
. ,
.

326 . -

. -
- ( )
,
, ,
.
,
.
()
.

?
, . .1.
,
, , , . ,
18 , ,
12 667 .
, , .

.

[]

. .1.

. - 327

16 ,
.
, 6 .
6 , 6 /15 = 400 . ,
, 6 400 = 2.4 .
16
400 . , 16 ,
6 , (16 6 = 10 ).
, 10 400 = 4 . ,
,
, .

. : 2.4 + 4 = 6.4 . . .1
,
.
.1. ,

,

,
[]
[]
[]

[]

[]

133

0.267

1.87

2.14

200

0.6

2.6

3.2

270

1.067

3.2

4.27

333

1.667

3.67

5.34

400

2.4

6.4

466

3.267

4.2

7.47

533

4.27

4.27

8.54

600

5.4

4.2

9.6

10

667

6.667

10.67

733

8.067

3.67

11.74

12

800

9.6

3.2

12.8

. .2 , ,
( ).
,
, .
.

328 . -

,
8 ,
.
, 9.6 ,
12.8 , .

[]


( ) []

. .2.

. ..
, 16 .
, (
,
-). ,
.
, ,
66.7% . 33.3%
. ,
, 0.667,
0 = 1 . 5 .
16 /15 = 1.0667 .
,
1.0667 66.7% = 0.711 .

. - 329

<j)+16
,
15 0

I-

OFF ON OFF ON

OFF ON OFF

__.

1J ~

66.7%
(ON),
33.3% (OFF)

ON

33.3%
(ON),
66.7% (OFF)

. ..

33.3%
, 66.7%
. , -,
1.0667 , 1.0667 33.3% = 0.355 .
:
/o n =

h ~
P \v =

Vs/R;

A dn

Ton;

x Ton x Ton;

/ 0 ;
^ ;
R ;
/ ( ) ;
7 qn ;
PAV .


, (. . .2 ). (
, - ,
.)

330 . -

.2. -
,
[]
, %

[]

[]

133

0.267

1.5

200

0.6

3.5

270

1.067

6.25

333

1.667

9.7

400

2.4

14

466

3.267

19

533

4.27

25

600

5.4

31.6

10

667

6.667

39

11

733

8.067

47

12

800

9.6

56


.

56%, 16 ,
, 9.6 . 100% , 17 .
,
. ,
, ,
, ,
. 1= 0.
,
,
.
.
.
( ),
. , 9.6
9.6 , 12.8
.
16 .
, ,

. - 331
18 .

.
,
.
,
. ,

. , ,
, :
;

;

.
, ,

(
. .).
( ) .

. , 50%-
50 75%- 75.
,

.
,
, .
100 ,

50 .


, .

. -
.
.

332 . -

,
() 1 .
, .
.
, .
(16 )
(1 ), 15 . ,
15 /15 = 1 .
,
. (
) .
,
1 1 = 1 .
. 33.3% ,
0.33 , 66.7%
0.667 .



. . .4 .
.
,
.
, ,
. . ,

, .
,
( ) ( ), . .
,
,
.

. .4.

. - 333



.

. ,
8- , 256.
1 ,
1 /256 = 3906.25 .
256 ,
1/256.
0 99, 100
255, 100/256 = 39.06%.
0...100 101...255,
101/256 = 39.45%. 0.39%, 1/256.
, -
.
. ,
.
.

.

. ,
0...99, 0...100,
99.5, . . 2 .
0...99 0...100 3 .
:
,
. ,
.
.
,
,
.
,
.
.

.

334 . -

, (-
). ,
.
.
(rollover
point) . .
, 8- -,
256 3906 .

, 10 .
1% 0.39%.

, .


,
, .
, 9.6
800 . 1.0667 .
.

.
,
.
.



. ,
, ,
.
1.0667 .
,
1.0667 , .

,
. -
-

. - 335
. ,
,
.
,

.
.
, .
, ,
100... 1000 -.
-
.
- ,
- .
,
.



.
.
() . .5.
/).
V+
1
,

. .5.

D TDA8920 Philips
50 , 90%.
D
.

336 . -

, ,
.

, , .


. .6 .
8- (. .
). , 1 .
( ) 8- .
, ,
,
.
, ,
, 00 FF.
ROLLOVER
.
8-
8-

1LD

ROLLOVER

D0...D7

-vi

D0...D7 Q0...Q7

___

8-

8-

. .6. -

. - 337
,

, .
, ,
.
, ,
256
1/256 100%. . .6
. ,
, , . X
, ,
, .
. , ,
,
.
,
- .
( ), , ,
,
,
0, .
,
.



, :
;
;
;
;
/ .
, ,
, ( ).

.

. ,

338 . -

/ .
0% 100%. ,
256 , ,
.

0% 100%.

, . ,
,
. ,
, ,
, .

. 339

www.analog.com

Analog Devices

www.atmel .com

Atmel

www.burr-brown.com
www.clarostat.com
www.dalsa.com

Burr-Brown
Clarostat
Dalsa

www.fairchild-ic.com

Fairchild

www.honeywell.com

Honeywell

www.maxim-ic.com

Maxim

www.microchip.com

Microchip

www.mot-sps.com

Motorola Semiconductors

www.nsc.com

National Semiconductor

www.pmdcorp.com
www.sel.sony.com/semi
www.ti.com
www.xicor.com

Performance Motion Devices


Sony Semiconductors

Texas Instruments
Xicor

www.bodine-electric.com
www.eadmotors.com
www.maxonmotor.com
www.micromo.com

Bodine Electric

, ,

Eastern Air Devices

, ,

Maxon Motors

Microchip

www.orientalmotor.com

Oriental Motors

www.pacsci.com

Pacific Scientific

, ,

Guardian

www.liteon.com

Liteon

www.omron.com

Omron

www.guardian-electric.com

www.optoswitch.com
www.qtopto.com

Clarostata

QT

340 . Python 11. Excel 4

. Python 11.
Excel 4
Python
-
, 11. PWM ADCREAD
-
, .
Python time
. PC 115200 /.
, ,
, .
.
Microsoft Word ,
Excel .
Python,
www.python.org. 256 (samples)
, waveform.
, , .
:
PWM(254)

# 100%

count = 0

time = clock()
while count < 256:
while clock() - time < .001: pass
#
time = clock()
adc = ADCREAD().
#
waveform.append(rd(adc))#
count + = 1
PWM(l)
#
print waveform
# waveform

1

waveform
.

:
PWM(250)
#
1.5 .
time = clock()
while clock() - time < 1.5: pass # 1
count =
time = clock()

. Python 11. Excel 4 341


PWM(l)

# .

while count < 256:


time = clock()
while clock() - time < .001: pass
# 1
adc = ADCREAD()
#
waveform.append(ord(adc))
# waveform
count + = 1
# ,
print waveform

:
setpoint= 100
count = 0
waveform = []
control = []
#
#
#
#
#

#
# (Waveform)
#


,
. 1.
PWM (250)
while clock() - starttime < 1: pass

time = clock( )
#
#
#

,
0.001 .
0.004 , , 4.

while count < 256:


while clock() - time < .001: pass
# 1
time = clock()
adc = ADCREAD()
#
adcint = ord(adc)
# (integer)
waveform.append(adcint)
# < (setpoint), .
# > (setpoint), (100%).
if adcint < setpoint:
PWM (1)
Control.append(0)
else:
# (adcint>= (setpoin)
PWM (250)
control.append(1)
count + = 1
# , .
PWM(l)
# .
print waveform

342 . Python 11. Excel 4


setpoint = 150
offset = 200
gain = 10
count = 0
waveform = []
control = []
#
#
#
#
#
#


,
.
1 .
PWM (254)
while clock() - starttime < 1: pass

time = clock()
while count < 256:
while clock() - time < .001: pass # 1
time = clock()
adc = ADCREAD()
#
adcint = ord(adc) # (integer)
waveform.append(adcint) # waveform
# ,
# ( = )
# 1-254

output = offset + (adcint - setpoint)*gain


if output < 1 : output = 1
if output > 254 : output = 254

PWM(output)
control.append(output)

count + = 1
# , .
PWM(l)
#
print waveform

-:
setpoint =150
offset = 200
# -
#
# .
# ,
#
GI = 2
#
GD = 2
#
GP = 4
#

. Python 11. Excel 4 343

derivative =
integral =
count =
waveform = []
control = []

I - []
D = [ ]
preverr =

#
#
#
#
#
#

#
#
#
#
# ,
#


,
.
1 :
PWM(254)
while clock( ) - starttime < 1: pass

time = clock()
while count < 256:
while clock() - time < .001: pass
# 1
time = clockO
adc - ADCREAD()
#
adcint = ord(adc)
# integer
waveform.append(adcint)
#
# . , adc - setpoint
# setpoint - adc. , ,
#
#
error = adcint - setpoint
# ,
derivative = error - preverr
preverr = error
#
D.append (derivative)
# , 10% ,
#
# integral + error. 10%
# , 0.
# (windup) ,
if error < setpoint/10 : integral = integral + error
eise: integral = 0
I .append(integral)
# ,
# 1-254.
output = offset + (error*GP) + (derivative*GD) + (integral*GI)
if output < 1 : output = 1
if output > 254 : output = 254
PWM(output)
Control.append(output)

344 . Python 11. Excel 4

count + = 1
# ,
PWM(l)

#
print w a v eform

(Print result) .

. 4.1 Microsoft Excel.


:
: 1000 , ,
Rand().
: 1000 , Value + .
: 1000 , TRU N C(Bx, 0).
D: 1000 , IF(Cx = 3, 1, 0).
: 1 , = 3, 0
, = 4.
100 (), 100
, 100. 1000
() 1000.
, ,
. , 4 : TRU N C(B4, 0).
Value : 2.25, 2.5
2.8 . 4.1.


LSB (Least Significant Bit) (
).
LVDT (Linear tria b le Differential Transformer)

.
MSB (Most Significant Bit) ( ).
VRS (Variable Reluctance Sensor)
.
, .
(- ) ,
, ,
.
, .

.
,
. ,
, , .
( Correlated Double Sampling,
CDS) ,
. ,
, ,
.
, ,
,
.
,
/ /
. , ,
jpeg . ,

346

/.
, .
,
. ,
.
(--)
,
( ),
( )
( ).
- ,
, .
(Resistance Temperature Detector,
RTD) ,
.
,
.
.
,
. , , .
,
.
,
.

.
, ,
.
.
,
.
( )
- .

.
- ,
.
(- ) ,
( )
( ).

347
(- )
,

, ,
, , . .
.
(Electromagnetic Interference, EMI)
,
. EM I : ,
, ,
,
(RF) , (ESD),
,
,
( , . .).
(Electrostatic Discharge, ESD) ,

.
,
. ESD -,
-,
(, ).
( ) ,

,
- .
,
,
.
,
.

, 1879 .
.

05203 202
05220 199
05300 198
0570 48
0594/595 83
0624 325
07801 198
07823 60
07824 65
872 49
7120 199
2()2 116
9054434 65

100 242

I
1724 104

1-2113 104

297 225
6201 221
18200 222
231 130
1.335 84
336-2.5 271
34/35 84
4546 67
628/629 237
74 84
75 84

1101 64, 110

1242 58
151 50
191 49
349 205
350 205
400 267
4511/4512 204
5048 298
6225 272
6576/6577 121
2300 242

N
612 128

8591 63

5140 234
8920 335
7770 293
1103 110
/04 125
3054 67

- 114


204
204

4511/4512 204
202

24
20

349
25
130

LM4546 67
30, 32
AD570 48
AD7823 60
AD7824 65
AD872 49
1101 64, 110
1242 58
151 50
191 49
PCF8591 63
11677 65
49, 50
65.

49
-
50

49
49
70
65


230


63
( 3
High-speed) 12 63
( 2 Fast) 12 63
248
99

222

110

LM335 84
LM34/35 84
LM74 84
LM75 84
1617 84

6576/6577 121
03/04 125
110, 111

111

113
111
() 112
113
112
206
226

215
214
107
Tranzorb 182

15

15
229
96
74
140

181

3

279

() 135

82
181
--- 181
181

AD624 325
173
99
Fieldbus 283
IEEE 1451.2 281

ISA 23
/104 23
/104+ 23
- VME

20

PCI 20

Ethernet

20
FireWire 20

350

Fieldbus 283
IEEE 1451 281
4-20 283

LM336A-2.5 271
6225 272
270

67

3054 67

-2 284
284
88, 322
281
( ),
74

HCTL-U00 242
2300 242
TDA5140 234

LM628/629 237

2100 242

5048 298

281

L297 225
140
140


103

172

114
- 285
75
, 282
159
-
279
279
106

90
140

AT90S4434 65
11677 65
- 297
- SENSEFET 190

L6201 221
LM 18200 222

205
205
205

205
4-1 ()
350 205
8-1 ()
349 205

288
254

188
185
264
108
279

139, 314
314
79
314
314
314

82
320

() 83
82
139
314
79
266
284

96
-
85
85
85
85
() 86

351
- 292
- 292
85
95
95

87
95
85, 94
85, 95

87
95
87
94,

195
4 5 95
61136 95
--- 196
196
196
, 139
, .

284


50

58
63
51/1 58
275

101
104

1(724 104
1-2113 104
- 101
- 99, 107
100
100
19
, . -
83

() 191
98
98
202

5220 199
4-

5203 202

160
- 129
231 130
() 99
: 173

281

\Vindows 25
91
20
173
281

41
116

( ^ ) 214
210
179

74
160
88
128
130
-
/ 156

, 145
, 145
- ,
- 148

144
144

151
170
140
144
() 141
169
151

170
264
216
127

612 128
179
179
179

352

64

( ) 94

279
263

.7770 293

- 251
192
99
107
71
81
^--
84
81
71
,
269
116
/ 117
/

116

0 2 116
116
116
28
29
29
29
143
143
143

82
82
82
82
- 82

73
74
71

71

71

38
36
37

39
- 40
34
43
196
112
112
296
275
103
196
- 188

, . -
92
168
168

168

() 139
101
D 335

TDA8920 335
J
AD594/595 83
141
- 46
- ()
48

48
48
47
48

, 128
95
292
88
131

(antiwindup) 174

X

37
83
36
33
33, 37, 41
37
/ 36, 37

353
37
/ 33, 42
33
()
RD
55

56
52

54

30, 198
8-
AD7801 198
8-
AD5300 198
8-
ADV7120 199

104
103
20
199

127

206

206

206
206
335
12 61
- ( )

326

() 23
254
- 99

-- 260
260
228, 234
236
235
235
236

82
82
81
110


- +----- 22

P.

. .
. .
. .
. .
. .
. .
. .

29.05.2007. 60x90/16. .
NewtonC. .
22,5 . .+ . 0,125 . . . . . 22,5 + . 0,125.
2 000 . AIF.
-
95 3000
105318 , / 70
./: (495) 366-24-29, 366-09-22
E-mail: books@dodeca.ru; red@dodeca.ru
, .

-1

. .

AVR


: 2006
: 592 .
ISBN: 978-5-94120-090-0
: 60x90/16


AVR
ATMEL.
AVR, ,

.
,
, , .
AVR Tiny
,
.
,
, .

-1

. .

AVR
Tiny.

: 2007
: 432 .
ISBN: 978-5-94120-116-7
: 60x90/16

AVR Tiny
ATMEL.
AVR, ,

.
,
, ,
.
AVR Tiny ,
.
,
, .

-1

. .

ARM7
LPC2000

(+CD)

'

V#

ARM?
120

: 2007
: 560 .
ISBN: 978-5-94120-111-2
: 70x100/16


LPC2000,



.

LPC2000, ARM7TDMI-S, ,

LPC2000, - .
- IDE IAR Embedded Workbench -
Flash- LPC2000 Flash Utility ,
.

,
.
,
,
LPC2000.

, .

-1

.

: 2007
: 408 .
15: 978-5-94120-147-1
: 70x100/16


(),
.

,

.

( ), ,
, /++.

-
(). ,
().
, ,
, ,
.
,
. ,
, ,
, .

-1




: 2007
: ??? .
ISBN: 978-5-94120-106-8
: 70x100/16


.
RS-232, 12
Ethernet ,

(LAN) (WAN) ,
. ,
, .
Atmel Microchip.
Atmel ICCAVR Pro,
PIC CCS PIC.
-
. , -
Easy Ethernet PDF-, .
, ,
,
.

-1
:
,
,

www.dodeca.ru

- ,

.

-XXI 2006 .
.
- 80 .

.

- www.dokabooks.ru
./: +7(495) 366-2429,366-0922
e-mail: books@dodeca.ru




: ,
.
,

12, 51/, , 8 -23 2/48 5/42 2,

4 20 .

:
(% ) S e w
, , , ,
. .
, , , , , . ,

,
-.
,

.
&

,
,
, .


Analog Interfacing to
Embedded Microprocessors.
Real World Design

ELSEVIER
Elsevier Inc.

You might also like