You are on page 1of 60

ABSOLUT

SI-1
2007.12.24

EE

DATE

POWER

DATE

DRAWER
DESIGN
CHECK
RESPONSIBLE

DATE

CHANGE NO.

REV

3
SIZE =
FILE NAME : XXXX-XXXXXX-XX
P/N
XXXXXXXXXXXX

INVENTEC
TITLE

VER :

Absolut

SIZE CODE

A3

CS
SHEET

DOC. NUMBER

REV

Model_No
1

OF

AX1
60

TABLE OF CONTENTS

PAGE
PAGE
PAGE
52. DAUGHTER BOARD CNTRs
30. MXM CNTR
5. DC& BATTERY CHARGER
53.
DOCKING CNTR
31.
VGA
/
LCM
CNTR
6. SELECT & BATTERY CONN
32.
HDMI
CNTR
54.
MAIN BOARD LEDs
7. SYSTEM POWER(3V/5V)
55. MAIN BOARD SCREW HOLEs
33. ICH9-1
8. SYSTEM POWER(+V1.05M/+VCCP) 34. ICH9-2
56. DB- POWER BUTTON
9. SYSTEM POWER(+V1.5/+V1.8)
57. DB- USB / ESATA
35. ICH9-3
58.
DB- MEDIA SOCKET A / AUDIO JACK
10. CPU POWER(VCC_CORE)
36. ICH9-4
59.
DB- MEDIA SOCKET B / AUDIO JACK
11. DDR TERMINATION VOLTAGE
37. ICH9-5
60.
DB- FINGER PRINT
12. POWER(SLEEP)
38. KBC
13. POWER(SEQUENCE)
39. SPI / Keyboard / Touchpad CNTR
14. CLOCK_GENERATOR
40. Super I/O
15. PENRYN-1
41.
AUDIO- 1
16. PENRYN-2
42. AUDIO- 2
17. PENRYN-3
43. AUDIO- 3
18. PENRYN-4
44.
LAN- 1
19. THERMAL / FAN CONTROLLER
45.
LAN-2
20. CANTIGA-1
46.
CARDBUS
CONTROLLER
21. CANTIGA-2
47.
HD
PROTECTION
/ AMT ME / SATA HD&ODD CNTR
22. CANTIGA-3
48.
CAMERA
/
BLUETOOTH
/ FINGER PRINT / USB CNTR
23. CANTIGA-4
49. WLAN CNTR
24. CANTIGA-5
25. CANTIGA-6
50. 1394 / NEW CARD & EXPRESS CARD CNTR
26. CANTIGA-7
51. MDC CNTR / TPM V1.2
27. DDR2-DIMM0
28. DDR2-DIMM1
29. DDR2 Termination Res / Decoupling Cap

INVENTEC
TITLE

Absolut

SIZE CODE

A3
CHANGE by

Smit Wu

20-Dec-2007

CS
SHEET

DOC. NUMBER

REV

Model_No
2

OF

AX1
60

Penryn

XDP

(uFCPGA)

P18

ICS9LPRS397

P15~18

Clock generator

HDMI

P14
P32

FSB

DDR II_SODIMM0

DDR II_SODIMM1

P27

28

LCM
P31

(256MB)

CRT

Cantiga

16X PCIE

MXM III CNTR

DDR2 Interface

PM

P30

ODD

DDR2 Interface

P20~26

SPI

SATA

KBC1091

P47

EEPROM

P38

P39

P31

DMI

ESATA

SATA

SATA

HDD

P57

P47

P48

53

P33~37

PCI_EXPRESS

RICOH_R5C835
CARD BUS

P49

P48

EXPRESS

MINI CARD
(WLAN)

P46

CARD

P49

P50

Giga-bit LAN

3.3V, 33MHz/Azalia

PORT REPLICATOR

USB2 /PCI_EXPRESS

PCI_EXPRESS

P53

USB7
WWAN

P48

USB8

P57

ICH9-M

FIGER PRINT

USB6
Bluetooth

P57

USB9
Dock

USB5
CONN E

P57

USB10
Camera

USB4
CONN D

P57

USB11
Dock

USB3
CONN C

P48

USB1
CONN B

USB0
CONN A

3.3V, PCI_Interface,33MHz

SMART
CARD

Intel Boza
P44

1394
P50

P50

LPC

BATTERY

MDC_1.5/Modem
P5~6

Module 56K
P51

7 IN 1

RJ45

AD_1984HD

MEDIA SOCKET

TCPA
P41

P51

System Charger &


DC/DC System power

Super I/O

Kahuna Lite2

47N217

KBC1091

P58

P38

P40

RJ11

INVENTEC
TITLE

P7~13

Absolut
Block Diagram

SIZE CODE

A3
CHANGE by

Smit Wu

3-Dec-2007

CS
SHEET

DOC. NUMBER

REV

Model_No
3

OF

AX1
60

ADP_EN
OCP#
ADP_PS0
ADP_PS1

LIMIT_SIGNAL
OCP

FDC655BN

+V5A

+V5S

+V3A
CHGCTRL_3

Charger

Adapter

(BQ24740)

(90W)

ADP_PRES

ADP_PRES
KBC_PW_ON

5/3.3V
(TPS51120)

FDC655BN

+V5AL

+V3S

+V3AL

SLP_S3#_3R

AO6409

AC_AND_CHG

+V3M

+VBDC
AO6409
+V3M_WOL
Main Battery
BATSELB

+VBATA

Selector
AC_AND_CHG

FDC655BN
+V1.8S

+VBATB

(Discrete)

Travel Battery
DDR3/1.5V

CHGCTRL_3

+V1.8
LR

(TPS51117)
BATCON

SLP_S4#_3R

SLP_S4#_3R

+V0.9

(G2997F6U)

PM_SLP_M#

+VBATR

(TPS51117)

M_VREF

+V1.5S

SLP_S3#_3R
+VCCP
VCCP_PG
SLP_S3#_3R
IO POWER
(TPS51124)
PM_SLP_M#
V1.05M_PG
+V1.05M

+VCC_CORE
IMVP VI
PWR_GOOD_3
SLP_S3#

PM_DPRSLPVR
VGA POWER
+VDD_CORE

+VBAT

VGATE
(ADP3207)

PSI#

(MAX8760)

INVENTEC
TITLE

Absolut

SIZE CODE

A3
CHANGE by

Smit Wu

20-Dec-2007

CS
SHEET

DOC. NUMBER

REV

Model_No
4

OF

AX1
60

5-,12-,13-,19-,30-,31-,32-,34-,36-,38-,39-,40-,41-,42-,43-,47-,50-,5310-,12-,13-,14-,15-,19-,20-,25-,26-,30-,31-,32-,33-,34-,35-,36-,37-,38-,40-,41-,46-,47-,50-,51-,52-,54-

L5
NFM60R30T222
1
2
3

C189

7
8
9

33uF_25v

C5563 C185
1

1 R5699 2

Vcc+ 5
C5591
0.1uF_10v
1

2 GND
OUT 4
1

2
2

6-

1
2
3
4

R41

SRSET

294K_1%

6-,38-

5-

R5657
140K_1%

13

C5578
2 1uF_6.3v
2

16

R5651
+V3AL

5-,6-,7-,11-,12-

6
2

BQREF

10

5-

PCSPKC#_3

SLP_S3#_3R

7-,8-,9-,12-,13-,34-,38-,43-,50-,53-

21

1
4

3
D

1G

20

+V3AL

1
11
1 R6138

12

10K_5%_OPEN

15

R5918

0_5%

R5668
453K_1%

10K_5%

BTST

EXTPWR

VCTRL_3
5-

5-,6-,7-,11-,12-

REGN

ACSET
LODRV

IADSLP
SRP
DPMDET
SRN
LPMD
BAT

38-

3 +

100K_1%
R5687
23.7K_1%
1

R64

2 1

C75 2
1uF_25v

27
24

23

C77 1
1uF_10v

ISYNSET

PowerPad

R5654
0_5%
2

C195
4.7uF_25v

Kevin sense

19
18
17

C91

+V3AL

2 0.1uF_25v

5-,6-,7-,11-,33-,38-,39-,47-,52-,54-

2VREF

5-,7-,11-,13-

C93

R5665
100K_5%

+V5AL
2

29

C94

2
1uF_25v

14

1uF_25v

1 R5662

10K_5%

5-,6-,7-,11-,122

ALARM

2 R5669 1

6-

ICS

1M_5%

1 OUT

R5677
33K_5%

C5583 1

U5051-A
C5580
0.1uF_16v

R65
93.1K_1%
+ 3

- 2
TI_LMV393IDGKR_SOP_8P

R5670
20K_1%

1
2

C5577
1uF_6.3v

1
2

R5655
0_5%

22

1uF_6.3v 2

5-,6-

C196
4.7uF_25v

C92
0.033uF_16v
1 2

R5667
1M_1%

1M_5%

1G
OUT 1
U5053-A
4 TI_LMV393IDGKR_SOP_8P

5
6
7

1 2
0.1uF_16v
3
D17
CHENMKO_BAT54_3P

VADJ
IADAPT

1
1
C193
4.7uF_25v2
2
C194
4.7uF_25v

FAIR_FDMS9620S_MLP_8P

1
LPREF
VDAC

+VBDC
R116
0.01_1%_1W

L30
PCMB0603T_8R2MS
1
2

C76

10K_5%

1 R5680 2

CELLS
CHGEN

ADPDRV#

1 R5681 2

100K_1%

25

VREF

5-

R5659
422K_1%

1 R5663 2

1 R5686 2

+V5AL

5-,6-

26

SRSET

5-,6-

1
1 R6137

+VADPBL

TI_BQ24740_QFN_28P

38-

+VBDC

OCP

CELLS

HIDRV
AGND

5-,6-,7-,11-,33-,38-,39-,47-,52-,54-

S
Q5022
2
2N7002W

Q65
ACDET

PGND

R5658
10K_5%

28

ACP

ON_LM393DR2G_SOP_8P

2 0.22uF_16v

20K_5%

5-,6-,7-,11-,33-,38-,39-,47-,52-,54-

8
U27-A
3 +
1
2 - OUT
1
C5590
4
2 0.1uF_16v

PVCC

ACN

PH

R5664
200K_1%

6-

ADPDRV#

1 R5684 2

AC_AND_CHG

3
Q5097
S
2N7002W
2

C84
C88
C85
C86
C87
0.1uF_25v 4.7uF_25v 4.7uF_25v 4.7uF_25v 4.7uF_25v

+V5AL

R5685
14.3K_1%

OCP_OC#
1G

3900pF_16v

10

5-

CHGCTRL_3
R5676
11M_5%2

PWR_GOOD_3

1334-

Q2

C5582

OCP_OC

Q5093
2
D_MMST3904

C5581

U15

2VREF

13-

VBIAS

C90
1uF_25v

R5682
10K_5%

5-,7-,11-,13-

R5701
3.9K_1%

10-,13-,30-,38-

2
1
CHENKO_LL4148_2P

100K_5%

1
G

R5660
4.7K_5%

1
U27-B
7
ADP_PRES
6 - OUT
R5679
ON_LM393DR2G_SOP_8P6-,13-,30-,3822K_5%
4

R5674
8.25K_1%

100_5%

1
C89
1uF_25v 2

47K_5%

5-,6-,7-,11-,12-

1 R5695 2

SRSET

+V5AL

5 +

5-,13-,53-

7-,8-,9-,10-,12-,19-,30-,31-,38-

D5049
5-

5-,6-,7-,11-,33-,38-,39-,47-,52-,54-

0.027uF_10v

+V3AL

270K_5%

100K_1%

D5060
CHENKO_LL4148_2P

Q18
FDS6673BZ

1 R5675 2
1 R5696

1 R6047 2

LIMIT_SIGNAL

R115
0.01_1%_1W

2
8
7
6
5

Q5035
BSS84_3P
2
3

+VBATR

+VBAT

R39
15K_5%

BATCAL#
D24
SSM14_1A40V

604K_1%

13-

C5589

1 R5692 2
1

R5689
80.6K_1%

1
2 2
CHENKO_LL4148_2P

7 +

2
3
4
9

13-

ADP_EN#

100K_5%
3
U5080-B
1
6 - OUT
ON_LM339DR2G_SOP_14P
12

U5080-A
3
5 +
2
OUT
4 12

R5673
3.9K_5%

2
D5059
CHENKO_LL4148_2P
1

5-,13-,53-

LIMIT_SIGNAL

D11

3
Q16
2N7002W
2
R5698
100K_5%

R5652
220K_5%

R5700 2
2K_1%

1 R5693 2

133K_1%

2
2

TI_LMV321IDBVR_SOT23_5P

R5653
105K_1%

R5672
330K_5%

1R5691

R5690
10K_5%

191K_1%
13U5043-3
1

10K_5%

U5078
1 1IN+

3 1IN-

4
5
6

2
3

5-

1 R5694 2

ON_LM339DR2G_SOP_14P

+V5S

Q1

C186

C5564
1

10K_5%

BQREF

POWER
ID
POWER

0.1uF_25v

91

10pF_50v

R5697
220K_5%

ICS
5-

L24
NFM60R30T222
1
2

13-,53-

0.1uF_25v

8
7
6
5

10pF_50v

5-,6-

JACK1
FOX_JPD113E_LB106_7F_9P

+VADP

Q17
FDS6673BZ
D
S 1
2
3
4
G

C68
0.1uF_16v

+VADPBL

5-,12-,13-,19-,30-,31-,32-,34-,36-,38-,39-,40-,41-,42-,43-,47-,50-,53-

1 2
1 R5661 2

DC JACK

+V3S

+V5S

C5565
0.22uF_16v

C5579
100pF_50v

3
Q5025
2 2N7002W

INVENTEC
TITLE

Absolut
DC &BATTERY CHARGER

SIZE CODE

24K_1%

A3
CHANGE by

Smit Wu

3-Dec-2007

CS
SHEET

DOC. NUMBER

REV

Model_No
5

OF

AX1
60

5-,6-,7-,11-,33-,38-,39-,47-,52-,54-

+V3AL

+V3AL

100pF_50v
100pF_50v
100pF_50v
C204
C202
C203

5-,6-,7-,11-,33-,38-,39-,47-,52-,54-

+VADPBL
51

R40

5-,6-

+VBDC
5-,6-

D25
1
2

Q9002

3K_5%

1
2
3
4

RLZ18C

8
7
6
5

Q41
R5713
470K_5% D_MMST3904 3
2
1B C

AM4825P_AP

+VBATA

R5714
10K_5%

8
7
6
5

8
7
6
5

1
2
3
4

1 R5722

470K_5%

AM4825P_AP

AM4825P_AP

10K_5%

5-,6-,7-,11-,33-,38-,39-,47-,52-,54-

1
C5596 1
0.1uF_16v 2
6-,38BATSELB

6-

5
1

BATSELB#

C5598

U5048-A
6

TC7PA14FU

C5594
1000pF_50v
1 R5703
2
1
2

D27
1
2
SSM34_3A40V

R5715
Q31
470K_5% D_MMST3904

1
S

1
D5080
CHENKO_LL4148_2P

R5706
470K_5%

2 Q73
2N7002W

R5709
10K_5%

R5710
220K_5%

1
2
3
4

U5017-B
3

6-,38-

BATSELB

TC7W08FU

U16
1

R5712 2

8
7
6
5

8
7
6
5

1
2
3
4

AM4825P_AP

5-,6-,13-,30-,38-

D26

+V3AL

U5067-B
TC7W02FU
5 8

R5725
4.7K_5%

3
6
4

SDA_MBAY
SCL_MBAY

100_5%
100_5%

3838-

TRAVEL BATT

2
2

CFET_B

D28
CHENMKO_BAV99

BATSELB#

2
D29
CHENMKO_BAV99

C96 2
0.1uF_25v

D22

BATSELB

D13
DAN202K

C5595
1000pF_50v
2 1
R5705
22K_5%

R42

Q23
2N7002W
3
2

C207
C205
C206
100pF_50v 100pF_50v 100pF_50v

1
CFET_A
1 R5721 2

C78 2
0.1uF_25v

6-,13-

R5720
1.5M_5%

U5048-B
4

1 D20

TC7PA14FU

2 UDZW7.5B

2N7002W

0.1uF_16v

CHENKO_LL4148_2P

38-

BATCON

R5728
220K_5%

C5602

D21
DAN202K

D19

2
1

0_5%
1

3 D Q5134
5-,6-,13-,30-,38G 1

1
2

2 CHENMKO_BAV99
+V3AL

100_5%

CFET_B

6-

U14
TI_SN74LVC1G17DCKR_SC70_5P

Q24
2N7002W
2
3

6-,38-

1
2

6-

U3001 1
2 G1 S1
6
D1 3
D2
5 G2
S2 4
2N7002DW

1
2

5-,6-,7-,11-,33-,38-,39-,47-,52-,54-

47K_5%
1

1
2
3
4
5
6

470pF_50v
C5601
1

+VBATB

6-

R5704
22K_5%

R5732
1K_5%

38-

5-,6-,7-,11-,33-,38-,39-,47-,52-,54-

1
C5593
1000pF_50v
2 1

CN6
1
2
3
4
5
6

R680
R681

1 R5708

SYN_200263MS006G114ZT_6P

2
1
1

2N7002DW
6-

R5731
100K_5%

+V3AL

U13
1
2 G1 S1
6
D1
D2 3
5 G2
S2 4
+VBATA

R6054
220K_5%

38-

R5729
10K_5%

0.1uF_16v

+V3AL

2
1

C5599

R6051
150K_1%

5-,6-,7-,11-,33-,38-,39-,47-,52-,54- 5-,6-,7-,11-,33-,38-,39-,47-,52-,54-

R5724
470K_5%
2

THM_TRAVEL#

6-

R6053
2 100K_5% 2
2
1B E
Q45
C
Q46
2N7002W
1
3
D
MMBT3906 3
1G

CFET_B#

R6050
100K_1%

5-,6-,7-,11-,12-

5A_200mil

2N7002DW

+V3AL
+V5AL

470pF_50v_OPEN

1 R6052 2

13-

OCP_ADJ

R5726
10K_5%

1
2
CHENKO_LL4148_2P

5-,6-,7-,11-,33-,38-,39-,47-,52-,54-

ALARM

294K_1%
THM_MAIN#

+V3AL

U5067-A
TC7W02FU
51 8

R5719
470K_5%

2 G1 S1
6
D1 3
D2
5 G2
S2 4

10K_5%

ADP_PRES

C200
0.1uF_25v

Q33

D31

6-

AM4825P_AP

3
E

R5716
10K_5%

Q5013
2N7002W

1G

AC_AND_CHG

5-

ALLTOP_C144M6_108A5_L_8P

C5785
1

3
D30

+VBATB

Q32
1

1
3

R5734
1K_5%

U44
1
2 G1 S1
6
D1
D2 3
5 G2
4
S2
2N7002DW

CFET_A

5-,6-,7-,11-,33-,38-,39-,47-,52-,54-

1G

1
2
3
4
5
6
7
8

CHENMKO_BAV99
CHENMKO_BAV99

5-,6-

+V3AL

5-,38-

1K_5%

1
3

U5017-A
TC7W08FU

CHGCTRL_3

+V5AL
5-,6-,7-,11-,12-

D33

1
2
3
4
5
6
7
8

1
6-,13-

U5082
5 74HC1G14GV
4

+V3AL

CFET_A#

R5707
470K_5%

CN34

MAIN BATT

2 100_5%
2 100_5%

0.1uF_16v

+VBDC
1
C5597
0.047uF_10v 2

1
2

R6048
100K_5%

R43 1
R45 1

CHENMKO_BAV99

1
2
CHENKO_LL4148_2P

5-,6-,7-,11-,33-,38-,39-,47-,52-,54-

1
2

2
2

+V3AL

1
2

5-,6-,7-,11-,33-,38-,39-,47-,52-,54-

4.7K_5%

D32

7
2

1 R5723

U19
1
2 G1 S1
6
D1 3
D2
5 G2
4
S2
2N7002DW

1 R5711 2

+V3AL

R5730
10K_5%

3838-

SDA_MAIN
SCL_MAIN

R5718
470K_5%

R5727
10K_5%

6-

Q67

Q66
1
2
3
4

5-,6-,7-,11-,33-,38-,39-,47-,52-,54-

+VBDC

5-

69.8K_1% R6049

+VBAT

PDS540_5A_40V
2
3
1
D5061

ADP_PRES

INVENTEC

+V3AL

TITLE

5-,6-,7-,11-,33-,38-,39-,47-,52-,54-

Absolut
SELECT & BATTERY CONN

SIZE CODE

A3
CHANGE by

Smit Wu

3-Dec-2007

CS
SHEET

DOC. NUMBER

REV

Model_No
6

OF

AX1
60

+VBATP

+VBATR
5-,8-,9-,10-,12-,19-,30-,31-,38-

PAD5000
3
4

7-

For power test


2VREF

POWERPAD_4A

5-,7-,11-,13-

C383 1
1000pF_50v 2

+V5AL

1 C5118
2 4.7uF_25v

5-,6-,7-,11-,1251120GND
1

R9037
330K_5%
2

KBC_PW_ON

1G

38-

R9058
330K_5%

1G

0_5%

R469 2

7.32K_1%

R468

30K_1%

51120GND

51120GND

Q9006
2N7002W

R54

Q9004
2N7002W

C3821 2 OPEN

2
VCC1_POR#_3

11-,38-

1
2
1N4148
D7003

R516

R517

5-,8-,9-,12-,13-,34-,38-,43-,50-,532 R471 1
PCSPKC#_3
SLP_S3#_3R

2VREF

C422 OPEN
2 1
2

8
7
6
5
4
3
2
1

+VBATP
7-

RSMRST#
2 R5770

C9044
2 1
0.1uF_25v

1
7-,34-,381

0_5%

EN5
EN3
PGOOD2
EN2
VBST2
DRVH2
LL2
DRVL2

SKIPSEL
TONSEL
PGOOD1
EN1
VBST1
DRVH1
LL1
DRVL1

G1

1
2
8

G2

D1

5
6
7
4

C428
1000pF_50v
EMI needed

C5722 1

C5648
4.7uF_25v 2

1 C5678

S1_D2

8-,9-,10-,11-,12-,13-,31-,36-,48-,52-

C5418

+V5A

4.7uF_25v

R472 1

MAX5V

C5085
4 3 2 1 4.7uF_25v
L609

6A_200mil PAD3

1
2
SLF10155T_4R7N6R2

5 6 7 8

POWERPAD_2_0610

D
1

R473

27-,34-,38-

0_5%

RSMRST#
C5062 0.1uF_25v
1 R5656 2
0_5%
1 2

Q5020

FDS6690AS
S

1
C5566
220uF_6.3v_OSCON

1 C5569
2 1uF_10v

4 3 2 1

U5023

17
18
19
20
21
22
23
24

Q5103
L3032
2
1
SLF7055T_4R7N5R1

33
32
31
30
29
28
27
26
25

PGND2
CS2
VREG3
V5FILT
VREG5
VIN
CS1
PGND1

+V3A

0_5%

VO2
COMP2
VFB2
GND
VREF2
VFB1
COMP1
VO1
9
10
11
12
13
14
15
16

10-,12-,13-,18-,31-,34-,35-,36-,44-,45-,48-,49-,50-,51-,53-,54-

5-,7-,11-,13-

R5981
0_5%

POWERPAD_2_0610

7-

Q50905 6 7 8
FDS8884

51120GND

PAD2

+VBATP

0_5%

7.32K_1%

17.4K_1%

+V3AL
5-,6-,11-,33-,38-,39-,47-,52-,54-

TI_TPS51120_QFN_32P

S2

FDS6900AS

2 4.7uF_25v

R522
7.87K_1%

R521
12K_1%

1uF_10v 2
C5364

1 C5684
2 10uF_6.3v

330uF_4v
+V5AL
5-,6-,7-,11-,12-

1 C5265
2 10uF_6.3v

1 C423
2 0.1uF_16v

R523
10_5%
2

1 C426
2 1uF_6.3v

INVENTEC
TITLE

Absolut
SYSTEM POWER(3V/5V)

SIZE CODE

A3
CHANGE by

Smit Wu

3-Dec-2007

CS
SHEET

DOC. NUMBER

REV

Model_No
7

OF

AX1
60

R1

1 R5341 2

20K_1%

51K_1%

R2

1 R5688 2

51K_1%

20K_1%

C387

C385
1 2
OPEN

1 2
OPEN

51124GND

51124GND

+VBATR
5-,7-,8-,9-,10-,12-,19-,30-,31-,38-

11-,12-,34-,382

VCCP_PG

131

5-,7-,9-,12-,13-,34-,38-,43-,50-,53-

SLP_S3#_3R

1
VO1

VFB1

GND

21

LL1

20

DRVL1

19

LL2

13-

TI_TPS51124RGER_QFN_24P

1
2

V1.05M_PG

C5560
+V1.05M
4.7uF_25v

14-,20-,21-,24-,25-

S
1

R7

C7

4 3 2 1

1 2
0.1uF_16v

4.7_5%

+V5A

Q5140
FDS6676AS

S
7-,9-,10-,11-,12-,13-,31-,36-,48-,52-

PAD6
3
4

1 L13 2
PCMB104E_2R2MS

5 6 7 8

PGND1

DRVL2

TONSEL

22

DRVH1

PGND2

1
D5071
SSM34_3A40V_OPEN 2

8 7 6 5

VBST1

DRVH2

13

FDS6676AS

5-,7-,8-,9-,10-,12-,19-,30-,31-,38-

C5561
4.7uF_25v
5 6 7 8
1
Q5142
D
FDS8884 2

18

12

Q5137

VBST2

EN2

TRIP1

11

23

17

9
10

EN1

V5IN

R6 4.7_5%

25
24

16

1 L12 2
PCMB104E_2R2MS

1 2

GND
PGOOD1

PGOOD2

V5FILT

C6 0.1uF_16v
1

C5244
330uF_2v_15mR_Panasonic

1 2 3 4

POWERPAD_2_0610

PAD5

VO2

R864
0_5%

15

+VCCP

+VBATR

PCSPKC#_3
1

8 7 6 5
Q5141
FDS8884

PM_SLP_M#

1 C633
2 OPEN

U5

C5559
4.7uF_25v

10-,15-,16-,17-,18-,20-,22-,25-,33-,36-

VFB2

R13
OPEN

TRIP2

14

1
C5558
4.7uF_25v 2

R700
0_5%

D5056
SSM34_3A40V_OPEN

POWERPAD_4A

C5604

2 330uF_2v_15mR_Panasonic

4 3 2 1

1 2 3 4

1
1 R5650

1 R5789

8.87K_1%
2

R11

8.87K_1%

C12

R12

10_5%

1uF_6.3v

1
2

C13
4.7uF_6.3v

0_5%

51124GND

INVENTEC
TITLE

Absolut

SYSTEM POWER(+V1.05M/+VCCP)
SIZE CODE

A3
CHANGE by

Smit Wu

3-Dec-2007

CS
SHEET

DOC. NUMBER

REV

Model_No
8

OF

AX1
60

+V5A

+V1.8

+VBATR

7-,8-,9-,10-,11-,12-,13-,31-,36-,48-,52- 5-,7-,8-,9-,10-,12-,19-,30-,31-,38-

11-,12-,20-,24-,25-,27-,28-

Q5027
5 6 7 8 FDS8884
1 R5344 2

1 R66

10_5%

C5620
4.7uF_25v
1
C5623
2
2 4.7uF_25v

232K_1%

S
11-,34-

SLP_S4#_3R

U5058

1 R5349 2

0_5%

1
2

C5347
OPEN

C5134
1uF_6.3v

1
2
3
4
5
6
7

EN_PSV
TON
VOUT
V5FILT
VFB
PGOOD
GND

VBST
DRVH
LL
TRIP
V5DRV
DRVL
PGND
TML

14
13
12
11
10
9
8
15

R77 C5616
2

4.7_5%

4 3 2 1

1 2
0.1uF_16v

V1.8_PG

4 3 2 1 Q5024
FDMS8660S

2
2

1
51117GND

C5588
330uF_2v_15mR_Panasonic

R68
30.1K_1%

13-

C5351
OPEN

R67
0_5%

R5350
43.2K_1%

R5347
6.04K_1%

POWERPAD_2_0610
1

5 6 7 8 9
1

TI_TPS51117_QFN_14P

PAD4

1 L3007 2
PCMB104E_2R2MS

2 C5350
1uF_6.3v
51117GND
51117GND

+V5A

+V1.5S

+VBATR

7-,8-,9-,10-,11-,12-,13-,31-,36-,48-,52-

12-,17-,25-,30-,36-,49-,50-

5-,7-,8-,9-,10-,12-,19-,30-,31-,38-

C5574
4.7uF_25v
1
1 R5590 2

1 R83

R5591

Q5130
U32

SLP_S3#_3R

0_5%

1
2

C5507
OPEN

C5702
1uF_6.3v

232K_1%

10_5%
PCSPKC#_3
5-,7-,8-,12-,13-,34-,38-,43-,50-,531

1
2
3
4
5
6
7

EN_PSV
TON
VOUT
V5FILT
VFB
PGOOD
GND

VBST
DRVH
LL
TRIP
V5DRV
DRVL
PGND
TML

14
13
12
11
10
9
8
15

TI_TPS51117_QFN_14P
1

R86

R82 C5575
2

4.7_5%

1 2
0.1uF_16v

1
2
8

G1

G2

D1

S1_D2

S2

FDS6900AS

5
6
7

POWERPAD_2_0610
1

R5599
30.9K_1%

R5595
10.5K_1%

C5509
OPEN

2
2

0_5%
V1.5S_PG

PAD7

L14
1
2
PCMC063T_2R2MN

R87
30.1K_1%

13-

1
51117GND

C114
220uF_2.5v

2 C5511
1uF_6.3v
51117GND
51117GND

INVENTEC
TITLE

Absolut
SYSTEM POWER(+V1.5/+V1.8)

SIZE CODE

A3
CHANGE by

Smit Wu

3-Dec-2007

CS
SHEET

DOC. NUMBER

REV

Model_No
9

OF

AX1
60

LAYOUT NOTES: C1779 C502 C503 PIN2 CONNECT TO Q14 , Q18 GND
C1780 C532 C531 PIN2 CONNECT TO Q16 , Q20 GND
+VBATR
5-,7-,8-,9-,10-,12-,19-,30-,31-,38-

+V3S
+V3A

+V5A

5-,10-,12-,13-,14-,15-,19-,20-,25-,26-,30-,31-,32-,33-,34-,35-,36-,37-,38-,40-,41-,46-,47-,50-,51-,52-,547-,12-,13-,18-,31-,34-,35-,36-,44-,45-,48-,49-,50-,51-,53-,54-

7-,8-,9-,10-,11-,12-,13-,31-,36-,48-,52-

47K_5%
1

R301

R302

C51

10K_5%

4.7uF_6.3v 2

34-

C5547

100uF_25v

VR_PWRGD

C47
4.7uF_25v

C50
4.7uF_25v

Q301 3
D

1G

D10 2
BAT54C_30V_0.2A

SSM3K7002F 2

+V5A

5-,10-,13-,30-,38PWR_GOOD_3
7-,8-,9-,10-,11-,12-,13-,31-,36-,48-,52-

C179
4.7uF_25v
9 8 7 6 5

R107
4.7_5%1 C5286
2 0.22uF_16v

1 C182

2 1uF_10v
2 R34

2 1uF_10v

0_5%

BST
DRVH
SW
GND
DRVL

10
9
8
7
6

ADI_ADP3419_RM_MSOP_10P

0_5%
CPU_SINGNAL_GROUND

+V5A

20070926
+VCCP

Q60
FDMS8660S

7-,8-,9-,10-,11-,12-,13-,31-,36-,48-,52-

0.0047uF_50v

* R5233
140K_1%
3ph=150K

R5231
1.65K_1%

1 C5277
1

*
2

R5235
150K_1%

110K_1%
1

CPU_SINGNAL_GROUND

R33

R32

+VBATR

4
3
2
1

4
3
2
1

C5028
C5027
4.7uF_25v 4.7uF_25v

C5026
4.7uF_25v

D5 2
BAT54C_30V_0.2A

4.7_5%
2

U4
1
2
3
4
5

IN
SD
DRVLSD
CROWBAR
VCC

BST
DRVH
SW
GND
DRVL

9
8
7
6
5

R5
1 C5285

2 0.22uF_16v
C5025
0.01uF_50v

10
9
8
7
6

Q5076
SI7686DP_T1_E3

2 L15 1
ETQP4LR36WFC_PANASONIC

ADI_ADP3419_RM_MSOP_10P

1 R4

1 R5860

20_5%

10_5%

2
2

Q78
FDMS8660S

110K_1%

5-,7-,8-,9-,10-,12-,19-,30-,31-,38-

110K_1%
VSSSENSE

C10
1000pF_50v

FDMS8660S_OPEN

VCCSENSE
* 1 R29

140K_1%

2 1000pF_50v

17-

Q52

4.7uF_6.3v 2

73.2K_1%
1 C5280

2 1000pF_50v 2 1000pF_50v
1 R5245 2

2
3ph=150K

1 C52

17-

C4

220K_5%
R28

1 R5859

10_5%
Q51
FDMS8660S

NTC of 220K
1 R101 2

20_5%

7-,8-,9-,10-,11-,12-,13-,31-,36-,48-,52-

R5236 CPU_SINGNAL_GROUND
324K_1%

1 R10

CPU_SINGNAL_GROUND

0_5%
0_5%

261K_1%
1
C5270
330pF_50v 2

1 2 3
2 L22 1
ETQP4LR36WFC_PANASONIC

CPU_SINGNAL_GROUND

1 R5224 2

1
2
3

2 18pF_50v

C5273
* R5234
2
63.4K_1%
1000pF_50v 3ph=63.4K
1

C116
0.01uF_50v

10
9
8
7
6

5
6
7
8
9

1 2
220pF_25v

BST
DRVH
SW
GND
DRVL

Q1
SI7686DP_T1_E3

+V5A

C5271
2

IN
SD
DRVLSD
CROWBAR
VCC

R31

33K_1%
1 C5268

2
2
2

499_1%

1 R5228 2

R5240 1
R5241 1
R5242 1
*
0_5%
3ph=0ohm

0_5%
R176

20-,34-

ADI_ADP3419_RM_MSOP_10P

11
12
13
14
15
16
17
18
19
20

PM_DPRSLPVR

U34
1
2
3
4
5

2 0.22uF_16v

CPU_SINGNAL_GROUND

30
29
28
27
26
25
24
23
22
21

1 C5288

C5269 0.012uF_16v
C5272
1 2
1 2
470pF_50v

TTSENSE
EN
PWRGD
VRTT
DCM
PGDELAY
OD
CLKEN
FBRYN U41
PWM1
ADI_ADP3207_LFCSP_40P
PWM2
FB
PWM3
COMP
SW1
SS
SW2
STSET
DPRSLP
SW3

1 R88

1 R5232 2

1
C5
2 1000pF_50v

4
3
2
1

R5227

D5051 2
BAT54C_30V_0.2A
4.7_5%

Q5144
2N7002W

14-,34- 0_5%_OPEN 1

CLK_PWRGD

1
2
3
4
5
6
7
8
9
10

9 8 7 6 5

CPU_PROCHOT#

3
2

TML
VID0
VID1
VID2
VID3
VID4
VID5
VID6
DPRSTP
PSI
VCC

R9070
0_5%

1G

ILIMIT
VRPM
RRPM
RT
RAMPADJ
LLSET
CSREF
CSSUM
CSCOMP
GND

C5761
C3
C9
4.7uF_25v 4.7uF_25v 4.7uF_25v

5
6
7
8
9

15-

CPU_SINGNAL_GROUND

20-,34-,38-

OPEN
2

5
6
7
8
9

C180

2
41
40
39
38
37
36
35
34
33
32
31

1
5-,10-,13-,30-,38-

C174

4.7uF_6.3v 2

5
6
7
8
9

R5226
0_5%

PWR_GOOD_3

1 R5239

C5760 1

R6089
68_5%

4
3
2
1

2 1

PM_PWROK

*
3ph=OPEN

1K_5%_OPEN

2 1000pF_50v
Q61
FDMS8660S_OPEN

8-,15-,16-,17-,18-,20-,22-,25-,33-,361 R30

2 L23 1
ETQP4LR36WFC_PANASONIC
R106
20_5%
1 R5858
10_5%
2
1

17-

17-

1 2 3

5
6
7
8
9

171717171717-

H_VID6
H_VID5
H_VID4
H_VID3
H_VID2
H_VID1
H_VID0

1 C181

IN
SD
DRVLSD
CROWBAR
VCC

+V3S

1
2
3
4
5

1 R5251 2

5-,10-,12-,13-,14-,15-,19-,20-,25-,26-,30-,31-,32-,33-,34-,35-,36-,37-,38-,40-,41-,46-,47-,50-,51-,52-,54-

C173
0.01uF_50v 4

U40
1

10_5%

H_DPRSTP#

R108

0_5%

0_5%

4
3
2
1

5
6
7
8
9

R5230

R5229

4
3
2
1

1616-,20-,33-

PSI#

+VCC_CORE
Q15
SI7686DP_T1_E3

Q77

1K_5%

FDMS8660S_OPEN

3ph install

C5275 1
1000pF_50v 2

INVENTEC

1 C5276
2 1000pF_50v

TITLE

Absolut
CPU POWER(VCC_CORE)

SIZE CODE

A3

CPU_SINGNAL_GROUND

CHANGE by

Smit Wu

3-Dec-2007

CS
SHEET

DOC. NUMBER

REV

Model_No
10

OF

AX1
60

9-,34-

SLP_S4#_3R
PM_SLP_M#

8-,12-,34-,38-

SLP_S4#_3R

9-,34-

R5005
0_5%
1

R6045
OPEN

+V1.8

R181
0_5%
1

2
1 R9047 2

+V3AL

+V5A

+V0.9

23.7K_1%

23.7K_1%

10uF_6.3v

1
2

C129
1uF_6.3v

1
2
3
4
5

R9050

10uF_6.3v

1
2

OUT

7-,38-

VCC1_POR#_3

ON_LM393DR2G_SOP_8P
4
U9004-A

C9046

GMT_G2997F6U_MSOP10_10P

C136

R9046

2200pF_50v

2 -

51.1K_1%

TML
VDDQSNS
VIN
VLDOIN
S5
VTT
GND
PGND
VTTSNS
S3
VTTREF

3 +

1 R9049 2

C132

11
10
9
8
7
6

10K_5%

5-,6-,7-,11-,12-

R9048

U38

+V5AL

7-,8-,9-,10-,12-,13-,31-,36-,48-,52-

C9018
10uF_6.3v

+V5AL
5-,6-,7-,11-,121

+V5AL

1 C5136

5-,6-,7-,11-,121

2 0.1uF_10v

1M_5%

+V5AL
5-,6-,7-,11-,12-

R9051
2

100K_5%
2

R9052

5 +

Q9005 3
D
1G

NOTE: DDR2 REGULATOR

0.1uF_16v
C9045
20-,27-,28-

SSM3K7002F 2

7
6 - OUT
ON_LM393DR2G_SOP_8P
4
U9004-B

2 1

5-,6-,7-,11-,33-,38-,39-,47-,52-,54-

13-,29-

9-,12-,20-,24-,25-,27-,28-

+V3AL

1M_5%

5-,6-,7-,11-,33-,38-,39-,47-,52-,54-

2VREF
5-,7-,13-

M_VREF

INVENTEC
TITLE

Absolut

DDR TERMINATION VOLTAGE


SIZE CODE

A3
CHANGE by

Smit Wu

3-Dec-2007

CS
SHEET

DOC. NUMBER

REV

Model_No
11

OF

AX1
60

5-,10-,13-,14-,15-,19-,20-,25-,26-,30-,31-,32-,33-,34-,35-,36-,37-,38-,40-,41-,46-,47-,50-,51-,52-,54-

+V5A

+V5S
+V1.5S

5-,13-,19-,30-,31-,32-,34-,36-,38-,39-,40-,41-,42-,43-,47-,50-,53-

7-,8-,9-,10-,11-,13-,31-,36-,48-,52-

9-,17-,25-,30-,36-,49-,50-

+V3A

+V3S

+V1.8
9-,11-,20-,24-,25-,27-,28-

7-,10-,12-,13-,18-,31-,34-,35-,36-,44-,45-,48-,49-,50-,51-,53-,54-

Q5110
6
5
2
1
R5263
120K_1%
GATE_5S

12-

3
G
FDC655BN

R5268
120K_1%

GATE_3S

1
2

13-,30-,52-

Q7

Q5105
6 D
S 4
5
2
1
3
G

S 4

12-

C5501

6
5
2
1

C5697
R5356
120K_1%

0.022uF_16v
GATE_5S

CHENMKO_BAT54_3P

0.047uF_16v

12-

S 4

FDC655BN
Q8
6 D
S 4
5
2
1
3
G

FDC655BN

D5083

+V1.8S

R22
100_5%

C9095
10uF_6.3v

+V3A

7-,10-,12-,13-,18-,31-,34-,35-,36-,44-,45-,48-,49-,50-,51-,53-,54-

+V3M

Q12 3
D

FDC655BN

13-,14-,20-,27-,28-,34-

1G

1
2

C5570

10uF_6.3v

R80
47_5%

R69
100_5%

C5622

C19

2 0.047uF_16v_OPEN

10uF_6.3v

C20

10uF_6.3v

SSM3K7002F 2

Q10
4

R19
100_5%

2
2

3
2

Q9 3
D

10uF_6.3v

Q11 3
D

1 2
OPEN

1G

SSM3K7002F 2

SSM3K7002F 2

SSM3K7002F 2

C36

1
1

R23
47_5%

C329

5-,6-,7-,11-,12-

1G

FDC638P
+V5AL

Q5106 3
D
1G

Q5098 3
D
1G

1
2
5
6

SSM3K7002F 2
1
1

R392
330K_5%

R391
47K_5%

2
2

+VBATR
5-,7-,8-,9-,10-,19-,30-,31-,38-

8-,11-,12-,34-,38-

+VBATR

1 R5265

C5304

1G

PM_SLP_M#

5-,7-,8-,9-,10-,19-,30-,31-,381

Q13 3
D
S

SSM3K7002F 2

R5269
2.7K_5%

47K_5%
2

2
0.033uF_16v_OPEN

2
E

1B

Q22
MMBT3906

7-,10-,12-,13-,18-,31-,34-,35-,36-,44-,45-,48-,49-,50-,51-,53-,54-

+V3A

1 R5270 2

+V3M_WOL

0_5%

34-,36-,44-,45-

3
SLP_S3_3R

32-

SLP_S3#_3R

PCSPKC#_3

Q9001

5-,7-,8-,9-,12-,13-,34-,38-,43-,50-,53-

MMBT3904

1 D18

R5272
0_5%

1 R5275

0_5%
Q5

2 RLZ18C
1
7-,10-,12-,13-,18-,31-,34-,35-,36-,44-,45-,48-,49-,50-,51-,53-,54-

12-

R5267
130K_1%

12-

GATE_5S

+V3A

R5273
0_5%

1
2
5
6

1 2
OPEN

5-,6-,7-,11-,12-

1K_5%

10uF_6.3v
7-,10-,12-,13-,18-,31-,34-,35-,36-,44-,45-,48-,49-,50-,51-,53-,54-

Q2 3
D

1G

1
1 R476

1G

1 R18

47K_5%

5-,7-,8-,9-,12-,13-,34-,38-,43-,50-,53-

C16

SSM3K7002F 2

Q30 3
D

SLP_S3#_3R

+V3A

C390

1 R5271 2

Q29 3
D

R14
47_5%

0_5%
+V5AL

100K_5%

FDC638P

1 R5276

1 R5266

GATE_3S

100K_5%

R475
2

SSM3K7002F 2

330K_5%
2

1G

SSM3K7002F 2

8-,11-,12-,34-,38-

PM_SLP_M#

Q3 3
D

1G

3 D Q4
G

SSM3K7002F 2

34-,38-

LAN_WOL_EN

2 SSM3K7002F

INVENTEC
TITLE

Absolut
POWER(SLEEP)

SIZE CODE

A3
CHANGE by

Smit Wu

3-Dec-2007

CS
SHEET

DOC. NUMBER

REV

Model_No
12

OF

AX1
60

VCCP_PG
V1.5S_PG
5-,7-,8-,9-,12-,13-,34-,38-,43-,50-,53-

SLP_S3#_3R

9-

10K_5%
1 R5601 2

R857

D7

5-,10-,12-,13-,14-,15-,19-,20-,25-,26-,30-,31-,32-,33-,34-,35-,36-,37-,38-,40-,41-,46-,47-,50-,51-,52-,54-

+V3S

10K_5%
D8

1K_5%

2VREF

CHENKO_LL4148_2P

5-,7-,11-

10K_5%

13-,20-,34-

M_PWROK

R294

8-

R257
10K_5%

2
2
1
R6030 1
CHENKO_LL4148_2P

R256

30.1K_1%
2

+V1.8S
1

12-,30-,521

R8

R9

R289

60.4K_1% 1

C278
1000pF_50v
1

1 R293
1

R3

365K_1%
1 R5985 2

9-

3 +

34K_1%

10K_5%

8
5-,10-,30-,38-

3 +

1 R9039

20K_1%
2

+V3A
7-,10-,12-,13-,18-,31-,34-,35-,36-,44-,45-,48-,49-,50-,51-,53-,54-

+V3A

C299
1000pF_50v

+VADP
1
5-,13-,53-

7-,10-,12-,13-,18-,31-,34-,35-,36-,44-,45-,48-,49-,50-,51-,53-,54-

R287

1 R9042

1M_5%
1 R5983 2 10K_5%

8-

PWR_GOOD_3

R5989
44.2K_1%

V1.05M_PG

8
U9003-A
1
2 - OUT
ON_LM393DR2G_SOP_8P
4

1
2 - OUT
ON_LM393DR2G_SOP_8P
4

20K_5%

237K_1%

1M_5%

1 R9038

7-,8-,9-,10-,11-,12-,13-,31-,36-,48-,52-

+V5S

1 R9041 2

5-,13-,53-

U6-A

V1.8_PG

+VADP

+V5A

+V3S

5-,12-,13-,19-,30-,31-,32-,34-,36-,38-,39-,40-,41-,42-,43-,47-,50-,53-

1M_5%

130K_1%

5-,10-,12-,13-,14-,15-,19-,20-,25-,26-,30-,31-,32-,33-,34-,35-,36-,37-,38-,40-,41-,46-,47-,50-,51-,52-,54-

R290

30.1K_1%

R255
10K_5%

+V5A

8
2
U9003-B
7
6 - OUT
ON_LM393DR2G_SOP_8P
4
5 +

+V0.9
2

7-,8-,9-,10-,11-,12-,13-,31-,36-,48-,5211-,29-

1 R9043

1 R6068 2

U6-B

12-,14-,20-,27-,28-,34-

1 R5982 2

158K_1%
C277

1
2

1 R254

5 +
7
6 - OUT
ON_LM393DR2G_SOP_8P
1
4
C279
2
0.1uF_16v

R285
20K_5%

499K_1%

1000pF_50v 2

13-,20-,34-

M_PWROK

1 R9044 2

1M_5%
+VADP

R5369

R5367
71.5K_1%
C53621
1uF_6.3v
2
R5358 2
5- 1

1 R5359 2

47K_5%

470K_5%

1
2

1 R5361 2

CHENKO_LL4148_2P

1 R5372

69.8K_1%

1
2

47K_5%

C5363
0.1uF_16v

3 +

1 R5360
1

10K_5%

1M_5%

R5370
200K_5%

13-

OLD_DOCK_DET

R6055
20K_1%

U12-A
1

2 - OUT
4

38-

5-,7-,8-,9-,12-,13-,34-,38-,43-,50-,53PCSPKC#_3
SLP_S3#_3R

ADP_ID

5-

1 R5365 2

10K_5%

CFET_A

ADP_PS0

1 R5373

61

5-

R5368
21K_1%

U5043-3

ON_LM339DR2G_SOP_14P

14.7K_1%

R6059
150K_5%
2

R5377
51.1K_1%

OLD_DOCK_DET

13-

1M_5%
1 R5362

10K_5%
1 R5364 2

21K_1%

3
2
5 + U5054-A
2
384 - OUT
ON_LM339DR2G_SOP_14P
12

R6058
511K_1%

Q37

6-

Q39

2
2

ADP_PS1

1G

ADP_PRES

3
Q38
2N7002W

47K_5%

1G
5-,6-,30-,38-

3
D
S

Q40
2N7002W

R5378
10K_1%

Q19
MMBT3904

1 R5384

R5382
220K_5%

2
38-

1G

6 - OUT
4
ON_LM393DR2G_SOP_8P

ADP_EN

D16
CHENKO_LL4148_2P

U12-B
7

3
Q20
2N7002W

R5383
220K_5%

INVENTEC

2
5-

R6057
110K_1%

R6056
220K_5%

1 R5380

1M_5%

5 +

OCP_ADJ

47K_5%

1 R5379 2

2
1 R5363 2

5-,13-,53-

5-,13-,53-

+VADP
+VADP

2 BSS138

2
D

100K_5%

BSS138

1 R5385 2

1 R5375 2

ACOCP_EN#

1M_5%
38-

BATCAL#

3
7 + U5054-B
1
6 - OUT
12

C74
1uF_25v

10K_5%

R5376
45.3K_1%

2
1

2
53-

2
1 R5381

Q21 2
MMBT3906
2 E C 3

5-,13-,535-,12-,13-,19-,30-,31-,32-,34-,36-,38-,39-,40-,41-,42-,43-,47-,50-,53-

R5366
3.48K_1%

+V3A

+VADP

+V5S

7-,10-,12-,13-,18-,31-,34-,35-,36-,44-,45-,48-,49-,50-,51-,53-,54-

ON_LM393DR2G_SOP_8P

1 D12

5-,10-,12-,13-,14-,15-,19-,20-,25-,26-,30-,31-,32-,33-,34-,35-,36-,37-,38-,40-,41-,46-,47-,50-,51-,52-,54-

VBIAS

+V3S

OCP_OC

1_5%

5-,13-,53-

5-,53-

LIMIT_SIGNAL

5-

+VADP

210K_1%

5-,13-,53-

1 R6155 2

1 R9040 2

1 R5371

ADP_ID2

100_5%

38-

10K_1%

43.2K_1%

+V3M

R9045
10K_5%

TITLE

ADP_EN#

Absolut
POWER(SEQUENCE)

SIZE CODE

A3
CHANGE by

Smit Wu

3-Dec-2007

CS
SHEET

DOC. NUMBER

REV

Model_No
13

OF

AX1
60

R5300

+V1.05M
CLK_3S_REF

14-

R5301

8-,20-,21-,24-,25-

2
1 R5809 2

CLKREQ_MINI2#

14-,49-

1 R5810 2

CLKREQ_MINI1#

14-

1 R5811 2

14-,53-

10K_5%
1 R5812 2

C5762

1
2

10uF_6.3v

C5311
0.1uF_16v

C5313

1
2

C5314

0.1uF_16v

0.1uF_16v

C5317

1
2

C5319

1
2

0.1uF_16v

0.1uF_16v

C5321

1
2

1
2

5.6pF_50v

2
5.6pF_50v

Layout note: All decoupling 0.1uF disperse closed to pin

10K_5%

LAYOUT NOTES : THE R5300 , R5301 CLOSED TO U33


12-,13-,14-,20-,27-,28-,34-

L3008
BLM18AG471SN1D

0.1uF_16v

14-,50-

C5716

1
CLKREQ#_EXP

CLK_R3S_SIO14

15_5%

+V3M
12-,13-,14-,20-,27-,28-,34-

+V3M

CLK_R3S_KBC14

40-

1 C5308

Layout note: All decoupling 0.1uF disperse closed to pin


L16
BLM18AG471SN1D

12-,13-,14-,20-,27-,28-,34-

38-

15_5%

+V3M

C5041

C5232

C5324

C5325

C5326

C5327

C5328

C5329

10uF_6.3v 2 10uF_6.3v 2 0.1uF_16v 2 0.1uF_16v 2 0.1uF_16v 2 0.1uF_16v 2 0.1uF_16v 2 0.1uF_16v

10K_5%

CPPE#

R90

62
52
38
23
55
6
31
66

1 R6001 2

16-,20-

CPU_BSEL0

2.2K_5%
C5312

CLK_R3S_ICH48

16-,20-

CPU_BSEL1

R5291

34-

22_5%

22pF_50v

16-,20-

CPU_BSEL2
1
1

R89

R6002

14-

CLK_3S_REF

R5279

19
12
72
27

2 0_5%
2

10K_5%

10K_5%

1
R5288 1
R5289 1

R5880

3446513840-

C5717 1

2
2
2

20
2
7
8

33_5%
22_1%
10_1%
R5292
R5293

CLK_3S_CBPCI
1
1

2
2

22_1%
22_1%

13
14
15

CLK_3S_SIOPCI

C5315

C5316

C5318

C5320

5.6pF_50v

5.6pF_50v

5.6pF_50v

5.6pF_50v

1 R5296 2

10
9
5
4

10K_5%
5-,10-,12-,13-,14-,15-,19-,20-,25-,26-,30-,31-,32-,33-,34-,35-,36-,37-,38-,40-,41-,46-,47-,50-,51-,52-,54-

1 R5567 2

18
22
26
30
42
59
69
3
34

0_5%
ICH_3M_SMCLK
ICH_3M_SMDATA

27-,28-,3427-,28-,34-

X6
14.31818MHZ
1

C5307
33pF_50v 2

30PPM 2

VDD48
VDDPCI
VDDCPU
VDDPLL3

CPUT1_LPR_F
CPUC1_LPR_F
CPUT0_LPR_F
CPUC0_LPR_F
CPUC2_ITP_LPR_SRCC8_LPR
CPUT2_ITP_LPR_SRCT8_LPR
SRCT11_LPR
SRCC11_LPF

CLKREQ_R_MCH#
CLKREQ_R_SATA#

C5309
33pF_50v

CLKREQ#_EXP

203414-,50-

USB_48MHZ_FSLA
FSLB_TEST_MODE
FSLC_TEST_SEL_REF0
REF1

R6169

1
1

R81

2
2

475_1%
475_1%

1 R6086 2

1K_5%

11
65
21
37
41
73
74
75
76

SRCT10_LPR
SRCC10_LPR
SRCT9_LPR
SRCC9_LPR
SRCT7_LPR
SRCC7_LPR

PCI1
PCI2_TME
PCI3

+V3S

1
5.6pF_50v 2

10-,34-

PCI_STOP#
CPU_STOP#

VDDSRC_IO
VDDSRC_IO
VDDSRC_IO
VDD96_IO
VDDSRC
VDDREF
VDDPLL3_IO
VDDCPU_IO

CLK_R3S_ICH14
CLK_R3S_CBPCI
CLK_R3S_TPM
CLK_R3S_KBPCI
CLK_R3S_SIOPCI

CLK_PWRGD

10K_5%_OPEN

U33

10K_5%

SRCT6_LPR
SRCC6_LPR
CK_PWRGD_PD#
SCLK
SDATA
X1
X2
GNDPCI
GND48
GND
GND
GNDSRC
GNDSRC
GNDCPU
GNDREF
GNDSRC
NC
CR#7
CR#A
CR#3
CR#4
TML-PAD
TML-PAD
TML-PAD
TML-PAD

PCI4_27_Select
PCI_F5_ITP_EN

10K_5%_OPEN
34342222-

CLK_R_MCHBCLK
CLK_R_MCHBCLK#

71
70

151518-

CLK_R_CPUBCLK
CLK_R_CPUBCLK#
CLK_R_XDP#

184949-

CLK_R_XDP
CLK_R_PCIE_MINI2
CLK_R_PCIE_MINI2#

61
60

2020-

CLK_PEG_MCH
CLK_PEG_MCH#

57
56

5353493835-

CLK_R_DOCK_REF
CLK_R_DOCK_REF#
CLK_R3S_MINICARD
CLK_R3S_DEBUG
CLK_R3S_ICHPCI

39
40

5050-

CLK_R_PCIE_EXP
CLK_R_PCIE_EXP#

35
36

3434-

CLK_R_PCIE_ICH
CLK_R_PCIE_ICH#

32
33

3333-

CLK_R_SATA1
CLK_R_SATA1#

63
64

0_5% 1

2 R5557

0_5% 1

2 R5556

48
47
50
51

TP1057
TP1058

44
45

TP1
TP2

22_5% 2
16
17

PCISTOP#_3
CPUSTOP#_3

CLK_XDP#
CLK_XDP

CLK_3S_KBPCI
CLK_3S_ICHPCI

1 R5321
22_5% 2 R5322 1
2
33_5% 1
R5313

SRCT4_LPR
SRCC4_LPR
SRCT3_LPR
SRCC3_LPR
SRCT2_LPR_SATAT_LPR
SRCC2_LPR_SATAC_LPR
27MHz_NonSS_SRCT1_LPR_SE1
27MHz_SS_SRCC1_LPR_SE2
SRCT0_LPR_DOTT_96_LPR
SRCC0_LPR_DOTC_96_LPR
CR#9
CR#11
CR#10
CR#6

TP1040
TP1041

28
29

C5720

1
2

3030-

24
25

CLK_R_PEG_REF
CLK_R_PEG_REF#

5.6pF_50v

C5719

TP1055

43
46
49
58

14-,491414-,53-

CLKREQ_MINI2#
CLKREQ_MINI1#
CPPE#

C5718
5.6pF_50v

+V3S

ITP_EN =0
SRC8/SRC8#

+V3S
1

1 R5336 2

10K_5%
R5912 1

1 R5909 2

OPEN

OPEN

R5913

10K_5%

ITP_EN =1
ITP/ITP#

CR#_7

1
5.6pF_50v

5-,10-,12-,13-,14-,15-,19-,20-,25-,26-,30-,31-,32-,33-,34-,35-,36-,37-,38-,40-,41-,46-,47-,50-,51-,52-,54-

Byte5:bit2=0(PWD), disable CR#_7 ; 1, enable CR#_7

5-,10-,12-,13-,14-,15-,19-,20-,25-,26-,30-,31-,32-,33-,34-,35-,36-,37-,38-,40-,41-,46-,47-,50-,51-,52-,54-

SRC7

Byte5:bit5=0(PWD), disable CR#_3 ; 1, enable CR#_3

68
67

Please place close to CLKGEN within 500mils

Byte5:bit7=0, disable CR#_A; 1, enable CR#_A

1 R91

54
53

ICS_ICS9LPRS397_MLF_72P

*CLKREQ# pin controls SRC Table.

27_Selet =0

CR#_A

Byte5: bit6 =0(PWD)

Byte5: bit6 =1

CR#_3

LCD_SST 100MHZ

Byte5:bit1=0(PWD), disable CR#_9 ; 1, enable CR#_9

SRC3

27_Selet =1

CR#_9
SRC0

SRC2

27MHZ non-spread clock

SRC9

Byte5:bit0=0(PWD), disable CR#_10 ; 1, enable CR#_10

FSA

FSB

FSC

CR#_10
Byte5:bit3=0(PWD), disable CR#_6 ; 1, enable CR#_6

Byte5:bit4=0(PWD), disable CR#_4 ; 1, enable CR#_4

CR#_4

SRC4

CR#_6

FSB CLOCK
FREQUENCY

HOST CLOCK
FREQUENCY

SRC10

800

200

1066

266

Byte5:bit7=0(PWD), disable CR#_11 ; 1, enable CR#_11

SRC6

CR#_11

INVENTEC
TITLE

Absolut
CLOCK_GENERATOR

SRC11

SIZE CODE

A3
CHANGE by

Smit Wu

3-Dec-2007

CS
SHEET

DOC. NUMBER

REV

Model_No
14

OF

AX1
60

8-,10-,15-,16-,17-,18-,20-,22-,25-,33-,36-

+VCCP

Populate for QC CPU


R6131 R6130

H_A#(8)
H_A#(9)
H_A#(10)
H_A#(11)
H_A#(12)
H_A#(13)
H_A#(14)
H_A#(15)
H_A#(16)

22-

H_REQ#(4:0)

22-

H_ADSTB#0

K3
H2
K2
J3
L1

H_REQ#(0)
H_REQ#(1)
H_REQ#(2)
H_REQ#(3)
H_REQ#(4)

22-

Y2
U5
R3
W6
U4
Y5
U1
R4
T5
T3
W2
W5
Y4
U2
V4
W3
AA4
AB2
AA3
V1

H_A20M#
H_FERR#
H_IGNNE#

333333-

A6
A5
C4

H_STPCLK#
H_INTR
H_NMI
H_SMI#

33333333-

D5
C6
B4
A3

H_A#(17)
H_A#(18)
H_A#(19)
H_A#(20)
H_A#(21)
H_A#(22)
H_A#(23)
H_A#(24)
H_A#(25)
H_A#(26)
H_A#(27)
H_A#(28)
H_A#(29)
H_A#(30)
H_A#(31)
H_A#(32)
H_A#(33)
H_A#(34)
H_A#(35)

H_ADSTB#1
+VCCP

R6105

R6106

51_5%

R6104

51_5%

1
1818-

H_BPM1_XDP#_B
H_BPM0_XDP#_B

51_5%

R6103

51_5%

8-,10-,15-,16-,17-,18-,20-,22-,25-,33-,36-

R6107
R6108
R6109
R6110
R6111

19-

19-

C5799

OPEN

10mils/10mils

1
1
1
1
1

2
2
2
2
2

0_5%
0_5%
0_5%
0_5%
0_5%

18-

H_BPM2_XDP#_B

R9174 1
R6112 1
R9175 1

Layout note: Zo=55 ohm


0.5" max for GTLREF_2

2
2
2

0_5%
0_5%
0_5%

M4
N5
T2
V3
B2
C3
D2
D22
D3
F6

ADS#
BNR#
BPRI#

ADDR GROUP 0

H_A#(7)

A3#
A4#
A5#
A6#
A7#
A8#
A9#
A10#
A11#
A12#
A13#
A14#
A15#
A16#
ADSTB0#

DEFER#
DRDY#
DBSY#

CONTROL

H_A#(6)

A17#
A18#
A19#
A20#
A21#
A22#
A23#
A24#
A25#
A26#
A27#
A28#
A29#
A30#
A31#
A32#
A33#
A34#
A35#
ADSTB1#
A20M#
FERR#
IGNNE#

IERR#
INIT#

HIT#
HITM#

222222-

H_ADS#
H_BNR#
H_BPRI#

H5
F21
E1

222222-

H_DEFER#
H_DRDY#
H_DBSY#

F1

22-

BPM0#
BPM1#
BPM2#
BPM3#
PRDY#
PREQ#
TCK
TDI
TDO
TMS
TRST#
DBR#

D20
B3

33-

H4

22-

C1
F3
F4
G3
G2

18-,22-

G6
E4

8-,10-,15-,16-,17-,18-,20-,22-,25-,33-,361 R190

56_5%

CLOSED TO CPU

2222-

H_BREQ#0
H_INIT#
H_LOCK#

+VCCP
8-,10-,15-,16-,17-,18-,20-,22-,25-,33-,36-

1 R5520 2

22-

H_CPURST# 51_5%
H_RS#(1)
H_RS#(2)

H_TRDY#
H_HIT#
H_HITM#

181818181815-,18-

H_BPM0_XDP#
H_BPM1_XDP#
H_BPM2_XDP#
H_BPM3_XDP#
H_BPM4_PRDY#
H_BPM5_PREQ#
H_TCK
TDI_FLEX
H_TDO
H_TMS

15-,1815-,181815-,1818-,34-

18-

PROCHOT#
THERMDA
THERMDC
THERMTRIP#

R95

1
D21
A24
B25
C7

R6166

1 R5568

54.9_1%

68_5%

2 0_5%_OPEN

19-

10CPU_PROCHOT#

C5792

H_THERMDA

10mils/10mils

2 OPEN
1 R9171 2 19-,20-,30-,33-

H_TRST#

XDP_DBRESET#

+VCCP

THERMAL

51 ohm +/-1% pull-up to +VCCP


(VCCP) if ITP is implemented

H_RS#(2:0)

H_RS#(0)

22-

AD4
AD3
AD1
AC4
AC2
AC1
AC5
AA6
AB3
AB5
AB6
C20

19-

THERM_MINUS

PM_THRMTRIP#

0_5%

H CLK
BCLK0
BCLK1

A22
A21

1414-

CLK_R_CPUBCLK
CLK_R_CPUBCLK#

+VCCP
8-,10-,15-,16-,17-,18-,20-,22-,25-,33-,36-

RESERVED
GMCH

CPU

1 R5569 2

+VCCP
ICH9

15-,18-

H_BPM5_PREQ#

54.9_1%
1 R5570 2

15-,18-

TDI_FLEX

54.9_1%

FOX_PZ4782K_274M_41_478P

+VCCP

+VCCP

8-,10-,15-,16-,17-,18-,20-,22-,25-,33-,36-

STPCLK#
LINT0
LINT1
SMI#
RSVD01
RSVD02
RSVD03
RSVD04
RSVD05
RSVD06
RSVD07
RSVD08
RSVD09
RSVD010

BR0#

RESET#
RS0#
RS1#
RS2#
TRDY#

REQ0#
REQ1#
REQ2#
REQ3#
REQ4#

H1
E2
G5

LOCK#

ADDR GROUP 1

H_A#(5)

XDP/ITP SIGNALS

H_A#(4)

ICH

J4
L5
L4
K5
M3
N2
J1
N3
P5
P2
L2
P4
P1
R1
M1

H_A#(3)

THERM_MINUS_2

R6129

CN22-1

22-

H_THERMDA_2

51_5%

51_5%
2

H_A#(35:3)

51_5%

51_5%

R6132
1

PM_THRMTRIP# should be T at CPU

1 R5571 2

15-,18-

H_TMS

54.9_1%
1 R5572 2

15-,18-

H_TCK

54.9_1%

8-,10-,15-,16-,17-,18-,20-,22-,25-,33-,36-

5-,10-,12-,13-,14-,19-,20-,25-,26-,30-,31-,32-,33-,34-,35-,36-,37-,38-,40-,41-,46-,47-,50-,51-,52-,54-

R6124

+V3S

1K_1%
1

2
1

R6128

3 D Q5146
G 1

1.74K_1%
2

R6127
100K_5%

+VCCP
1

Q5147
3
C
B 1

8-,10-,15-,16-,17-,18-,20-,22-,25-,33-,36-

BSS138

1 R6125 2

R6126
10K_5%

2
18-

GTLREF_CONTROL

10K_5%

MMBT3904

For QC CPU reserved circuitry


For DC CPU RSVD01~RSVD10 set as NC

REF DES
Q5146
Q5147
R6103
R6104
R6105
R6106
R6107
R6108
R6109
R6110
R6111
R6112
R6119
R6121
R6124
R6125
R6126
R6127
R6128
R6129
R6130
R6131
R6132
R6133
R6174
R6175

Value
BSS138
MMBT3904
51
51
51
51
0
0
0
0
0
0
0
0
1K,1%
10K,5%
10K,5%
100K,5%
1.74K,1%
51
51
51
51
51
0
0

Debug Support with QC ES1


Install
Install
Install
Install
Install
Install
Install
Install
Install
Install
Install
Install
Install
Install
Install
Install
Install
Install
Install
Install
Install
Install
Install
Install
Install
Install

Debug Support with QC ES2


Install
Install
Open
Open
Open
Install
Open
Open
Install
Install
Open
Install
Open
Install
Install
Install
Install
Install
Install
Install
Install
Install
Install
Open
Install
Install

No Debug Port Support


Install
Install
Open
Open
Open
Open
Open
Open
Install
Install
Open
Open
Open
Install
Install
Install
Install
Install
Install
Open
Open
Open
Open
Open
Install
Open

INVENTEC
TITLE

Absolut
PENRYN-1

SIZE CODE

A3
CHANGE by

Smit Wu

3-Dec-2007

CS
SHEET

DOC. NUMBER

REV

Model_No
15

OF

AX1
60

H_D#(63:0)

222222-

H_DSTBN#1
H_DSTBP#1
H_DINV#1

1K_1%

222222-

GTLREF

1 R5032

2K_1%

DATA GRP 2

DATA GRP 0

Y22
AB24
V24
V26
V23
T22
U25
U23
Y25
W22
Y23
W24
W25
AA23
AA24
AB25
Y26
AA26
U22

H_D#(32)
H_D#(33)
H_D#(34)
H_D#(35)
H_D#(36)
H_D#(37)
H_D#(38)
H_D#(39)
H_D#(40)
H_D#(41)
H_D#(42)
H_D#(43)
H_D#(44)
H_D#(45)
H_D#(46)
H_D#(47)

AE24
AD24
AA21
AB22
AB21
AC26
AD20
AE22
AF23
AC25
AE21
AD21
AC22
AD23
AF22
AC23
AE25
AF24
AC20

H_D#(48)
H_D#(49)
H_D#(50)
H_D#(51)
H_D#(52)
H_D#(53)
H_D#(54)
H_D#(55)
H_D#(56)
H_D#(57)
H_D#(58)
H_D#(59)
H_D#(60)
H_D#(61)
H_D#(62)
H_D#(63)

22222216-,22-

N22
K25
P26
R23
L23
M24
L22
M23
P25
P23
P22
T24
R24
L25
T25
N25
L26
M26
N24

H_D#(16)
H_D#(17)
H_D#(18)
H_D#(19)
H_D#(20)
H_D#(21)
H_D#(22)
H_D#(23)
H_D#(24)
H_D#(25)
H_D#(26)
H_D#(27)
H_D#(28)
H_D#(29)
H_D#(30)
H_D#(31)

8-,10-,15-,16-,17-,18-,20-,22-,25-,33-,36-

16-,22D32#
D33#
D34#
D35#
D36#
D37#
D38#
D39#
D40#
D41#
D42#
D43#
D44#
D45#
D46#
D47#
DSTBN2#
DSTBP2#
DINV2#

D0#
D1#
D2#
D3#
D4#
D5#
D6#
D7#
D8#
D9#
D10#
D11#
D12#
D13#
D14#
D15#
DSTBN0#
DSTBP0#
DINV0#

16-,22-

+VCCP
1 R5031

E22
F24
E26
G22
F23
G25
E25
E23
K24
G24
J24
J23
H22
F26
K22
H23
J26
H26
H25

H_D#(0)
H_D#(1)
H_D#(2)
H_D#(3)
H_D#(4)
H_D#(5)
H_D#(6)
H_D#(7)
H_D#(8)
H_D#(9)
H_D#(10)
H_D#(11)
H_D#(12)
H_D#(13)
H_D#(14)
H_D#(15)

AD26
C23
D25
C24
AF26
AF1
A26

Layout note: Zo=55 ohm,


0.5" max for GTLREF.

CPU_BSEL0
CPU_BSEL1
CPU_BSEL2

0_5%
0_5%
0_5%

14-,2014-,2014-,20-

1
1
1

2 R5921
2 R311
2 R5994

D48#
D49#
D50#
D51#
D52#
D53#
D54#
D55#
D56#
D57#
D58#
D59#
D60#
D61#
D62#
D63#
DSTBN3#
DSTBP3#
DINV3#

D16#
D17#
D18#
D19#
D20#
D21#
D22#
D23#
D24#
D25#
D26#
D27#
D28#
D29#
D30#
D31#
DSTBN1#
DSTBP1#
DINV1#

DATA GRP 3

H_DSTBN#0
H_DSTBP#0
H_DINV#0

CN22-2

16-,22-

DATA GRP 1

H_D#(63:0)

GTLREF
TEST1
TEST2
TEST3
TEST4
TEST5
TEST6

B22
BSEL0
B23
BSEL1
C21
BSEL2

COMP0
COMP1
COMP2
COMP3

MISC
DPRSTP#
DPSLP#
DPWR#
PWRGOOD
SLP#
PSI#

222222-

R5033 1
R5034 1
R5035 1
R5036 1

R26
U26
AA1
Y1
E5
B5
D24
D6
D7
AE6

FOX_PZ4782K_274M_41_478P

10-,20-,33-

R5037
OPEN

1 R5038

OPEN

C5611

2
OPEN

H_DPRSTP#

H_DSTBN#2
H_DSTBP#2
H_DINV#2
H_D#(63:0)

Layout note:
Comp0,2 connect with Zo=27.4ohm, make
trace length shorter than o.5" .
Comp1,3 connect with Zo=55ohm, make
trace length shorter than 0.5" .

27.4_1%
54.9_1%
27.4_1%
54.9_1%

CLOSED TO CPU
33H_DPSLP#
22H_DPWR#
2210-

2
2
2
2

H_DSTBN#3
H_DSTBP#3
H_DINV#3

H_D#(63:0)

H_CPUSLP#
PSI#

1 R5040 2

33-

H_PWRGD

18-

H_PWRGD_XDP

1K_5%

R5039
OPEN

Place series resistor (R5040= 1K ohm) on H_PWRGD_XDP without stub


+VCCP

Place C5611(0.1uF_16V) close to the TEST4 pin.


Make sure TEST4 routing is reference

8-,10-,15-,16-,17-,18-,20-,22-,25-,33-,36-

to GND and away from other noisy signals.

INVENTEC
TITLE

Absolut
PENRYN-2

SIZE CODE

A3
CHANGE by

Smit Wu

3-Dec-2007

CS
SHEET

DOC. NUMBER

REV

Model_No
16

OF

AX1
60

+VCC_CORE

+VCC_CORE
10-,17-

10-,17-

CN22-3
C40

PLACE THESE INSIDE SOCKET

CAVITY ON L8 (NORTH SIDE

2 10uF_6.3v

C43

2 10uF_6.3v

C28

2 10uF_6.3v

1 C158

1 C164

2 10uF_6.3v

2 10uF_6.3v

SECONDARY)

C39

C38

2 10uF_6.3v

2 10uF_6.3v

PLACE THESE INSIDE SOCKET

C42

C29

2 10uF_6.3v

C22

2 10uF_6.3v

2 10uF_6.3v

C27

C26

2 10uF_6.3v

C21

2 10uF_6.3v

2 10uF_6.3v

C23

2 10uF_6.3v

C30

2 10uF_6.3v

CAVITY ON L8 (SOUTH SIDE


SECONDARY)

C25

PLACE THESE INSIDE SOCKET


CAVITY ON L1 (NORTH SIDE

C150

PLACE THESE INSIDE SOCKET


CAVITY ON L1 (SOUTH SIDE

C37

1
2

2
10uF_6.3v

PRIMARY)

1
SOUTH SIDE SECONDARY
2

C9020

2 10uF_6.3v

2
10uF_6.3v

2
PRIMARY)

C24

2 10uF_6.3v

C145

2
10uF_6.3v

C159

2
10uF_6.3v

C144

2 10uF_6.3v

C146

2
10uF_6.3v

C160

2
10uF_6.3v

C117

2
330uF_2v_6mR 330uF_2v_6mR

C41

2 10uF_6.3v

C147
10uF_6.3v

C161
10uF_6.3v

1
2

1
2

C148
10uF_6.3v

C162
10uF_6.3v

C44

2 10uF_6.3v

1
2

1
2

C149
10uF_6.3v

C163
10uF_6.3v

A7
A9
A10
A12
A13
A15
A17
A18
A20
B7
B9
B10
B12
B14
B15
B17
B18
B20
C9
C10
C12
C13
C15
C17
C18
D9
D10
D12
D14
D15
D17
D18
E7
E9
E10
E12
E13
E15
E17
E18
E20
F7
F9
F10
F12
F14
F15
F17
F18
F20
AA7
AA9
AA10
AA12
AA13
AA15
AA17
AA18
AA20
AB9
AC10
AB10
AB12
AB14
AB15
AB17
AB18

VCC001
VCC002
VCC003
VCC004
VCC005
VCC006
VCC007
VCC008
VCC009
VCC010
VCC011
VCC012
VCC013
VCC014
VCC015
VCC016
VCC017
VCC018
VCC019
VCC020
VCC021
VCC022
VCC023
VCC024
VCC025
VCC026
VCC027
VCC028
VCC029
VCC030
VCC031
VCC032
VCC033
VCC034
VCC035
VCC036
VCC037
VCC038
VCC039
VCC040
VCC041
VCC042
VCC043
VCC044
VCC045
VCC046
VCC047
VCC048
VCC049
VCC050
VCC051
VCC052
VCC053
VCC054
VCC055
VCC056
VCC057
VCC058
VCC059
VCC060
VCC061
VCC062
VCC063
VCC064
VCC065
VCC066
VCC067

VCC068
VCC069
VCC070
VCC071
VCC072
VCC073
VCC074
VCC075
VCC076
VCC077
VCC078
VCC079
VCC080
VCC081
VCC082
VCC083
VCC084
VCC085
VCC086
VCC087
VCC088
VCC089
VCC090
VCC091
VCC092
VCC093
VCC094
VCC095
VCC096
VCC097
VCC098
VCC099
VCC0100

AB20
AB7
AC7
AC9
AC12
AC13
AC15
AC17
AC18
AD7
AD9
AD10
AD12
AD14
AD15
AD17
AD18
AE9
AE10
AE12
AE13
AE15
AE17
AE18
AE20
AF9
AF10
AF12
AF14
AF15
AF17
AF18
AF20

+VCCP

PLACE THESE INSIDE SOCKET


CAVITY ON L8 (NORTH SIDE
SECONDARY)

8-,10-,15-,16-,17-,18-,20-,22-,25-,33-,36-

C5740

C5626

C398

C352

2
2
2
2
0.1uF_16v 0.1uF_16v 0.1uF_16v 0.1uF_16v

C5553

C9043

2
0.1uF_16v 0.1uF_16v

+VCCP
8-,10-,15-,16-,17-,18-,20-,22-,25-,33-,36-

VCCP01
VCCP02
VCCP03
VCCP04
VCCP05
VCCP06
VCCP07
VCCP08
VCCP09
VCCP10
VCCP11
VCCP12
VCCP13
VCCP14
VCCP15
VCCP16
VCCA01
VCCA02
VID0
VID1
VID2
VID3
VID4
VID5
VID6

G21
V6
J6
K6
M6
J21
K21
M21
N21
N6
R21
R6
T21
T6
V21
W21

C11

2 220uF_2.5v

+V1.5S
9-,12-,25-,30-,36-,49-,50-

B26
C26
AD6
AF5
AE5
AF4
AE3
AF3
AE2

10101010101010-

H_VID0
H_VID1
H_VID2
H_VID3
H_VID4
H_VID5
H_VID6

+VCC_CORE

C5436
0.01uF_16v

1
2

10-,17-

C118
2 10uF_6.3v
LAYOUT NOTE:
PLACE C5436 NEAR PIN B26

R5041
100_1%
2

VCCSENSE

VSSSENSE

AF7

10-

VCCSENSE

AE7

10-

VSSSENSE

FOX_PZ4782K_274M_41_478P
1

R5042
100_1%
1
NORTH SIDE SECONDARY
2

C151

C9021

2
330uF_2v_6mR 330uF_2v_6mR
LAYOUT NOTE:
ROUTE VCCSENSE AND VSSSENSE TRACE AT
27.4 OHMS WITH 50 MIL SPACEING
PLACE PU AND PD WITHIN I INCH OF CPU

INVENTEC
TITLE

Absolut
PENRYN-3

SIZE CODE

A3
CHANGE by

Smit Wu

3-Dec-2007

CS
SHEET

REV

DOC. NUMBER

Model_No
17

OF

AX1
60

CN22-4

R6121
0_5%
GTLREF_CONTROL

15- 1

For QC CPU reserved circuitry


For DC CPU, tie to GND

A4
A8
A11
A14
A16
A19
A23
AF2
B6
B8
B11
B13
B16
B19
B21
B24
C5
C8
C11
C14
C16
C19
C2
C22
C25
D1
D4
D8
D11
D13
D16
D19
D23
D26
E3
E6
E8
E11
E14
E16
E19
E21
E24
F5
F8
F11
F13
F16
F19
F2
F22
F25
G4
G1
G23
G26
H3
H6
H21
H24
J2
J5
J22
J25
K1
K4
K23
K26
L3
L6
L21
L24
M2
M5
M22
M25
N1
N4
N23
N26
P3

VSS001
VSS002
VSS003
VSS004
VSS005
VSS006
VSS007
VSS008
VSS009
VSS010
VSS011
VSS012
VSS013
VSS014
VSS015
VSS016
VSS017
VSS018
VSS019
VSS020
VSS021
VSS022
VSS023
VSS024
VSS025
VSS026
VSS027
VSS028
VSS029
VSS030
VSS031
VSS032
VSS033
VSS034
VSS035
VSS036
VSS037
VSS038
VSS039
VSS040
VSS041
VSS042
VSS043
VSS044
VSS045
VSS046
VSS047
VSS048
VSS049
VSS050
VSS051
VSS052
VSS053
VSS054
VSS055
VSS056
VSS057
VSS058
VSS059
VSS060
VSS061
VSS062
VSS063
VSS064
VSS065
VSS066
VSS067
VSS068
VSS069
VSS070
VSS071
VSS072
VSS073
VSS074
VSS075
VSS076
VSS077
VSS078
VSS079
VSS080
VSS081

VSS082
VSS083
VSS084
VSS085
VSS086
VSS087
VSS088
VSS089
VSS090
VSS091
VSS092
VSS093
VSS094
VSS095
VSS096
VSS097
VSS098
VSS099
VSS100
VSS101
VSS102
VSS103
VSS104
VSS105
VSS106
VSS107
VSS108
VSS109
VSS110
VSS111
VSS112
VSS113
VSS114
VSS115
VSS116
VSS117
VSS118
VSS119
VSS120
VSS121
VSS122
VSS123
VSS124
VSS125
VSS126
VSS127
VSS128
VSS129
VSS130
VSS131
VSS132
VSS133
VSS134
VSS135
VSS136
VSS137
VSS138
VSS139
VSS140
VSS141
VSS142
VSS143
VSS144
VSS145
VSS146
VSS147
VSS148
VSS149
VSS150
VSS151
VSS152
VSS153
VSS154
VSS155
VSS156
VSS157
VSS158
VSS159
VSS160
VSS161
VSS162
VSS163

P6
P21
P24
R2
R5
R22
R25
T1
T4
T23
T26
U3
U6
U21
U24
V2
V5
V22
V25
W1
W4
W23
W26
Y3
Y6
Y21
Y24
AA2
AA5
AA8
AA11
AA14
AA16
AA19
AA22
AA25
AB1
AB4
AB8
AB11
AB13
AB16
AB19
AB23
AB26
AC3
AC6
AC8
AC11
AC14
AC16
AC19
AC21
AC24
AD2
AD5
AD8
AD11
AD13
AD16
AD19
AD22
AD25
AE1
AE4
AE8
AE11
AE14
AE16
AE19
AE23
AE26
A2
AF6
AF8
AF11
AF13
AF16
AF19
AF21
A25
AF25

XDP CONNECTOR
+V3A
CN1
H_BPM5_PREQ#
H_BPM4_PRDY#

1515-

H_BPM3_XDP#
H_BPM2_XDP#

1515-

H_BPM1_XDP#
H_BPM0_XDP#

1515-

H_BPM3_XDP#_B

1815-

H_BPM1_XDP#_B
H_BPM0_XDP#_B

1515-

8-,10-,15-,16-,17-,18-,20-,22-,25-,33-,36- H_BPM2_XDP#_B

+VCCP

H_PWRGD_XDP
1
2

1
2

R5043
54.9_1%

C5065
0.1uF_16v

H_TCK

15-

16-

1
3
5
7
9
11
13
15
17
19
21
23
25
27
29
31
33
35
37
39
41
43
45
47
49
51
53
55
57
59

GND0
OBSFN_A0
OBSFN_A1
GND2
OBSDATA_A0
OBSDATA_A1
GND4
OBSDATA_A2
OBSDATA_A3
GND6
OBSFN_B0
OBSFN_B1
GND8
OBSDATA_B0
OBSDATA_B1
GND10
OBSDATA_B2
OBSDATA_B3
GND12
PWRGOOD_HOOK0
HOOK1
VCC_OBS_AB
HOOK2
HOOK3
GND14
SDA
SCL
TCK1
TCK0
GND16

7-,10-,12-,13-,31-,34-,35-,36-,44-,45-,48-,49-,50-,51-,53-,54-

GND1
OBSFN_C0
OBSFN_C1
GND3
OBSDATA_C0
OBSDATA_C1
GND5
OBSDATA_C2
OBSDATA_C3
GND7
OBSFN_D0
OBSFN_D1
GND9
OBSDATA_D0
OBSDATA_D1
GND11
OBSDATA_D2
OBSDATA_D3
GND13
ITPCLK_HOOK4
ITPCLK#_HOOK5
VCC_OBS_CD
RESET#_HOOK6
DBR#_HOOK7
GND15
TDO
TRSTn
TDI
TMS
GND17

2
4
6
8
10
12
14
16
18
20
22
24
26
28
30
32
34
36
38
40
42
44
46
48
50
52
54
56
58
60

+VCCP
8-,10-,15-,16-,17-,18-,20-,22-,25-,33-,36-

R5744
1K_5%

2
R5046
54.9_1%
1

8-,10-,15-,16-,17-,18-,20-,22-,25-,33-,36-

+VCCP

1
2

C5066
0.1uF_16v
14141K_5%

R5044

CLK_R_XDP
CLK_R_XDP#

15-,2215-,34-

H_CPURST#
XDP_DBRESET#

15151515-

H_TDO
H_TRST#
TDI_FLEX
H_TMS

SAMTEC_BSH_030_01_L_D_A_TR_60P
8-,10-,15-,16-,17-,18-,20-,22-,25-,33-,36-

+VCCP
1

1 R6119 2

R6133
51_5%

2
18-

H_BPM3_XDP#_B

0_5%

For QC CPU reserved circuitry


-->Stuff R6133, R6119, R6121
For DC CPU, tie to GND

FOX_PZ4782K_274M_41_478P

INVENTEC
TITLE

Absolut
PENRYN-4

SIZE CODE

A3
CHANGE by

Smit Wu

3-Dec-2007

CS
SHEET

DOC. NUMBER

REV

Model_No
18

OF

AX1
60

Stuff for QC CPU only

5-,10-,12-,13-,14-,15-,19-,20-,25-,26-,30-,31-,32-,33-,34-,35-,36-,37-,38-,40-,41-,46-,47-,50-,51-,52-,54-

Place filter Cap near EMC2103

+V3S

15-

5-,12-,13-,30-,31-,32-,34-,36-,38-,39-,40-,41-,42-,43-,47-,50-,53-

+V5S

1 R6018

1
2

15-

10K_5%

C5800
100pF_50v

10K_5%
R6023

2
2

H_THERMDA_2

R6016

THERM_MINUS_2

R6017

5-,7-,8-,9-,10-,12-,30-,31-,38-

R5521

+VBATR

13

15

14
TRIP_SET

DN2_DP3

SHDN_SEL
SMDATA

TACH
SMCLK
GND

12

10K_5%

10K_5%

10K_5%

1
2
3
4

11
10

CHENMKO_BAT54_3P 1

D5050
3

CN6144
1
2
G G1
3
G G2
4

ACES_85205_0400_4P
Pin definition for Layout needed

17

GPIO1

+V3S
1

GND

U36
VDD

5-,10-,12-,13-,14-,15-,19-,20-,25-,26-,30-,31-,32-,33-,34-,35-,36-,37-,38-,40-,41-,46-,47-,50-,51-,52-,54-

1 R6019 2

SMSC_EMC2103_2_AX_QFN_16P

PWM
SYS_SHDN#

DP1

H_THERMDA

DN1

GPIO2

1
2

C215
1
100pF_50v
2

ALERT#

15-

Place filter Cap near EMC2103

THERM_MINUS

15-

DP2_DN3

16

R6088

22_5%
1 C216
Place this Cap near VDD pin

2 0.1uF_16v

FAN CNTR

0_5%_OPEN
30-,34-,4730-,34-,471

15-,20-,30-,33-

R9172 0_5%_OPEN

34-,35-

ICH_3S_SMCLK
ICH_3S_SMDATA
PM_THRMTRIP#
THERM_SCI#

LAYOUT NOTES: PUT THE THERMAL SENSOR CLOSE TO CPU

INVENTEC
TITLE

Absolut

THERMAL&FAN CONTROLLER
SIZE CODE

A3
CHANGE by

Smit Wu

3-Dec-2007

CS
SHEET

DOC. NUMBER

REV

Model_No
19

OF

AX1
60

XOR/ALLZ

MCH_CFG(16)
(FSB Dynamic
ODT)

LOW=Dynamic ODT
Disable

CN25
1
2
3
4
5
G1 G
6
G2 G
7
8

1
2
3
4
5
6
7
8

+VCCP

B31
AJ6
M1

ACES_87212_0800_8P
OPEN
1

56_5%_OPEN

1K_5%_OPEN

1K_5%_OPEN

AY21

14-,16-

R5823 1

CPU_BSEL1

14-,16-

R5824 1

14-,16-

R5825 1
R5927
1K_5%_OPEN

1K_5%_OPEN

MCH_CFG(20:3)

+V3S
5-,10-,12-,13-,14-,15-,19-,25-,26-,30-,31-,32-,33-,34-,35-,36-,37-,38-,40-,41-,46-,47-,50-,51-,52-,54-

R5059
R5062

MCH_CFG(19)

20-

MCH_CFG(20)

OPEN
1

20-

OPEN

R5056

14-,20-

10K_5%

R5057
1

R6084

SA_CK_0
SA_CK_1
SB_CK_0
SB_CK_1
SA_CK#_0
SA_CK#_1
SB_CK#_0
SB_CK#_1
SA_CKE_0
SA_CKE_1
SB_CKE_0
SB_CKE_1

RSVD15
RSVD16
RSVD17

SA_CS#_0
SA_CS#_1
SB_CS#_0
SB_CS#_1

+V1.8

SA_ODT_0
SA_ODT_1
SB_ODT_0
SB_ODT_1

RSVD21
RSVD22
RSVD23
RSVD24
RSVD25

SM_RCOMP
SM_RCOMP#
SM_RCOMP_VOH
SM_RCOMP_VOL

T25
R25
P25
P20
P24
C25
N24
M24
E21
C23
C24
N21
P21
T21
R20
M20
L21
H21
P29
R28
T28

20-

MCH_CFG(3)
MCH_CFG(4)
MCH_CFG(5)
MCH_CFG(6)
MCH_CFG(7)
MCH_CFG(8)
MCH_CFG(9)
MCH_CFG(10)
MCH_CFG(11)
MCH_CFG(12)
MCH_CFG(13)
MCH_CFG(14)
MCH_CFG(15)
MCH_CFG(16)
MCH_CFG(17)
MCH_CFG(18)
MCH_CFG(19)
MCH_CFG(20)

3410-,16-,33272810-,34-,3835-,5015-,19-,30-,3310-,34-

R5063
R5064
R5065
R5066
R5061
R5067
R5068

1
1
1
1
2
1
1

2 0_5%
2 0_5%
2 0_5% PM_EXTTS#0_R
2 0_5% PM_EXTTS#1_R
1 0_5%
2 100_5%
2 0_5%

R29
B7
N33
P32
AT40
AT11
T20
R32

BG48
BF48
BD48
BC48
BH47
BG47
BE47
BH46
BF46
BG45
BH44
BH43
BH6
BH5
BG4
BH3
BF3
BH2
BG2
BE2
BG1
BF1
BD1
BC1
F1

R5053
1K_1%

2
201

R5054
C5067
3K_1% 1

0.01uF_16v

1
2

SM_RCOMP_VOH

C167
2.2uF_6.3v

20-

R5055
C5068
1K_1% 1
2
0.01uF_16v
2
1

SM_RCOMP_VOL

C156
2.2uF_6.3v

LOW=ONLY DIGITAL DISPLAY PORT


(SDVO/DP/iHDMI)OR PCIEIS OPERATIONAL
DIGITAL DISPLAY
HIGH= DIGITAL DISPLAY PORT
PORT(SDVO/DP/iHDMI)
(SDVO/DP/iHDMI)AND PCIE ARE OPERATING
CONCURRENT WITH PCIE VIA THE PEG PORT

CFG_0
CFG_1
CFG_2
CFG_3
CFG_4
CFG_5
CFG_6
CFG_7
CFG_8
CFG_9
CFG_10
CFG_11
CFG_12
CFG_13
CFG_14
CFG_15
CFG_16
CFG_17
CFG_18
CFG_19
CFG_20

SM_VREF
SM_PWROK
SM_REXT
SM_DRAMRST#

27272828-

M_CLK_DDR0
M_CLK_DDR1
M_CLK_DDR2
M_CLK_DDR3

AR24
AR21
AU24
AV20

27272828-

M_CLK_DDR0#
M_CLK_DDR1#
M_CLK_DDR2#
M_CLK_DDR3#

BC28
AY28
AY36
BB36

27-,2927-,2928-,2928-,29-

M_CKE0
M_CKE1
M_CKE2
M_CKE3

BA17
AY16
AV16
AR13

27-,2927-,2928-,2928-,29-

M_CS0#
M_CS1#
M_CS2#
M_CS3#

BD17
AY17
BF15
AY13

27-,2927-,2928-,2928-,29-

M_ODT0
M_ODT1
M_ODT2
M_ODT3

BG22
BH21

2020-

SM_RCOMP
SM_RCOMP#

BF28
BH28

2020-

SM_RCOMP_VOH
SM_RCOMP_VOL

AV42
AR36
BF17
BC36

DPLL_REF_CLK
DPLL_REF_CLK#
DPLL_REF_SSCLK
DPLL_REF_SSCLK#

B38
A38
E41
F41

PEG_CLK
PEG_CLK#

F43
E43

DMI_RXN_0
DMI_RXN_1
DMI_RXN_2
DMI_RXN_3
DMI_RXP_0
DMI_RXP_1
DMI_RXP_2
DMI_RXP_3
DMI_TXN_0
DMI_TXN_1
DMI_TXN_2
DMI_TXN_3

PM_SYNC#
PM_DPRSTP#
PM_EXT_TS#_0
PM_EXT_TS#_1
PWROK
RSTIN#
THERMTRIP#
DPRSLPVR

DMI_TXP_0
DMI_TXP_1
DMI_TXP_2
DMI_TXP_3

NC_1
NC_2
NC_3
NC_4
NC_5
NC_6
NC_7
NC_8
NC_9
NC_10
NC_11
NC_12
NC_13
NC_14
NC_15
NC_16
NC_17
NC_18
NC_19
NC_20
NC_21
NC_22
NC_23
NC_24
NC_25

GFX_VID_0
GFX_VID_1
GFX_VID_2
GFX_VID_3
GFX_VID_4

1 R5904

OPEN
2

9-,11-,12-,20-,24-,25-,27-,28-

R5889
80.6_1%
20-

SM_RCOMP

20-

SM_RCOMP#

R5806
80.6_1%

11-,27-,28-

R9178 1
R5071 1

M_VREF

2 10K_5%
2 499_1%

1
2

AE41
AE37
AE47
AH39

DMI_TXN(0)
DMI_TXN(1)
DMI_TXN(2)
DMI_TXN(3)

AE40
AE38
AE48
AH40

DMI_TXP(0)
DMI_TXP(1)
DMI_TXP(2)
DMI_TXP(3)

AE35
AE43
AE46
AH42

DMI_RXN(0)
DMI_RXN(1)
DMI_RXN(2)
DMI_RXN(3)

AD35
AE44
AF46
AH43

DMI_RXP(0)
DMI_RXP(1)
DMI_RXP(2)
DMI_RXP(3)

B33
B32
G33
F33
E33

TP1031
TP1032
TP1033
TP1034
TP1035

C34

TP1036

141434-

CLK_PEG_MCH
CLK_PEG_MCH#
DMI_TXN(3:0)

34-

DMI_TXP(3:0)

34-

DMI_RXN(3:0)

34-

DMI_RXP(3:0)

C5072
0.1uF_16v

+V1.05M
GFX_VR_EN

CL_CLK
CL_DATA
CL_PWROK
CL_RST#
CL_VREF
DDPC_CTRLCLK
DDPC_CTRLDATA
SDVO_CTRLCLK
SDVO_CTRLDATA
CLKREQ#
ICH_SYNC#

TSATN

MCH_CFG(20)

MCH_CFG(19)
(DMI LANE
REVERSAL)

R5751
OPEN

+V1.8

AP24
AT21
AV24
AU20

9-,11-,12-,20-,24-,25-,27-,281

RSVD20

1K_5%

PM_SYNC#
H_DPRSTP#
PM_EXTTS#0
PM_EXTTS#1
PM_PWROK
PLT_RST#
PM_THRMTRIP#
PM_DPRSLPVR

PM_EXTTS#1_R

10K_5%

1K_5%

CLKREQ_R_MCH#
PM_EXTTS#0_R

10K_5%

1K_5%

R5926
1K_5%_OPEN

R5929

A47
BG23
BF23
BH18
BF18

CPU_BSEL0

CPU_BSEL2

RSVD1
RSVD2
RSVD3
RSVD4
RSVD5
RSVD6
RSVD7
RSVD8
RSVD9
RSVD10
RSVD11
RSVD12
RSVD13
RSVD14

R5923

R5925

OPEN

U39-2
M36
N36
R33
T33
AH9
AH10
AH12
AH13
K12
AL34
AK34
AN35
AM35
T24

AMT JTAG Debug CNTR

1 R5888

OPEN

12-,13-,14-,27-,28-,34-

8-,14-,20-,21-,24-,25-

R5928

1 R5072

+V1.05M

8-,10-,15-,16-,17-,18-,20-,22-,25-,33-,36-

20202020-

+V3M

HIGH=Dynamic ODT
Enable

NOTE: CFG[2:0] STRP : 000b : 1066 MT/S


010b : 800 MT/S
011b : 667 MT/S

MCH_CFG(16)
MCH_CFG(9)
MCH_CFG(7)
MCH_CFG(5)

NOTE : USE 4K-OHM RESISTOR WHEN INSTALLING


PULL-UP/PULL-DOWN RESISTOR ON ANY
MCH-CFG CONNECTION/PINS.

00=PARTIAL CLOCK GATING DISABLE


01=XOR MODE ENABLE
10=ALL-Z MODE ENABLE
11=NORMAL OPERATION

MCH_CFG(13:12)

MCH_CFG(9)
LOW=Reverse Lane
PCIE Graphics
HIGH=Normal operation
Lane

HIGH=Mobile CPU

LOW=RSVD

MCH_CFG(7)
(CPU Strap)

HIGH=DMIx4

LOW=DMIx2
MCH_CFG(5)

HDA_BCLK
HDA_RST#
HDA_SDI
HDA_SDO
HDA_SYNC

LOW=NORMAL
HIGH=LANES REVERSED

AH37
AH36
AN36
AJ35
AH34

R5069 1

2
0_5%

B12

1 R5073

CL_CLK0
CL_DATA0
M_PWROK
CL_RST#0

1K_1%
2

1
C5071
0.1uF_16v 2

N28
M28
G36
E36
K36
H36

B28
B30
B29
C29
A28

8-,14-,20-,21-,24-,25-

343413-,3434-

14-,2034-

R21

499_1%
2

CLKREQ_R_MCH#
MCH_ICH_SYNC#
+VCCP

1 R5604

8-,10-,15-,16-,17-,18-,20-,22-,25-,33-,36-

56_5%

INVENTEC
TITLE

Absolut
CANTIGA_1

SIZE CODE

A3

ITL_CANTIGA_FCBGA_1329P
CHANGE by

Smit Wu

3-Dec-2007

CS
SHEET

DOC. NUMBER

REV

Model_No
20

OF

AX1
60

+V1.05M
8-,14-,20-,24-,25-

U39-3
C690

PEG_TXP(0)

21-

PEG_TXP(1)

21-

C688

PEG_TXP(2)

21-

C684

PEG_TXP(3)

21-

C682

PEG_TXP(4)

21-

C679

PEG_TXP(5)

21-

C676

PEG_TXP(6)

21-

C672

PEG_TXP(7)

21-

C670

PEG_TXP(8)

21-

PEG_TXP(9)

21-

C687

PEG_TXP(10)

21-

C699

PEG_TXP(11)

21-

C680

PEG_TXP(12)

21-

C698

PEG_TXP(13)

21-

C673

PEG_TXP(14)

21-

C696

PEG_TXP(15)

21-

C667

PEG_TXN(0)

21-

C689

PEG_TXN(1)

21-

C686

PEG_TXN(2)

21-

C683

PEG_TXN(3)

21-

C681

PEG_TXN(4)

21-

C677

PEG_TXN(5)

21-

C674

PEG_TXN(6)

21-

PEG_TXN(7)

21-

C668

PEG_TXN(8)

21-

C702

C701

0.1uF_16v
1 2
1 2
1 2
1 2
1 2
1 2
1 2
1 2
1 2
1 2
1 2
1 2
1 2
1 2
1 2

30-

PEG_C_TXP(0)

0.1uF_16v

30-

PEG_C_TXP(1)

0.1uF_16v

30-

PEG_C_TXP(2)

0.1uF_16v

30-

PEG_C_TXP(3)

0.1uF_16v

30-

PEG_C_TXP(4)

0.1uF_16v

30-

PEG_C_TXP(5)

0.1uF_16v

30-

PEG_C_TXP(6)

0.1uF_16v

30-

PEG_C_TXP(7)

0.1uF_16v

30-

PEG_C_TXP(8)

0.1uF_16v

30-

PEG_C_TXP(9)

0.1uF_16v

30-

PEG_C_TXP(10)

0.1uF_16v

30-

PEG_C_TXP(11)

0.1uF_16v

30-

PEG_C_TXP(12)

0.1uF_16v

30-

PEG_C_TXP(13)

0.1uF_16v

30-

PEG_C_TXP(14)

0.1uF_16v

30-

PEG_C_TXP(15)

0.1uF_16v

30-

PEG_C_TXN(0)

0.1uF_16v

30-

PEG_C_TXN(1)

0.1uF_16v

30-

PEG_C_TXN(2)

0.1uF_16v

30-

PEG_C_TXN(3)

1 2

C671

PEG_TXN(9)

21-

C685

PEG_TXN(10)

21-

C700

PEG_TXN(11)

21-

PEG_TXN(12)

21-

PEG_TXN(13)

21-

C675

PEG_TXN(14)

21-

C695

PEG_TXN(15)

21-

C669

C678
C697

1 2
1 2
1 2
1 2
1 2
1 2
1 2
1 2
1 2
1 2
1 2
1 2
1 2
1 2
1 2

0.1uF_16v

30-

PEG_C_TXN(4)

0.1uF_16v

30-

PEG_C_TXN(5)

0.1uF_16v

30-

PEG_C_TXN(6)

0.1uF_16v

30-

PEG_C_TXN(7)

0.1uF_16v

30-

PEG_C_TXN(8)

0.1uF_16v

30-

PEG_C_TXN(9)

0.1uF_16v

30-

PEG_C_TXN(10)

0.1uF_16v

30-

PEG_C_TXN(11)

0.1uF_16v

30-

PEG_C_TXN(12)

0.1uF_16v

30-

PEG_C_TXN(13)

0.1uF_16v

30-

PEG_C_TXN(14)

0.1uF_16v

30-

PEG_C_TXN(15)

1 2

Place to near NB

L32
G32
M32
M33
K33
J33

M29
C44
B43
E37
E38
C41
C40
B37
A37
H47
E46
G40
A40
H48
D45
F40
B40
A41
H38
G37
J37
B42
G38
F37
K37

F25
H25
K25
H24

C31
E32

E28
G28
J28
G29
H32
J32
J29
E29
L29

L_BKLT_CTRL
L_BKLT_EN
L_CTRL_CLK

PEG_COMPI
PEG_COMPO

L_CTRL_DATA
L_DDC_CLK
L_DDC_DATA

LVDSA_DATA#_0
LVDSA_DATA#_1
LVDSA_DATA#_2
LVDSA_DATA#_3

30303030303030303030303030303030-

PEG_C_RXN(0)
PEG_C_RXN(1)
PEG_C_RXN(2)
PEG_C_RXN(3)
PEG_C_RXN(4)
PEG_C_RXN(5)
PEG_C_RXN(6)
PEG_C_RXN(7)
PEG_C_RXN(8)
PEG_C_RXN(9)
PEG_C_RXN(10)
PEG_C_RXN(11)
PEG_C_RXN(12)
PEG_C_RXN(13)
PEG_C_RXN(14)
PEG_C_RXN(15)

H43
J44
L43
L41
N40
P47
N43
T42
U42
Y42
W47
Y37
AA42
AD36
AC48
AD40

30303030303030303030303030303030-

PEG_C_RXP(0)
PEG_C_RXP(1)
PEG_C_RXP(2)
PEG_C_RXP(3)
PEG_C_RXP(4)
PEG_C_RXP(5)
PEG_C_RXP(6)
PEG_C_RXP(7)
PEG_C_RXP(8)
PEG_C_RXP(9)
PEG_C_RXP(10)
PEG_C_RXP(11)
PEG_C_RXP(12)
PEG_C_RXP(13)
PEG_C_RXP(14)
PEG_C_RXP(15)

PEG_TX#_0
PEG_TX#_1
PEG_TX#_2
PEG_TX#_3
PEG_TX#_4
PEG_TX#_5
PEG_TX#_6
PEG_TX#_7
PEG_TX#_8
PEG_TX#_9
PEG_TX#_10
PEG_TX#_11
PEG_TX#_12
PEG_TX#_13
PEG_TX#_14
PEG_TX#_15

J41
M46
M47
M40
M42
R48
N38
T40
U37
U40
Y40
AA46
AA37
AA40
AD43
AC46

21212121212121212121212121212121-

PEG_TXN(0)
PEG_TXN(1)
PEG_TXN(2)
PEG_TXN(3)
PEG_TXN(4)
PEG_TXN(5)
PEG_TXN(6)
PEG_TXN(7)
PEG_TXN(8)
PEG_TXN(9)
PEG_TXN(10)
PEG_TXN(11)
PEG_TXN(12)
PEG_TXN(13)
PEG_TXN(14)
PEG_TXN(15)

PEG_TX_0
PEG_TX_1
PEG_TX_2
PEG_TX_3
PEG_TX_4
PEG_TX_5
PEG_TX_6
PEG_TX_7
PEG_TX_8
PEG_TX_9
PEG_TX_10
PEG_TX_11
PEG_TX_12
PEG_TX_13
PEG_TX_14
PEG_TX_15

J42
L46
M48
M39
M43
R47
N37
T39
U36
U39
Y39
Y46
AA36
AA39
AD42
AD46

21212121212121212121212121212121-

PEG_TXP(0)
PEG_TXP(1)
PEG_TXP(2)
PEG_TXP(3)
PEG_TXP(4)
PEG_TXP(5)
PEG_TXP(6)
PEG_TXP(7)
PEG_TXP(8)
PEG_TXP(9)
PEG_TXP(10)
PEG_TXP(11)
PEG_TXP(12)
PEG_TXP(13)
PEG_TXP(14)
PEG_TXP(15)

PEG_RX_0
PEG_RX_1
PEG_RX_2
PEG_RX_3
PEG_RX_4
PEG_RX_5
PEG_RX_6
PEG_RX_7
PEG_RX_8
PEG_RX_9
PEG_RX_10
PEG_RX_11
PEG_RX_12
PEG_RX_13
PEG_RX_14
PEG_RX_15

LVDSA_DATA_0
LVDSA_DATA_1
LVDSA_DATA_2
LVDSA_DATA_3
LVDSB_DATA#_0
LVDSB_DATA#_1
LVDSB_DATA#_2
LVDSB_DATA#_3
LVDSB_DATA_0
LVDSB_DATA_1
LVDSB_DATA_2
LVDSB_DATA_3

TVA_DAC
TVB_DAC
TVC_DAC
TV_RTN

TV_DCONSEL_0
TV_DCONSEL_1

49.9_1%

H44
J46
L44
L40
N41
P48
N44
T43
U43
Y43
Y48
Y36
AA43
AD37
AC47
AD39

PEG_RX#_0
PEG_RX#_1
PEG_RX#_2
PEG_RX#_3
PEG_RX#_4
PEG_RX#_5
PEG_RX#_6
PEG_RX#_7
PEG_RX#_8
PEG_RX#_9
PEG_RX#_10
PEG_RX#_11
PEG_RX#_12
PEG_RX#_13
PEG_RX#_14
PEG_RX#_15

L_VDD_EN
LVDS_IBG
LVDS_VBG
LVDS_VREFH
LVDS_VREFL
LVDSA_CLK#
LVDSA_CLK
LVDSB_CLK#
LVDSB_CLK

1 R5085 2

T37
T36

CRT_BLUE
CRT_GREEN
CRT_RED
CRT_IRTN
CRT_DDC_CLK
CRT_DDC_DATA
CRT_HSYNC
CRT_TVO_IREF
CRT_VSYNC

ITL_CANTIGA_FCBGA_1329P

INVENTEC
TITLE

Absolut
CANTIGA_2

SIZE CODE

A3
CHANGE by

Smit Wu

3-Dec-2007

CS
SHEET

DOC. NUMBER

REV

Model_No
21

OF

AX1
60

H_D#(63:0)

15-

16-

H_A#(35:3)

U39-1
H_D#(0)
H_D#(1)
H_D#(2)
H_D#(3)
H_D#(4)
H_D#(5)
H_D#(6)
H_D#(7)
H_D#(8)
H_D#(9)
H_D#(10)
H_D#(11)
H_D#(12)
H_D#(13)
H_D#(14)
H_D#(15)
H_D#(16)
H_D#(17)
H_D#(18)
H_D#(19)
H_D#(20)
H_D#(21)
H_D#(22)
H_D#(23)
H_D#(24)
H_D#(25)
H_D#(26)
H_D#(27)
H_D#(28)
H_D#(29)
H_D#(30)
H_D#(31)
H_D#(32)
H_D#(33)
H_D#(34)
H_D#(35)
H_D#(36)
H_D#(37)
H_D#(38)
H_D#(39)
H_D#(40)
H_D#(41)
H_D#(42)
H_D#(43)
H_D#(44)
H_D#(45)
H_D#(46)
H_D#(47)
H_D#(48)
H_D#(49)
H_D#(50)
H_D#(51)
H_D#(52)
H_D#(53)
H_D#(54)
H_D#(55)
H_D#(56)
H_D#(57)
H_D#(58)
H_D#(59)
H_D#(60)
H_D#(61)
H_D#(62)
H_D#(63)

+VCCP
8-,10-,15-,16-,17-,18-,20-,22-,25-,33-,361

R5087
221_1%

2
22-

R5088
1
100_1%
2

MCH_HRCOMP

22-

R5086

C5073
0.1uF_16v

24.9_1%

MCH_HSWING
MCH_HRCOMP

2222-

C5
E3

H_SWING
H_RCOMP

Layout notes:
Trace need be 10 mils wide with 20 mils
H_CPURST#
H_CPUSLP#

15-,1816-

C12
E11

H_CPURST#
H_CPUSLP#

H_A#_3
H_A#_4
H_A#_5
H_A#_6
H_A#_7
H_A#_8
H_A#_9
H_A#_10
H_A#_11
H_A#_12
H_A#_13
H_A#_14
H_A#_15
H_A#_16
H_A#_17
H_A#_18
H_A#_19
H_A#_20
H_A#_21
H_A#_22
H_A#_23
H_A#_24
H_A#_25
H_A#_26
H_A#_27
H_A#_28
H_A#_29
H_A#_30
H_A#_31
H_A#_32
H_A#_33
H_A#_34
H_A#_35

A14
C15
F16
H13
C18
M16
J13
P16
R16
N17
M13
E17
P17
F17
G20
B19
J16
E20
H16
J20
L17
A17
B17
L16
C21
J17
H20
B18
K17
B20
F21
K21
L20

H_ADS#
H_ADSTB#_0
H_ADSTB#_1
H_BNR#
H_BPRI#
H_BREQ#
H_DEFER#
H_DBSY#
HPLL_CLK
HPLL_CLK#
H_DPWR#
H_DRDY#
H_HIT#
H_HITM#
H_LOCK#
H_TRDY#

H12
B16
G17
A9
F11
G12
E9
B10
AH7
AH6
J11
F9
H9
E12
H11
C9

H_DINV#_0
H_DINV#_1
H_DINV#_2
H_DINV#_3
H_DSTBN#_0
H_DSTBN#_1
H_DSTBN#_2
H_DSTBN#_3
H_DSTBP#_0
H_DSTBP#_1
H_DSTBP#_2
H_DSTBP#_3
H_REQ#_0
H_REQ#_1
H_REQ#_2
H_REQ#_3
H_REQ#_4
H_RS#_0
H_RS#_1
H_RS#_2

A11
B11

+VCCP
8-,10-,15-,16-,17-,18-,20-,22-,25-,33-,361 R5089

H_A#(3)
H_A#(4)
H_A#(5)
H_A#(6)
H_A#(7)
H_A#(8)
H_A#(9)
H_A#(10)
H_A#(11)
H_A#(12)
H_A#(13)
H_A#(14)
H_A#(15)
H_A#(16)
H_A#(17)
H_A#(18)
H_A#(19)
H_A#(20)
H_A#(21)
H_A#(22)
H_A#(23)
H_A#(24)
H_A#(25)
H_A#(26)
H_A#(27)
H_A#(28)
H_A#(29)
H_A#(30)
H_A#(31)
H_A#(32)
H_A#(33)
H_A#(34)
H_A#(35)
15151515151515151414161515151515-

J8
L3
Y13
Y1

16161616-

H_DINV#0
H_DINV#1
H_DINV#2
H_DINV#3

L10
M7
AA5
AE6

16161616-

H_DSTBN#0
H_DSTBN#1
H_DSTBN#2
H_DSTBN#3

L9
M8
AA6
AE5

16161616-

H_DSTBP#0
H_DSTBP#1
H_DSTBP#2
H_DSTBP#3

H_ADS#
H_ADSTB#0
H_ADSTB#1
H_BNR#
H_BPRI#
H_BREQ#0
H_DEFER#
H_DBSY#
CLK_R_MCHBCLK
CLK_R_MCHBCLK#
H_DPWR#
H_DRDY#
H_HIT#
H_HITM#
H_LOCK#
H_TRDY#

15-

H_REQ#(4:0)

H_REQ#(0)
H_REQ#(1)
H_REQ#(2)
H_REQ#(3)
H_REQ#(4)

B15
K13
F13
B13
B14

15-

H_RS#(2:0)

H_RS#(0)
H_RS#(1)
H_RS#(2)

B6
F12
C8

H_AVREF
H_DVREF

ITL_CANTIGA_FCBGA_1329P

1K_1%
2
1 R5090

2K_1%

C152

H_D#_0
H_D#_1
H_D#_2
H_D#_3
H_D#_4
H_D#_5
H_D#_6
H_D#_7
H_D#_8
H_D#_9
H_D#_10
H_D#_11
H_D#_12
H_D#_13
H_D#_14
H_D#_15
H_D#_16
H_D#_17
H_D#_18
H_D#_19
H_D#_20
H_D#_21
H_D#_22
H_D#_23
H_D#_24
H_D#_25
H_D#_26
H_D#_27
H_D#_28
H_D#_29
H_D#_30
H_D#_31
H_D#_32
H_D#_33
H_D#_34
H_D#_35
H_D#_36
H_D#_37
H_D#_38
H_D#_39
H_D#_40
H_D#_41
H_D#_42
H_D#_43
H_D#_44
H_D#_45
H_D#_46
H_D#_47
H_D#_48
H_D#_49
H_D#_50
H_D#_51
H_D#_52
H_D#_53
H_D#_54
H_D#_55
H_D#_56
H_D#_57
H_D#_58
H_D#_59
H_D#_60
H_D#_61
H_D#_62
H_D#_63

R310

0_5%

2
0.1uF_16v_OPEN

MCH_HSWING

F2
G8
F8
E6
G2
H6
H2
F6
D4
H3
M9
M11
J1
J2
N12
J6
P2
L2
R2
N9
L6
M5
J3
N2
R1
N5
N6
P13
N8
L7
N10
M3
Y3
AD14
Y6
Y10
Y12
Y14
Y7
W2
AA8
Y9
AA13
AA9
AA11
AD11
AD10
AD13
AE12
AE9
AA2
AD8
AA3
AD3
AD7
AE14
AF3
AC1
AE3
AC3
AE11
AE8
AG2
AD6

INVENTEC
TITLE

Absolut
CANTIGA_3

SIZE CODE

A3
CHANGE by

Smit Wu

3-Dec-2007

CS
SHEET

DOC. NUMBER

REV

Model_No
22

OF

AX1
60

MB_DATA(63:0)
MA_DATA(63:0)

28-

27-

U39-5
U39-4
AJ38
AJ41
AN38
AM38
AJ36
AJ40
AM44
AM42
AN43
AN44
AU40
AT38
AN41
AN39
AU44
AU42
AV39
AY44
BA40
BD43
AV41
AY43
BB41
BC40
AY37
BD38
AV37
AT36
AY38
BB38
AV36
AW36
BD13
AU11
BC11
BA12
AU13
AV13
BD12
BC12
BB9
BA9
AU10
AV9
BA11
BD9
AY8
BA6
AV5
AV7
AT9
AN8
AU5
AU6
AT5
AN10
AM11
AM5
AJ9
AJ8
AN12
AM13
AJ11
AJ12

SA_DQ_0
SA_DQ_1
SA_DQ_2
SA_DQ_3
SA_DQ_4
SA_DQ_5
SA_DQ_6
SA_DQ_7
SA_DQ_8
SA_DQ_9
SA_DQ_10
SA_DQ_11
SA_DQ_12
SA_DQ_13
SA_DQ_14
SA_DQ_15
SA_DQ_16
SA_DQ_17
SA_DQ_18
SA_DQ_19
SA_DQ_20
SA_DQ_21
SA_DQ_22
SA_DQ_23
SA_DQ_24
SA_DQ_25
SA_DQ_26
SA_DQ_27
SA_DQ_28
SA_DQ_29
SA_DQ_30
SA_DQ_31
SA_DQ_32
SA_DQ_33
SA_DQ_34
SA_DQ_35
SA_DQ_36
SA_DQ_37
SA_DQ_38
SA_DQ_39
SA_DQ_40
SA_DQ_41
SA_DQ_42
SA_DQ_43
SA_DQ_44
SA_DQ_45
SA_DQ_46
SA_DQ_47
SA_DQ_48
SA_DQ_49
SA_DQ_50
SA_DQ_51
SA_DQ_52
SA_DQ_53
SA_DQ_54
SA_DQ_55
SA_DQ_56
SA_DQ_57
SA_DQ_58
SA_DQ_59
SA_DQ_60
SA_DQ_61
SA_DQ_62
SA_DQ_63

SA_BS_0
SA_BS_1
SA_BS_2
SA_RAS#
SA_CAS#
SA_WE#

BD21
BG18
AT25

27-,2927-,2927-,29-

MA_BS0#
MA_BS1#
MA_BS2#

BB20
BD20
AY20

27-,2927-,2927-,29-

MA_RAS#
MA_CAS#
MA_WE#

27SA_DM_0
SA_DM_1
SA_DM_2
SA_DM_3
SA_DM_4
SA_DM_5
SA_DM_6
SA_DM_7
SA_DQS_0
SA_DQS_1
SA_DQS_2
SA_DQS_3
SA_DQS_4
SA_DQS_5
SA_DQS_6
SA_DQS_7
SA_DQS#_0
SA_DQS#_1
SA_DQS#_2
SA_DQS#_3
SA_DQS#_4
SA_DQS#_5
SA_DQS#_6
SA_DQS#_7
SA_MA_0
SA_MA_1
SA_MA_2
SA_MA_3
SA_MA_4
SA_MA_5
SA_MA_6
SA_MA_7
SA_MA_8
SA_MA_9
SA_MA_10
SA_MA_11
SA_MA_12
SA_MA_13
SA_MA_14

AM37
AT41
AY41
AU39
BB12
AY6
AT7
AJ5

MA_DM(0)
MA_DM(1)
MA_DM(2)
MA_DM(3)
MA_DM(4)
MA_DM(5)
MA_DM(6)
MA_DM(7)

AJ44
AT44
BA43
BC37
AW12
BC8
AU8
AM7
AJ43
AT43
BA44
BD37
AY12
BD8
AU9
AM8

MA_DQS(0)
MA_DQS(1)
MA_DQS(2)
MA_DQS(3)
MA_DQS(4)
MA_DQS(5)
MA_DQS(6)
MA_DQS(7)
MA_DQS#(0)
MA_DQS#(1)
MA_DQS#(2)
MA_DQS#(3)
MA_DQS#(4)
MA_DQS#(5)
MA_DQS#(6)
MA_DQS#(7)

BA21
BC24
BG24
BH24
BG25
BA24
BD24
BG27
BF25
AW24
BC21
BG26
BH26
BH17
AY25

MA_A(0)
MA_A(1)
MA_A(2)
MA_A(3)
MA_A(4)
MA_A(5)
MA_A(6)
MA_A(7)
MA_A(8)
MA_A(9)
MA_A(10)
MA_A(11)
MA_A(12)
MA_A(13)
MA_A(14)

27-

27-

27-,29-

MA_DM(7:0)

MA_DQS(7:0)

MA_DQS#(7:0)

MA_A(14:0)

AK47
AH46
AP47
AP46
AJ46
AJ48
AM48
AP48
AU47
AU46
BA48
AY48
AT47
AR47
BA47
BC47
BC46
BC44
BG43
BF43
BE45
BC41
BF40
BF41
BG38
BF38
BH35
BG35
BH40
BG39
BG34
BH34
BH14
BG12
BH11
BG8
BH12
BF11
BF8
BG7
BC5
BC6
AY3
AY1
BF6
BF5
BA1
BD3
AV2
AU3
AR3
AN2
AY2
AV1
AP3
AR1
AL1
AL2
AJ1
AH1
AM2
AM3
AH3
AJ3

SB_DQ_0
SB_DQ_1
SB_DQ_2
SB_DQ_3
SB_DQ_4
SB_DQ_5
SB_DQ_6
SB_DQ_7
SB_DQ_8
SB_DQ_9
SB_DQ_10
SB_DQ_11
SB_DQ_12
SB_DQ_13
SB_DQ_14
SB_DQ_15
SB_DQ_16
SB_DQ_17
SB_DQ_18
SB_DQ_19
SB_DQ_20
SB_DQ_21
SB_DQ_22
SB_DQ_23
SB_DQ_24
SB_DQ_25
SB_DQ_26
SB_DQ_27
SB_DQ_28
SB_DQ_29
SB_DQ_30
SB_DQ_31
SB_DQ_32
SB_DQ_33
SB_DQ_34
SB_DQ_35
SB_DQ_36
SB_DQ_37
SB_DQ_38
SB_DQ_39
SB_DQ_40
SB_DQ_41
SB_DQ_42
SB_DQ_43
SB_DQ_44
SB_DQ_45
SB_DQ_46
SB_DQ_47
SB_DQ_48
SB_DQ_49
SB_DQ_50
SB_DQ_51
SB_DQ_52
SB_DQ_53
SB_DQ_54
SB_DQ_55
SB_DQ_56
SB_DQ_57
SB_DQ_58
SB_DQ_59
SB_DQ_60
SB_DQ_61
SB_DQ_62
SB_DQ_63

SB_BS_0
SB_BS_1
SB_BS_2

SB_RAS#
SB_CAS#
SB_WE#

BC16
BB17
BB33

28-,2928-,2928-,29-

MB_BS0#
MB_BS1#
MB_BS2#

AU17
BG16
BF14

28-,2928-,2928-,29-

MB_RAS#
MB_CAS#
MB_WE#

28SB_DM_0
SB_DM_1
SB_DM_2
SB_DM_3
SB_DM_4
SB_DM_5
SB_DM_6
SB_DM_7
SB_DQS_0
SB_DQS_1
SB_DQS_2
SB_DQS_3
SB_DQS_4
SB_DQS_5
SB_DQS_6
SB_DQS_7
SB_DQS#_0
SB_DQS#_1
SB_DQS#_2
SB_DQS#_3
SB_DQS#_4
SB_DQS#_5
SB_DQS#_6
SB_DQS#_7
SB_MA_0
SB_MA_1
SB_MA_2
SB_MA_3
SB_MA_4
SB_MA_5
SB_MA_6
SB_MA_7
SB_MA_8
SB_MA_9
SB_MA_10
SB_MA_11
SB_MA_12
SB_MA_13
SB_MA_14

AM47
AY47
BD40
BF35
BG11
BA3
AP1
AK2
AL47
AV48
BG41
BG37
BH9
BB2
AU1
AN6
AL46
AV47
BH41
BH37
BG9
BC2
AT2
AN5

28-

MB_DQS(7:0)

28-

MB_DQS#(7:0)

28-,29AV17
BA25
BC25
AU25
AW25
BB28
AU28
AW28
AT33
BD33
BB16
AW33
AY33
BH15
AU33

MB_DM(7:0)

MB_A(14:0)

MB_A(0)
MB_A(1)
MB_A(2)
MB_A(3)
MB_A(4)
MB_A(5)
MB_A(6)
MB_A(7)
MB_A(8)
MB_A(9)
MB_A(10)
MB_A(11)
MB_A(12)
MB_A(13)
MB_A(14)

ITL_CANTIGA_FCBGA_1329P

ITL_CANTIGA_FCBGA_1329P

INVENTEC
TITLE

Absolut
CANTIGA_4

SIZE CODE

A3
CHANGE by

Smit Wu

3-Dec-2007

CS
SHEET

DOC. NUMBER

REV

Model_No
23

OF

AX1
60

+V1.8
9-,11-,12-,20-,24-,25-,27-,28-

+V1.05M

U39-7

U39-6

8-,14-,20-,21-,24-,25AP33
AN33
BH32
BG32
BF32
BD32
BC32
BB32
BA32
AY32
AW32
AV32
AU32
AT32
AR32
AP32
AN32
BH31
BG31
BF31
BG30
BH29
BG29
BF29
BD29
BC29
BB29
BA29
AY29
AW29
AV29
AU29
AT29
AR29
AP29

VCC_SM_1
VCC_SM_2
VCC_SM_3
VCC_SM_4
VCC_SM_5
VCC_SM_6
VCC_SM_7
VCC_SM_8
VCC_SM_9
VCC_SM_10
VCC_SM_11
VCC_SM_12
VCC_SM_13
VCC_SM_14
VCC_SM_15
VCC_SM_16
VCC_SM_17
VCC_SM_18
VCC_SM_19
VCC_SM_20
VCC_SM_21
VCC_SM_22
VCC_SM_23
VCC_SM_24
VCC_SM_25
VCC_SM_26
VCC_SM_27
VCC_SM_28
VCC_SM_29
VCC_SM_30
VCC_SM_31
VCC_SM_32
VCC_SM_33
VCC_SM_34
VCC_SM_35

BA36
BB24
BD16
BB21
AW16
AW13
AT13

VCC_SM_36_NC
VCC_SM_37_NC
VCC_SM_38_NC
VCC_SM_39_NC
VCC_SM_40_NC
VCC_SM_41_NC
VCC_SM_42_NC

Y26
AE25
AB25
AA25
AE24
AC24
AA24
Y24
AE23
AC23
AB23
AA23
AJ21
AG21
AE21
AC21
AA21
Y21
AH20
AF20
AE20
AC20
AB20
AA20
T17
T16
AM15
AL15
AE15
AJ15
AH15
AG15
AF15
AB15
AA15
Y15
V15
U15
AN14
AM14
U14
T14

VCC_AXG_1
VCC_AXG_2
VCC_AXG_3
VCC_AXG_4
VCC_AXG_5
VCC_AXG_6
VCC_AXG_7
VCC_AXG_8
VCC_AXG_9
VCC_AXG_10
VCC_AXG_11
VCC_AXG_12
VCC_AXG_13
VCC_AXG_14
VCC_AXG_15
VCC_AXG_16
VCC_AXG_17
VCC_AXG_18
VCC_AXG_19
VCC_AXG_20
VCC_AXG_21
VCC_AXG_22
VCC_AXG_23
VCC_AXG_24
VCC_AXG_25
VCC_AXG_26
VCC_AXG_27
VCC_AXG_28
VCC_AXG_29
VCC_AXG_30
VCC_AXG_31
VCC_AXG_32
VCC_AXG_33
VCC_AXG_34
VCC_AXG_35
VCC_AXG_36
VCC_AXG_37
VCC_AXG_38
VCC_AXG_39
VCC_AXG_40
VCC_AXG_41
VCC_AXG_42

AJ14
AH14

VCC_AXG_SENSE
VSS_AXG_SENSE

VCC_AXG_NCTF_1
VCC_AXG_NCTF_2
VCC_AXG_NCTF_3
VCC_AXG_NCTF_4
VCC_AXG_NCTF_5
VCC_AXG_NCTF_6
VCC_AXG_NCTF_7
VCC_AXG_NCTF_8
VCC_AXG_NCTF_9
VCC_AXG_NCTF_10
VCC_AXG_NCTF_11
VCC_AXG_NCTF_12
VCC_AXG_NCTF_13
VCC_AXG_NCTF_14
VCC_AXG_NCTF_15
VCC_AXG_NCTF_16
VCC_AXG_NCTF_17
VCC_AXG_NCTF_18
VCC_AXG_NCTF_19
VCC_AXG_NCTF_20
VCC_AXG_NCTF_21
VCC_AXG_NCTF_22
VCC_AXG_NCTF_23
VCC_AXG_NCTF_24
VCC_AXG_NCTF_25
VCC_AXG_NCTF_26
VCC_AXG_NCTF_27
VCC_AXG_NCTF_28
VCC_AXG_NCTF_29
VCC_AXG_NCTF_30
VCC_AXG_NCTF_31
VCC_AXG_NCTF_32
VCC_AXG_NCTF_33
VCC_AXG_NCTF_34
VCC_AXG_NCTF_35
VCC_AXG_NCTF_36
VCC_AXG_NCTF_37
VCC_AXG_NCTF_38
VCC_AXG_NCTF_39
VCC_AXG_NCTF_40
VCC_AXG_NCTF_41
VCC_AXG_NCTF_42
VCC_AXG_NCTF_43
VCC_AXG_NCTF_44
VCC_AXG_NCTF_45
VCC_AXG_NCTF_46
VCC_AXG_NCTF_47
VCC_AXG_NCTF_48
VCC_AXG_NCTF_49
VCC_AXG_NCTF_50
VCC_AXG_NCTF_51
VCC_AXG_NCTF_52
VCC_AXG_NCTF_53
VCC_AXG_NCTF_54
VCC_AXG_NCTF_55
VCC_AXG_NCTF_56
VCC_AXG_NCTF_57
VCC_AXG_NCTF_58
VCC_AXG_NCTF_59
VCC_AXG_NCTF_60

W28
V28
W26
V26
W25
V25
W24
V24
W23
V23
AM21
AL21
AK21
W21
V21
U21
AM20
AK20
W20
U20
AM19
AL19
AK19
AJ19
AH19
AG19
AF19
AE19
AB19
AA19
Y19
W19
V19
U19
AM17
AK17
AH17
AG17
AF17
AE17
AC17
AB17
Y17
W17
V17
AM16
AL16
AK16
AJ16
AH16
AG16
AF16
AE16
AC16
AB16
AA16
Y16
W16
V16
U16

C46

C175

220uF_6.3v2

1
2

22uF_6.3v

308 mils from


the Edge

C5089
0.22uF_16v

1
2

C5093
0.22uF_16v

1
2

AG34
AC34
AB34
AA34
Y34
V34
U34
AM33
AK33
AJ33
AG33
AF33

C5096
0.1uF_16v

Cavity Capacitors

1 R5091 2

VCC_1
VCC_2
VCC_3
VCC_4
VCC_5
VCC_6
VCC_7
VCC_8
VCC_9
VCC_10
VCC_11
VCC_12

AE33
AC33
AA33
Y33
W33
V33
U33
AH28
AF28
AC28
AA28
AJ26
AG26
AE26
AC26
AH25
AG25
AF25
AG24
AJ23
AH23
AF23

VCC_13
VCC_14
VCC_15
VCC_16
VCC_17
VCC_18
VCC_19
VCC_20
VCC_21
VCC_22
VCC_23
VCC_24
VCC_25
VCC_26
VCC_27
VCC_28
VCC_29
VCC_30
VCC_31
VCC_32
VCC_33
VCC_34

T32

VCC_35

8-,14-,20-,21-,24-,25-

+V1.05M

VCC_NCTF_1
VCC_NCTF_2
VCC_NCTF_3
VCC_NCTF_4
VCC_NCTF_5
VCC_NCTF_6
VCC_NCTF_7
VCC_NCTF_8
VCC_NCTF_9
VCC_NCTF_10
VCC_NCTF_11
VCC_NCTF_12
VCC_NCTF_13
VCC_NCTF_14
VCC_NCTF_15
VCC_NCTF_16
VCC_NCTF_17
VCC_NCTF_18
VCC_NCTF_19
VCC_NCTF_20
VCC_NCTF_21
VCC_NCTF_22
VCC_NCTF_23
VCC_NCTF_24
VCC_NCTF_25
VCC_NCTF_26
VCC_NCTF_27
VCC_NCTF_28
VCC_NCTF_29
VCC_NCTF_30
VCC_NCTF_31
VCC_NCTF_32
VCC_NCTF_33
VCC_NCTF_34
VCC_NCTF_35
VCC_NCTF_36
VCC_NCTF_37
VCC_NCTF_38
VCC_NCTF_39
VCC_NCTF_40
VCC_NCTF_41
VCC_NCTF_42
VCC_NCTF_43
VCC_NCTF_44

0_5%

+V1.8
9-,11-,12-,20-,24-,25-,27-,28-

PLACE ON
C5083
1
2
0.1uF_16v

1
C166
2
330uF_4v

1 C154

1 C155

22uF_6.3v

THE EDGE

22uF_6.3v

AM32
AL32
AK32
AJ32
AH32
AG32
AE32
AC32
AA32
Y32
W32
U32
AM30
AL30
AK30
AH30
AG30
AF30
AE30
AC30
AB30
AA30
Y30
W30
V30
U30
AL29
AK29
AJ29
AH29
AG29
AE29
AC29
AA29
Y29
W29
V29
AL28
AK28
AL26
AK26
AK25
AK24
AK23

ITL_CANTIGA_FCBGA_1329P

VCC_SM_LF1
VCC_SM_LF2
VCC_SM_LF3
VCC_SM_LF4
VCC_SM_LF5
VCC_SM_LF6
VCC_SM_LF7

AV44
BA37
AM40
AV21
AY5
AM10
BB13

1
2

C5075
0.1uF_16v

1
2

C5076
0.1uF_16v

1
2

C5077
0.22uF_16v

1
2

C5079
0.22uF_16v

1
2

C5081
0.47uF_6.3v

1
2

C59
1uF_10v

1
2

C58
1uF_10v

INVENTEC

ITL_CANTIGA_FCBGA_1329P

TITLE

Absolut
CANTIGA_5

SIZE CODE

A3
CHANGE by

Smit Wu

3-Dec-2007

CS
SHEET

DOC. NUMBER

REV
X01
AX1

Model_No
24

OF

60

8-,10-,15-,16-,17-,18-,20-,22-,25-,33-,36-

+VCCP
+V1.05M

U39-8

8-,14-,20-,21-,24-,25-

L6
VTT_1
VTT_2
VTT_3
VTT_4
VTT_5
VTT_6
VTT_7
VTT_8
VTT_9
VTT_10
VTT_11
VTT_12
VTT_13
VTT_14
VTT_15
VTT_16
VTT_17
VTT_18
VTT_19
VTT_20
VTT_21
VTT_22
VTT_23
VTT_24
VTT_25

2
C5105

VCCA_CRT_DAC_1
VCCA_CRT_DAC_2

2 0.1uF_16v

0.1uF_16v

+V1.05M

A25
B25

VCCA_DAC_BG
VSSA_DAC_BG

F47

VCCA_DPLLA

L48

VCCA_DPLLB

8-,14-,20-,21-,24-,25-

L19
2
1

C121
2 22uF_6.3v

C5109
0.1uF_16v

AD1

L20
1

BLM11A121S

L25

C122
2 22uF_6.3v

VCCA_MPLL

J48

VCCA_LVDS

C5110
+V1.5S
0.1uF_16v

2
1
2

8-,14-,20-,21-,24-,25-

47uF_4v

+V1.05M

C183

C31

0.1uF_16v

4.7uF_6.3v

C120

1
2

2.2uF_6.3v

C5142

0.47uF_6.3v

C119
220uF_2.5v

Place on the Edge

+V1.05M
8-,14-,20-,21-,24-,25-

1
2

C153
1uF_10v
+V1.8

VCCA_PEG_BG
9-,11-,12-,20-,24-,27-,28-

+V1.05M

C184

2 47uF_4v

AD48

C18

8-,14-,20-,21-,24-,25-

0.1uF_16v

VSSA_LVDS

C5119

C5104

J47

9-,12-,17-,25-,30-,36-,49-,50-

+V1.05_PEGPLL
25-

BLM18PG121SN1

VCCA_HPLL

AE1

1
2

C45

4.7uF_6.3v

C32
22uF_6.3v

1
2

C5122
1uF_6.3v

AA48

VCCA_PEG_PLL

AR20
AP20
AN20
AR17
AP17
AN17
AT16
AR16
AP16

VCCA_SM_1
VCCA_SM_2
VCCA_SM_3
VCCA_SM_4
VCCA_SM_5
VCCA_SM_6
VCCA_SM_7
VCCA_SM_8
VCCA_SM_9

AP28
AN28
AP25
AN25
AN24
AM28
AM26
AM25
AL25
AM24
AL24
AM23
AL23

VCCA_SM_CK_1
VCCA_SM_CK_2
VCCA_SM_CK_3
VCCA_SM_CK_4
VCCA_SM_CK_5
VCCA_SM_CK_NCTF_1
VCCA_SM_CK_NCTF_2
VCCA_SM_CK_NCTF_3
VCCA_SM_CK_NCTF_4
VCCA_SM_CK_NCTF_5
VCCA_SM_CK_NCTF_6
VCCA_SM_CK_NCTF_7
VCCA_SM_CK_NCTF_8

1 C5133

1 C165

2 22uF_6.3v

0.1uF_16v

1 R9169

0_5%_OPEN
2

1
2

C9096
10uF_6.3v_OPEN

C5114

2 22uF_6.3v 2

9-,12-,17-,25-,30-,36-,49-,50-

+V1.5S

0.1uF_16v

C56
10uF_6.3v

C5724
1

1
2

2
0.022uF_16v

C5723 1
2
0.1uF_16v

8-,14-,20-,21-,24-,251 R9054 2

0_5%
1
2

B24
A24

VCCA_TV_DAC_1
VCCA_TV_DAC_2

A32

VCC_HDA

M25

+V1.05M

L28
AF1

C5115
AA47

0.1uF_16v

5-,10-,12-,13-,14-,15-,19-,20-,26-,30-,31-,32-,33-,34-,35-,36-,37-,38-,40-,41-,46-,47-,50-,51-,52-,54-

VCCD_TVDAC

VCC_AXF_1
VCC_AXF_2
VCC_AXF_3

B22
B21
A21

+V3S
1

VCC_SM_CK_1
VCC_SM_CK_2
VCC_SM_CK_3
VCC_SM_CK_4

BF21
BH20
BG20
BF20

VCC_TX_LVDS

K47

VCC_HV_1
VCC_HV_2
VCC_HV_3

C35
B35
A35

VCC_PEG_1
VCC_PEG_2
VCC_PEG_3
VCC_PEG_4
VCC_PEG_5

V48
U48
V47
U47
U46

VCC_DMI_1
VCC_DMI_2
VCC_DMI_3
VCC_DMI_4

AH48
AF48
AH47
AG47

C5137
1
0.1uF_16v
2

R5092
10_5%

+VCCP

BLM11A121S

U13
T13
U12
T12
U11
T11
U10
T10
U9
T9
U8
T8
U7
T7
U6
T6
U5
T5
V3
U3
V2
U2
T2
V1
U1

8-,10-,15-,16-,17-,18-,20-,22-,25-,33-,36-

220uF_2.5v

B27
A26

D5079
CHENMKO_BAT54_3P

1 C5416

+VCCP
8-,10-,15-,16-,17-,18-,20-,22-,25-,33-,36-

OPEN 1

R316

+V1.05M
C54
4.7uF_6.3v

2 1

2 1

C53

C57
22uF_6.3v

1
2

0_5% 2 R418

C55
220uF_2.5v

1
8-,14-,20-,21-,24-,25-

VCCD_QDAC
VCCD_HPLL
VCCD_PEG_PLL

2 1

BLM11P600S

C5131
0.1uF_16v

1 R9053 2

0_5%_OPEN

M38
L37

VCCD_LVDS_1
VCCD_LVDS_2

+V1.05_PEGPLL

VTTLF1
VTTLF2
VTTLF3

A8
L1
AB2

25-

ITL_CANTIGA_FCBGA_1329P
1
2

C5098

C5128 1
2
0.47uF_6.3v

C51291

C5130 1

INVENTEC

2
2
0.47uF_6.3v 0.47uF_6.3v

TITLE

0.1uF_16v

Absolut
CANTIGA_6

SIZE CODE

A3
CHANGE by

Smit Wu

3-Dec-2007

CS
SHEET

DOC. NUMBER

REV

Model_No
25

OF

AX1
60

U39-10

ITL_CANTIGA_FCBGA_1329P

BA16

VSS_235

AU16
AN16
N16
K16
G16
E16
BG15
AC15
W15
A15
BG14
AA14
C14
BG13
BC13
BA13

VSS_237
VSS_238
VSS_239
VSS_240
VSS_241
VSS_242
VSS_243
VSS_244
VSS_245
VSS_246
VSS_247
VSS_248
VSS_249
VSS_250
VSS_251
VSS_252

AN13
AJ13
AE13
N13
L13
G13
E13
BF12
AV12
AT12
AM12
AA12
J12
A12
BD11
BB11
AY11
AN11
AH11

VSS_255
VSS_256
VSS_257
VSS_258
VSS_259
VSS_260
VSS_261
VSS_262
VSS_263
VSS_264
VSS_265
VSS_266
VSS_267
VSS_268
VSS_269
VSS_270
VSS_271
VSS_272
VSS_273

Y11
N11
G11
C11
BG10
AV10
AT10
AJ10
AE10
AA10
M10
BF9
BC9
AN9
AM9
AD9
G9
B9
BH8
BB8
AV8
AT8

VSS_275
VSS_276
VSS_277
VSS_278
VSS_279
VSS_280
VSS_281
VSS_282
VSS_283
VSS_284
VSS_285
VSS_286
VSS_287
VSS_288
VSS_289
VSS_290
VSS_291
VSS_292
VSS_293
VSS_294
VSS_295
VSS_296

VSS_351
VSS_352
VSS_353
VSS_354

U24
U28
U25
U29

VSS_NCTF_1
VSS_NCTF_2
VSS_NCTF_3
VSS_NCTF_4
VSS_NCTF_5
VSS_NCTF_6
VSS_NCTF_7
VSS_NCTF_8
VSS_NCTF_9
VSS_NCTF_10
VSS_NCTF_11
VSS_NCTF_12
VSS_NCTF_13
VSS_NCTF_14
VSS_NCTF_15
VSS_NCTF_16

AF32
AB32
V32
AJ30
AM29
AF29
AB29
U26
U23
AL20
V20
AC19
AL17
AJ17
AA17
U17

VSS_SCB_1
VSS_SCB_2
VSS_SCB_3
VSS_SCB_4
VSS_SCB_5
VSS_SCB_6

BH48
BH1
A48
C1
B2
A3

NC_26
NC_27
NC_28
NC_29
NC_30
NC_31
NC_32
NC_33
NC_34
NC_35
NC_36
NC_37
NC_38
NC_39
NC_40
NC_41
NC_42

E1
D2
C3
B4
A5
A6
A43
A44
B45
C46
D47
B47
A46
F48
E48
C48
B48

BC3
AV3
AL3
R3
P3
F3
BA2
AW2
AU2
AR2
AP2
AJ2
AH2
AF2
AE2
AD2
AC2
Y2
M2
K2
AM1
AA1
P1
H1

+V3S

R5814
R5813

100K_5%
2

VSS_327
VSS_328
VSS_329
VSS_330
VSS_331
VSS_332
VSS_333
VSS_334
VSS_335
VSS_336
VSS_337
VSS_338
VSS_339
VSS_340
VSS_341
VSS_342
VSS_343
VSS_344
VSS_345
VSS_346
VSS_347
VSS_348
VSS_349
VSS_350

5-,10-,12-,13-,14-,15-,19-,20-,25-,26-,30-,31-,32-,33-,34-,35-,36-,37-,38-,40-,41-,46-,47-,50-,51-,52-,54-

AH8
Y8
L8
E8
B8
AY7
AU7
AN7
AJ7
AE7
AA7
N7
J7
BG6
BD6
AV6
AT6
AM6
M6
C6
BA5
AH5
AD5
Y5
L5
J5
H5
F5
BE4

100K_5%
26-,37-,38-

VSS_297
VSS_298
VSS_299
VSS_300
VSS_301
VSS_302
VSS_303
VSS_304
VSS_305
VSS_306
VSS_307
VSS_308
VSS_309
VSS_310
VSS_311
VSS_312
VSS_313
VSS_314
VSS_315
VSS_316
VSS_317
VSS_318
VSS_319
VSS_320
VSS_321
VSS_322
VSS_323
VSS_324
VSS_325

CRACK_GPIO28

Q63 3
D

MCHGND3

1G

26-

SSM3K7002F 2

5-,10-,12-,13-,14-,15-,19-,20-,25-,26-,30-,31-,32-,33-,34-,35-,36-,37-,38-,40-,41-,46-,47-,50-,51-,52-,54-

+V3S

R5815

VSS_199
VSS_200
VSS_201
VSS_202
VSS_203
VSS_204
VSS_205
VSS_206
VSS_207
VSS_208
VSS_209
VSS_210
VSS_211
VSS_212
VSS_213
VSS_214
VSS_215
VSS_216
VSS_217
VSS_218
VSS_219
VSS_220
VSS_221
VSS_222
VSS_223
VSS_224
VSS_225
VSS_226
VSS_227
VSS_228
VSS_229
VSS_230
VSS_231
VSS_232
VSS_233

100K_5%
26-,37-,38-

BG21
L12
AW21
AU21
AP21
AN21
AH21
AF21
AB21
R21
M21
J21
G21
BC20
BA20
AW20
AT20
AJ20
AG20
Y20
N20
K20
F20
C20
A20
BG19
A18
BG17
BC17
AW17
AT17
R17
M17
H17
C17

CRACK_GPIO28

Q55 3
D

MCHGND4

1G

26-

SSM3K7002F 2

5-,10-,12-,13-,14-,15-,19-,20-,25-,26-,30-,31-,32-,33-,34-,35-,36-,37-,38-,40-,41-,46-,47-,50-,51-,52-,54-

+V3S

R5816

AM36
AE36
P36
L36
J36
F36
B36
AH35
AA35
Y35
U35
T35
BF34
AM34
AJ34
AF34
AE34
W34
B34
A34
BG33
BC33
BA33
AV33
AR33
AL33
AH33
AB33
P33
L33
H33
N32
K32
F32
C32
A31
AN29
T29
N29
K29
H29
F29
A29
BG28
BD28
BA28
AV28
AT28
AR28
AJ28
AG28
AE28
AB28
Y28
P28
K28
H28
F28
C28
BF26
AH26
AF26
AB26
AA26
C26
B26
BH25
BD25
BB25
AV25
AR25
AJ25
AC25
Y25
N25
L25
J25
G25
E25
BF24
AD12
AY24
AT24
AJ24
AH24
AF24
AB24
R24
L24
K24
J24
G24
F24
E24
BH23
AG23
Y23
B23
A23

100K_5%
26-,37-,38-

VSS_100
VSS_101
VSS_102
VSS_103
VSS_104
VSS_105
VSS_106
VSS_107
VSS_108
VSS_109
VSS_110
VSS_111
VSS_112
VSS_113
VSS_114
VSS_115
VSS_116
VSS_117
VSS_118
VSS_119
VSS_120
VSS_121
VSS_122
VSS_123
VSS_124
VSS_125
VSS_126
VSS_127
VSS_128
VSS_129
VSS_130
VSS_131
VSS_132
VSS_133
VSS_134
VSS_135
VSS_136
VSS_137
VSS_138
VSS_139
VSS_140
VSS_141
VSS_142
VSS_143
VSS_144
VSS_145
VSS_146
VSS_147
VSS_148
VSS_149
VSS_150
VSS_151
VSS_152
VSS_153
VSS_154
VSS_155
VSS_156
VSS_157
VSS_158
VSS_159
VSS_160
VSS_161
VSS_162
VSS_163
VSS_164
VSS_165
VSS_166
VSS_167
VSS_168
VSS_169
VSS_170
VSS_171
VSS_172
VSS_173
VSS_174
VSS_175
VSS_176
VSS_177
VSS_178
VSS_179
VSS_180
VSS_181
VSS_182
VSS_183
VSS_184
VSS_185
VSS_186
VSS_187
VSS_188
VSS_189
VSS_190
VSS_191
VSS_192
VSS_193
VSS_194
VSS_195
VSS_196
VSS_197
VSS_198

MCHGND5

26-

CRACK_GPIO28

Q62 3
D
G
S

SSM3K7002F 2

5-,10-,12-,13-,14-,15-,19-,20-,25-,26-,30-,31-,32-,33-,34-,35-,36-,37-,38-,40-,41-,46-,47-,50-,51-,52-,54-

+V3S

262626-

MCHGND3
MCHGND4
MCHGND5

26-

MCHGND6
R5817

VSS_1
VSS_2
VSS_3
VSS_4
VSS_5
VSS_6
VSS_7
VSS_8
VSS_9
VSS_10
VSS_11
VSS_12
VSS_13
VSS_14
VSS_15
VSS_16
VSS_17
VSS_18
VSS_19
VSS_20
VSS_21
VSS_22
VSS_23
VSS_24
VSS_25
VSS_26
VSS_27
VSS_28
VSS_29
VSS_30
VSS_31
VSS_32
VSS_33
VSS_34
VSS_35
VSS_36
VSS_37
VSS_38
VSS_39
VSS_40
VSS_41
VSS_42
VSS_43
VSS_44
VSS_45
VSS_46
VSS_47
VSS_48
VSS_49
VSS_50
VSS_51
VSS_52
VSS_53
VSS_54
VSS_55
VSS_56
VSS_57
VSS_58
VSS_59
VSS_60
VSS_61
VSS_62
VSS_63
VSS_64
VSS_65
VSS_66
VSS_67
VSS_68
VSS_69
VSS_70
VSS_71
VSS_72
VSS_73
VSS_74
VSS_75
VSS_76
VSS_77
VSS_78
VSS_79
VSS_80
VSS_81
VSS_82
VSS_83
VSS_84
VSS_85
VSS_86
VSS_87
VSS_88
VSS_89
VSS_90
VSS_91
VSS_92
VSS_93
VSS_94
VSS_95
VSS_96
VSS_97
VSS_98
VSS_99

100K_5%
26-,37-,38-

U39-9
AU48
AR48
AL48
BB47
AW47
AN47
AJ47
AF47
AD47
AB47
Y47
T47
N47
L47
G47
BD46
BA46
AY46
AV46
AR46
AM46
V46
R46
P46
H46
F46
BF44
AH44
AD44
AA44
Y44
U44
T44
M44
F44
BC43
AV43
AU43
AM43
J43
C43
BG42
AY42
AT42
AN42
AJ42
AE42
N42
L42
BD41
AU41
AM41
AH41
AD41
AA41
Y41
U41
T41
M41
G41
B41
BG40
BB40
AV40
AN40
H40
E40
AT39
AM39
AJ39
AE39
N39
L39
B39
BH38
BC38
BA38
AU38
AH38
AD38
AA38
Y38
U38
T38
J38
F38
C38
BF37
BB37
AW37
AT37
AN37
AJ37
H37
C37
BG36
BD36
AK15
AU36

CRACK_GPIO28

Q54 3
D

MCHGND6

26-

1G

SSM3K7002F 2

INVENTEC
TITLE

ITL_CANTIGA_FCBGA_1329P

Absolut
CANTIGA_7

SIZE CODE

A3
CHANGE by

Smit Wu

3-Dec-2007

CS
SHEET

DOC. NUMBER

REV

Model_No
26

OF

AX1
60

23-,29-

MA_A(13:0)

23-

MA_DATA(63:0)

CN3-1

23-,29-

MA_A(14)

23-,29-

MA_BS0#
MA_BS1#
M_CS0#
M_CS1#
M_CLK_DDR0
M_CLK_DDR0#
M_CLK_DDR1
M_CLK_DDR1#
M_CKE0
M_CKE1
MA_CAS#
MA_RAS#
MA_WE#

23-,2923-,2920-,2920-,292020202020-,2920-,2923-,2923-,2923-,29-

ICH_3M_SMCLK
ICH_3M_SMDATA

10K_5%
R5602
1

MA_BS2#

R751
10K_5%

23-

MA_DM(7:0)

MA_DQS(7:0)

MA_DQS#(7:0)

23-

23-

14-,28-,3414-,28-,34-

M_ODT0
M_ODT1

20-,2920-,29-

102
101
100
99
98
97
94
92
93
91
105
90
89
116
86
84
85
107
106
110
115
30
32
164
166
79
80
113
108
109
198
200
197
195
114
119
10
26
52
67
130
147
170
185
13
31
51
70
131
148
169
188
11
29
49
68
129
146
167
186

A0
A1
A2
A3
A4
A5
A6
A7
A8
A9
A10_AP
A11
A12
A13
A14
A15
A16_BA2
BA0
BA1
S0#
S1#
CK0
CK0#
CK1
CK1#
CKE0
CKE1
CAS#
RAS#
WE#
SA0
SA1
SCL
SDA
ODT0
ODT1
DM0
DM1
DM2
DM3
DM4
DM5
DM6
DM7
DQS0
DQS1
DQS2
DQS3
DQS4
DQS5
DQS6
DQS7
DQS#0
DQS#1
DQS#2
DQS#3
DQS#4
DQS#5
DQS#6
DQS#7

DQ0
DQ1
DQ2
DQ3
DQ4
DQ5
DQ6
DQ7
DQ8
DQ9
DQ10
DQ11
DQ12
DQ13
DQ14
DQ15
DQ16
DQ17
DQ18
DQ19
DQ20
DQ21
DQ22
DQ23
DQ24
DQ25
DQ26
DQ27
DQ28
DQ29
DQ30
DQ31
DQ32
DQ33
DQ34
DQ35
DQ36
DQ37
DQ38
DQ39
DQ40
DQ41
DQ42
DQ43
DQ44
DQ45
DQ46
DQ47
DQ48
DQ49
DQ50
DQ51
DQ52
DQ53
DQ54
DQ55
DQ56
DQ57
DQ58
DQ59
DQ60
DQ61
DQ62
DQ63

5
7
17
19
4
6
14
16
23
25
35
37
20
22
36
38
43
45
55
57
44
46
56
58
61
63
73
75
62
64
74
76
123
125
135
137
124
126
134
136
141
143
151
153
140
142
152
154
157
159
173
175
158
160
174
176
179
181
189
191
180
182
192
194

+V1.8
9-,11-,12-,20-,24-,25-,28-

Layout notes: Place these Caps closed So-Dimm0


C9097 1
330uF_4v 2

C170

C168
1

2
0.1uF_16v

C177
1

2
0.1uF_16v

C171

C176
1

2
0.1uF_16v

2
0.1uF_16v

C178

C169
1

2.2uF_6.3v

2.2uF_6.3v

2.2uF_6.3v

2
2.2uF_6.3v

C5660
1

CN3-2
112
111
117
96
95
118
81
82
87
103
88
104

C5661
1
2
2.2uF_6.3v

+V3M
12-,13-,14-,20-,28-,34-

C54951
0.1uF_16v 2

199

1 C14
2

2.2uF_6.3v

PM_EXTTS#0

83
120
50
69
163

20-

M_VREF

VDD1
VDD2
VDD3
VDD4
VDD5
VDD6
VDD7
VDD8
VDD9
VDD10
VDD11
VDD12
VDDSPD
NC1
NC2
NC3
NC4
NCTEST

11-,20-,281

C5499 1

2
0.1uF_16v

C191

G1
G2

VREF
GND0
GND1

2.2uF_6.3v
47
133
183
77
12
48
184
78
71
72
121
122
196
193
8

VSS1
VSS2
VSS3
VSS4
VSS5
VSS6
VSS7
VSS8
VSS9
VSS10
VSS11
VSS12
VSS13
VSS14
VSS15

VSS16
VSS17
VSS18
VSS19
VSS20
VSS21
VSS22
VSS23
VSS24
VSS25
VSS26
VSS27
VSS28
VSS29
VSS30
VSS31
VSS32
VSS33
VSS34
VSS35
VSS36
VSS37
VSS38
VSS39
VSS40
VSS41
VSS42
VSS43
VSS44
VSS45
VSS46
VSS47
VSS48
VSS49
VSS50
VSS51
VSS52
VSS53
VSS54
VSS55
VSS56
VSS57

18
24
41
53
42
54
59
65
60
66
127
139
128
145
165
171
172
177
187
178
190
9
21
33
155
34
132
144
156
168
2
3
15
27
39
149
161
28
40
138
150
162

FOX_AS0A421_N4SN_7F_200P

FOX_AS0A421_N4SN_7F_200P

SO DIMM0_4.0mm

INVENTEC
TITLE

Absolut
DDR2 DIMM-0

SIZE CODE

A3
CHANGE by

Smit Wu

3-Dec-2007

CS
SHEET

DOC. NUMBER

REV

Model_No
27

OF

AX1
60

2323-,29-

MB_A(13:0)

MB_DATA(63:0)

CN4-1

MB_A(14)

23-,29-

+V3M
12-,13-,14-,20-,27-,28-,34-

MB_BS2#

23-,29-

MB_BS0#
MB_BS1#
M_CS2#
M_CS3#
M_CLK_DDR2
M_CLK_DDR2#
M_CLK_DDR3
M_CLK_DDR3#
M_CKE2
M_CKE3
MB_CAS#
MB_RAS#
MB_WE#

23-,2923-,2920-,2920-,292020202020-,2920-,2923-,2923-,2923-,29-

1 R5603 2

ICH_3M_SMCLK
ICH_3M_SMDATA

10K_5%
1 R191

MB_DM(7:0)

10K_5%
2

MB_DQS(7:0)

MB_DQS#(7:0)

23-

23-

23-

14-,27-,3414-,27-,34-

102
101
100
99
98
97
94
92
93
91
105
90
89
116
86
84
85
107
106
110
115
30
32
164
166
79
80
113
108
109
198
200
197
195

20-,29-

M_ODT2
M_ODT3

20-,29-

114
119
10
26
52
67
130
147
170
185
13
31
51
70
131
148
169
188
11
29
49
68
129
146
167
186

A0
A1
A2
A3
A4
A5
A6
A7
A8
A9
A10_AP
A11
A12
A13
A14
A15
A16_BA2
BA0
BA1
S0#
S1#
CK0
CK0#
CK1
CK1#
CKE0
CKE1
CAS#
RAS#
WE#
SA0
SA1
SCL
SDA
ODT0
ODT1
DM0
DM1
DM2
DM3
DM4
DM5
DM6
DM7
DQS0
DQS1
DQS2
DQS3
DQS4
DQS5
DQS6
DQS7
DQS#0
DQS#1
DQS#2
DQS#3
DQS#4
DQS#5
DQS#6
DQS#7

DQ0
DQ1
DQ2
DQ3
DQ4
DQ5
DQ6
DQ7
DQ8
DQ9
DQ10
DQ11
DQ12
DQ13
DQ14
DQ15
DQ16
DQ17
DQ18
DQ19
DQ20
DQ21
DQ22
DQ23
DQ24
DQ25
DQ26
DQ27
DQ28
DQ29
DQ30
DQ31
DQ32
DQ33
DQ34
DQ35
DQ36
DQ37
DQ38
DQ39
DQ40
DQ41
DQ42
DQ43
DQ44
DQ45
DQ46
DQ47
DQ48
DQ49
DQ50
DQ51
DQ52
DQ53
DQ54
DQ55
DQ56
DQ57
DQ58
DQ59
DQ60
DQ61
DQ62
DQ63

5
7
17
19
4
6
14
16
23
25
35
37
20
22
36
38
43
45
55
57
44
46
56
58
61
63
73
75
62
64
74
76
123
125
135
137
124
126
134
136
141
143
151
153
140
142
152
154
157
159
173
175
158
160
174
176
179
181
189
191
180
182
192
194

+V1.8
9-,11-,12-,20-,24-,25-,27-,28-

Layout note: Place these Caps closed So-Dimm1


C49

C61
1

C9099

2.2uF_6.3v

2.2uF_6.3v

2.2uF_6.3v

C5666

C62

C63

C60

2
2.2uF_6.3v

C5665

0.1uF_16v

C5663

2
2.2uF_6.3v

2
0.1uF_16v

0.1uF_16v 0.1uF_16v

330uF_4v
+V3M
12-,13-,14-,20-,27-,28-,34-

112
111
117
96
95
118
81
82
87
103
88
104
199

C15
1
2.2uF_6.3v
2
M_VREF

C56051
0.1uF_16v 2

CN4-2

C5664

PM_EXTTS#1

20-

11-,20-,27-

C5503 1

2
0.1UF_16V

83
120
50
69
163
1

C69

G1
G2

VSS16
VSS17
VSS18
VSS19
VSS20
VSS21
VSS22
VSS23
VSS24
VSS25
VSS26
VSS27
VSS28
VSS29
VSS30
VSS31
VSS32
VSS33
VSS34
VSS35
VSS36
VSS37
VSS38
VSS39
VSS40
VSS41
VSS42
VSS43
VSS44
VSS45
VSS46
VSS47
VSS48
VSS49
VSS50
VSS51
VSS52
VSS53
VSS54
VSS55
VSS56
VSS57

VDD1
VDD2
VDD3
VDD4
VDD5
VDD6
VDD7
VDD8
VDD9
VDD10
VDD11
VDD12
VDDSPD
NC1
NC2
NC3
NC4
NCTEST
VREF
GND0
GND1

2.2uF_6.3v
47
133
183
77
12
48
184
78
71
72
121
122
196
193
8

+V1.8

VSS1
VSS2
VSS3
VSS4
VSS5
VSS6
VSS7
VSS8
VSS9
VSS10
VSS11
VSS12
VSS13
VSS14
VSS15

18
24
41
53
42
54
59
65
60
66
127
139
128
145
165
171
172
177
187
178
190
9
21
33
155
34
132
144
156
168
2
3
15
27
39
149
161
28
40
138
150
162

FOX_AS0A426_N4RN_7F_REV_200P

9-,11-,12-,20-,24-,25-,27-,28-

1
2

C5746
0.1uF_16v

1
2

C825
0.1uF_16v

1
2

C659
0.1uF_16v

1
2

C5305
0.1uF_16v

FOX_AS0A426_N4RN_7F_REV_200P

SO DIMM1 4.0mm

Layout note: Place these Hi_Feq & Resistors closed GMCH

INVENTEC
TITLE

Absolut
DDR2 DIMM-1

SIZE CODE

A3
CHANGE by

Smit Wu

3-Dec-2007

CS
SHEET

DOC. NUMBER

REV

Model_No
28

OF

AX1
60

+V0.9
11-,13-,29-

C9077
2

C9081
1

C9085

C9084

C9083

C9082
1

C9088

C9087

C9090

C9089

C9091

C9093

C9092

C9094

0.1uF_16v

C9069

C9070

C9071

2
2
2
2
2
2
2
2
2
2
2
2
0.1uF_16v 0.1uF_16v 0.1uF_16v 0.1uF_16v 0.1uF_16v 0.1uF_16v 0.1uF_16v 0.1uF_16v 0.1uF_16v 0.1uF_16v 0.1uF_16v 0.1uF_16v

C9086

C9100

C9080

C9079

C9078

0.1uF_16v

0.1uF_16v

0.1uF_16v

0.1uF_16v

0.1uF_16v

0.1uF_16v

0.1uF_16v

0.1uF_16v

0.1uF_16v

C9073

C9074

C9075

2
2
2
0.1uF_16v 0.1uF_16v 0.1uF_16v

C9072
0.1uF_16v

C9076
0.1uF_16v

LAYOUT NOTES : PLACE ONE CAP CLOSE TO EVERY 2 PULL UP RESISTOR TERMINATED TO +V0.9

+V0.9
11-,13-,29-

R9080 1

56_5%

20-,27-

M_CKE0

R9084 1

56_5%

20-,27-

M_CKE1

R9085 1

56_5%

20-,28-

M_CKE2

R9086 1

56_5%

20-,28-

M_CKE3

R9095 1

56_5%

R9096 1

R9097 1

+V0.9
11-,13-,29-

R9105 1

56_5%

20-,27-

M_CS0#

R9106 1

56_5%

20-,27-

M_CS1#

20-,28-

M_CS2#

20-,27-

M_ODT0

56_5%

56_5%

R9107 1

20-,27-

M_ODT1

56_5%

20-,28-

56_5%

R9108 1

20-,28-

M_CS3#

M_ODT2

R9098 1

56_5%

20-,28-

M_ODT3
R9109 1

56_5%

23-,28-

MB_BS0#

R9099 1

56_5%

23-,27-

MA_BS0#

R9110 1

56_5%

23-,28-

MB_BS1#

R9100 1

56_5%

23-,27-

MA_BS1#

R9111 1

56_5%

23-,28-

MB_BS2#

R9101 1

56_5%

23-,27-

MA_BS2#

R9102 1

56_5%

23-,27-

MA_WE#

R9103 1

56_5%

23-,27-

MA_CAS#

R9104 1

56_5%

23-,27-

MA_RAS#
23-,27-

R9112 1

56_5%

23-,28-

MB_WE#

R9113 1

56_5%

23-,28-

MB_CAS#

R9114 1

56_5%

23-,28-

MB_RAS#
23-,28-

R9145 1

56_5%

MB_A(0)

R9115 1

56_5%

MA_A(0)

R9146 1

56_5%

MB_A(1)

R9116 1

56_5%

MA_A(1)

R9147 1

56_5%

MB_A(2)

56_5%

MB_A(3)

R9117 1

56_5%

MA_A(2)

R9148 1

R9118 1

56_5%

MA_A(3)

R9149 1

56_5%

MB_A(4)

R9119 1

56_5%

MA_A(4)

R9150 1

56_5%

MB_A(5)

R9120 1

56_5%

MA_A(5)

R9151 1

56_5%

MB_A(6)

R9121 1

56_5%

MA_A(6)

R9152 1

56_5%

MB_A(7)

56_5%

MB_A(8)

R9122 1

56_5%

MA_A(7)

R9153 1

R9123 1

56_5%

MA_A(8)

R9154 1

56_5%

MB_A(9)

R9124 1

56_5%

MA_A(9)

R9155 1

56_5%

MB_A(10)

R9125 1

56_5%

MA_A(10)

R9156 1

56_5%

MB_A(11)

R9126 1

56_5%

MA_A(11)

R9157 1

56_5%

MB_A(12)

56_5%

MB_A(13)

56_5%

R9127 1

56_5%

MA_A(12)

R9158 1

R9128 1

56_5%

MA_A(13)

R9159 1

R9129 1

56_5%

23-,27-

MB_A(13:0)

MA_A(13:0)

INVENTEC

23-,28-

TITLE
MB_A(14)

Absolut
DDR2

MA_A(14)

SIZE CODE

A3
CHANGE by

Smit Wu

3-Dec-2007

CS
SHEET

DOC. NUMBER

REV

Model_No
29

OF

AX1
60

+VBATR
CN31-1

5-,6-,13-,38-

C5349 1

2
1uF_6.3v

C95

C5353

PEG_C_RXN(11)
PEG_C_RXP(11)

2121-

PEG_C_RXN(10)
PEG_C_RXP(10)

2121-

PEG_C_RXN(9)
PEG_C_RXP(9)

2121-

PEG_C_RXN(8)
PEG_C_RXP(8)

2121-

PEG_C_RXN(7)
PEG_C_RXP(7)

2121-

PEG_C_RXN(6)
PEG_C_RXP(6)

2121-

PEG_C_RXN(5)
PEG_C_RXP(5)

2121-

PEG_C_RXN(4)
PEG_C_RXP(4)

2121-

PEG_C_RXN(3)
PEG_C_RXP(3)

2121-

PEG_C_RXN(2)
PEG_C_RXP(2)

2121-

GND
PEX_RX12#
PEX_RX12
GND
PEX_RX11#
PEX_RX11
GND
PEX_RX10#
PEX_RX10
GND
PEX_RX9#
PEX_RX9
GND
PEX_RX8#
PEX_RX8
GND
PEX_RX7#
PEX_RX7
GND
PEX_RX6#
PEX_RX6
GND
PEX_RX5#
PEX_RX5
GND
PEX_RX4#
PEX_RX4
GND
PEX_RX3#
PEX_RX3
GND
PEX_RX2#
PEX_RX2
GND

GND
PEX_TX2#
PEX_TX2

10uF_2.5v

2
4
6
8
10
12
14
16
18
20
22
24

5-,10-,12-,13-,14-,15-,19-,20-,25-,26-,30-,31-,32-,33-,34-,35-,36-,37-,38-,40-,41-,46-,47-,50-,51-,52-,54-

5-,12-,13-,19-,30-,31-,32-,34-,36-,38-,39-,40-,41-,42-,43-,47-,50-,53-

0_5%
R5973

TMDS_DDCDATA_D

212121212121-

PEG_C_TXN(15)
PEG_C_TXP(15)

2121-

PEG_C_TXN(12)
PEG_C_TXP(12)

2121-

PEG_C_TXN(11)
PEG_C_TXP(11)

2121-

PEG_C_TXN(10)
PEG_C_TXP(10)

2121-

PEG_C_TXN(9)
PEG_C_TXP(9)

2121-

PEG_C_TXN(8)
PEG_C_TXP(8)

2121-

PEG_C_TXN(7)
PEG_C_TXP(7)

2121-

PEG_C_TXN(6)
PEG_C_TXP(6)

53-

PEG_C_TXN(5)
PEG_C_TXP(5)
PEG_C_TXN(4)
PEG_C_TXP(4)

2121-

PEG_C_TXN(3)
PEG_C_TXP(3)

2121-

PEG_C_TXN(2)
PEG_C_TXP(2)

R172
10K_5%

R170
10K_5%
30-

HDMIEN

TMDS_TX3TMDS_TX3+
TMDS_HPD
TMDS_TXCTMDS_TXC+

3030535353-

TMDS_D_DDCDATA

TMDS_TX2TMDS_TX2+

5353-

TMDS_TX1TMDS_TX1+

5353-

TMDS_TX0TMDS_TX0+

5353-

10K_5%
30-

TMDS_DDCCLK_D

SSM3K7002F 2
S

SSM3K7002F 2
S

30-

1G

1G

30-

Q25 3

Q26 3

GND
IGP_DVI_B_TX1#
IGP_DVI_B_TX1

GND
LVDS_LTX1#
LVDS_LTX1

GND
IGP_DVI_B_TX0#
IGP_DVI_B_TX0
DVI_A_HPD
DVI_A_CLK#
DCI_A_CLK

GND
LVDS_LTX0#
LVDS_LTX0
GND
DDSS_DAT
DDCC_CLK
LVDS_PPEN
LVDS_BL_BRGHT
LVDS_BLEN
DDCB_DAT
DDCB_CLK
2V5RUN
GND
3V3RUN
3V3RUN
3V3RUN

GND
DVI_A_TX2#
DVI_A_TX2
GND
DVI_A_TX1#
DVI_A_TX1
GND
DVI_A_TX0#
DVI_A_TX0
GND

1 R5740 2

10K_5%

3131-

LVDS_CLKELVDS_CLKE+

3131413131-

LVDS_RXE3LVDS_RXE3+
SPDIFO
LVDS_RXE2LVDS_RXE2+

3131-

LVDS_RXE1LVDS_RXE1+

3131-

LVDS_RXE0LVDS_RXE0+

3131-

LVDS_CLKOLVDS_CLKO+
LVDS_RXO3LVDS_RXO3+

3131-

LVDS_RXO2LVDS_RXO2+

3131-

LVDS_RXO1LVDS_RXO1+

3131-

LVDS_RXO0LVDS_RXO0+

DVI_HDMI_SEL_R

3
4
6
7
11
12
14
15

30-

R5351
2.2K_1%

R5345
OPEN

R169
OPEN

R168
OPEN

D0+
D0D1+
D1D2+
D2D3+
D3-

32-

HDMI_CLK

53-

TMDS_D_DDCCLK

SEL

DVIEN
1 R3022 2

200_5%

CRT_B

+V2.5S

1
2

+V3S

1
2

C102
10uF_10v

C5242

1 C5243

0.1uF_16v

0.1uF_16v

+V3S

1
2

D3-A
D3+A
D2-A
D2+A
D1-A
D1+A
D0-A
D0+A

LCM_DDCPDATA
LCM_DDCPCLK
LCM_3S_VDDEN
INV_PWM_3
LCM_3S_BKLTEN
TMDS_DDCDATA_D
TMDS_DDCCLK_D

5-,10-,12-,13-,14-,15-,19-,20-,25-,26-,30-,31-,32-,33-,34-,35-,36-,37-,38-,40-,41-,46-,47-,50-,51-,52-,54-

3030303030303030-

CRT_G

53-

C5246
0.1uF_16v

ACES_88982_28428_284P

CHENMKO_BAT54_3P
D23

D3-B
D3+B
D2-B
D2+B
D1-B
D1+B
D0-B
D0+B

CRT_R

Close to MXM CNTR

C102 CLOSE TO MXM

5353-

1 R5524 1 R5525 1 R5523

3131-

30-,3130-,313131313030-

Place Q26, Q34 close to MXM CNTR

R862
4.53K_1%

DVI_HDMI_SEL

3030-

30-

TMDS_TX4TMDS_TX4+

HDMI_DATA

PEG_C_TXN(0)
PEG_C_TXP(0)

5-,10-,12-,13-,14-,15-,19-,20-,25-,26-,30-,31-,32-,33-,34-,35-,36-,37-,38-,40-,41-,46-,47-,50-,51-,52-,54-

2121-

30-

32-

1 R5842

HDMIEN

2121-

3030-

Q35 3

+V3S

HD_DVI_CLK+
HD_DVI_CLKTMDS_TX5+
TMDS_TX5TMDS_TX4+
TMDS_TX4TMDS_TX3+
TMDS_TX3-

DVI_HDMI_SEL

1G

5-,10-,12-,13-,14-,15-,19-,20-,25-,26-,30-,31-,32-,33-,34-,35-,36-,37-,38-,40-,41-,46-,47-,50-,51-,52-,54-

DVIEN

30-

TMDS_TX5TMDS_TX5+

Q34 3

PEG_C_TXN(13)
PEG_C_TXP(13)

R470
OPEN
2

1G
30-

HDMIEN

PEG_C_TXN(14)
PEG_C_TXP(14)

303032-

30-

DVIEN

10K_5%

38
40
42
44
46
48
50
52
54
56
58
60
62
64
66
68
70
72
74
76
78
80
82
84
86
88
90
92
94
96
98
100
102
104
106
108
110
112
114
116
118
120

30-

HD_DVI_CLKHD_DVI_CLK+
HDMI_HPD

SSM3K7002F 2 SSM3K7002F 2

R5216
1.8K_5%

DVI_HDMI_SEL_R

10K_5%

PWR_GOOD_3

5-,10-,12-,13-,14-,15-,19-,20-,25-,26-,30-,31-,32-,33-,34-,35-,36-,37-,38-,40-,41-,46-,47-,50-,51-,52-,54-

30-

1 R5841

5-,10-,13-,38-

+V3S

+V5S

+V3S

Q5143
SSM3K7002F

0.1uF_16v

ACES_88982_28428_284P

PEG_C_TXN(1)
PEG_C_TXP(1)

2121-

22
23
24
25
26
27
28
29

535353535353-

TMDS_D_TX3TMDS_D_TX3+
TMDS_D_TX4TMDS_D_TX4+
TMDS_D_TX5TMDS_D_TX5+

31
32
33
34
35
36
37
38

3232323232323232-

HDMI_TX0HDMI_TX0+
HDMI_TX1HDMI_TX1+
HDMI_TX2HDMI_TX2+
HDMI_TXCHDMI_TXC+

C835

+V3S

0.1uF_16v

1 R5992

10K_5%
2

2121-

GND
PEX_RX13#
PEX_RX13

1G

ADP_PRES

2121-

150_5%

2
1
12-,13-,52-

3131-

GND
PEX_REFCLK#
PEX_REFCLK
CLK_REQ#
GND
TVY_HDTV_Y_TV_CVBS
PEX_RST#
RSVD
GND
TV_CVBS_HDTV_Pb
RSVD
SMB_DAT
GND
VGA_RED
SMB_CLK
THERM#
GND
VGA_HSYNC
VGA_GRN
VGA_VSYNC
GND
DDCA_CLK
VGA_BLU
DDCA_DAT
GND
LVDS_UCLK#
IGP
LVDS_UCLK
IGP
GND
IGP
LVDS_UTX3#
RSVD
LVDS_UTX3
RSVD
AC_BATT#
SPDIF
LVDS_UTX2#
IGP
LVDS_UTX2
IGP
IGP
GND
LVDS_UTX1#
IGP
LVDS_UTX1
IGP
IGP
GND
LVDS_UTX0#
IGP
LVDS_UTX0
IGP
GND
GND
LVDS_LCK#
IGP_DVI_B_CLK#
LVDS_LCK
IGP_DVI_B_CLK
DVI_B_HPD_GND
GND
LVDS_LTX3#
RSVD
LVDS_LTX3
RSVD
GND
GND
LVDS_LTX2#
IGP_DVI_B_TX2#
LVDS_LTX2
IGP_DVI_B_TX2

122
124
126
128
130
132
134
136
138
140
142
144
146
148
150
152
154
156
158
160
162
164
166
168
170
172
174
176
178
180
182
184
186
188
190
192
194
196
198
200
202
204
206
208
210
212
214
216
218
220
222
224
226
228
230
232
234
236
238
240
242
G2

PEG_C_RXN(12)
PEG_C_RXP(12)

GND
PEX_RX14#
PEX_RX14

R132

+V1.8S

19-,34-,47ICH_3S_SMDATA
19-,34-,47ICH_3S_SMCLK
30THER_MXM
31CRT_HSYNC
31CRT_VSYNC
CRT_DDCCLK
CRT_DDCDATA

R6085
8.2K_5%

47K_5%

GND
PEX_TX1#
PEX_TX1
GND
PEX_TX0#
PEX_TX0
PRSNT1#
TV_C_HDTV_Pr

GND
PEX_RX0#
PEX_RX0

30-

THER_MXM

2121-

PRSNT2#
PEX_TX15#
PEX_TX15
GND
PEX_TX14#
PEX_TX14
GND
PEX_TX13#
PEX_TX13
GND
PEX_TX12#
PEX_TX12
GND
PEX_TX11#
PEX_TX11
GND
PEX_TX10#
PEX_TX10
GND
PEX_TX9#
PEX_TX9
GND
PEX_TX8#
PEX_TX8
GND
PEX_TX7#
PEX_TX7
GND
PEX_TX6#
PEX_TX6
GND
PEX_TX5#
PEX_TX5
GND
PEX_TX4#
PEX_TX4
GND
PEX_TX3#
PEX_TX3

35-

PEX_RX1#
PEX_RX1

15-,19-,20-,33-

PEG_C_RXN(13)
PEG_C_RXP(13)

PEX_RX15#
PEX_RX15

MMBT3906

1414-

PCIE_RST#

2
8
16
18
20
30
40
42

PEG_C_RXN(14)
PEG_C_RXP(14)

2121-

37
39
41
43
45
47
49
51
53
55
57
59
61
63
65
67
69
71
73
75
77
79
81
83
85
87
89
91
93
95
97
99
101
103
105
107
109
111
113
115
117
119

2121-

121
284
123
125
127
129
131
133
135
137
139
141
143
145
147
149
151
153
155
157
159
161
163
165
167
169
171
173
175
177
179
181
183
185
187
189
191
193
195
197
199
201
203
205
207
209
211
213
215
217
219
221
223
225
227
229
231
233
235
237
239
241
G1

5-,10-,12-,13-,14-,15-,19-,20-,25-,26-,30-,31-,32-,33-,34-,35-,36-,37-,38-,40-,41-,46-,47-,50-,51-,52-,54-

R131

PEG_C_RXN(0)
PEG_C_RXP(0)
CLK_R_PEG_REF#
CLK_R_PEG_REF

Q9003
2 E C 3

100K_5%

VDD
VDD
VDD
VDD
VDD
VDD
VDD
VDD

2121-

1 R5741 2

PEG_C_RXN(15)
PEG_C_RXP(15)

R6065
0_5%_OPEN

2121-

150_5%

+V3S

PEG_C_RXN(1)
PEG_C_RXP(1)

150_5%

5-,10-,12-,13-,14-,15-,19-,20-,25-,26-,30-,31-,32-,33-,34-,35-,36-,37-,38-,40-,41-,46-,47-,50-,51-,52-,54-

1V8RUN
1V8RUN
1V8RUN
1V8RUN
1V8RUN
1V8RUN
1V8RUN
RUNPWROK
5VRUN
GND
GND
GND

PWR_SRC
PWR_SRC
PWR_SRC
PWR_SRC
PWR_SRC
PWR_SRC
PWR_SRC
PWR_SRC
GND
GND
GND
GND

E2_1
E2_2
E2_3
E2_4
E2_5
E2_6
E2_7
E2_8
E2_9
E2_10
E2_11
E2_12
E2_13
E2_14
E2_15
E2_16
E2_17
E2_18
E2_19
E2_20
E2_21
E2_22
E2_23
E2_24
E2_25
E2_26
E2_27

1
3
5
7
9
11
13
15
17
19
21
23

GND
GND
GND
GND
GND
GND
GND
GND
GND
GND
GND
GND
GND
GND
GND
GND
GND
GND
GND
GND
GND
GND
GND
GND
GND
GND
GND

0.1uF_25v

CN31-2

E1_1
284
PWR_SRC
E1_2
PWR_SRC
E1_3
E1_4 PWR_SRC
PWR_SRC
E1_5
PWR_SRC
E1_6
E1_7 PWR_SRC
PWR_SRC
E1_8
PWR_SRC
E1_9
PWR_SRC
E1_10
PWR_SRC
E1_11
PWR_SRC
E1_12
PWR_SRC
E1_13
PWR_SRC
E1_14
PWR_SRC
E1_15
PWR_SRC
E1_16
PWR_SRC
E1_17
PWR_SRC
E1_18
PWR_SRC
E1_19
PWR_SRC
E1_20
PWR_SRC
E1_21
PWR_SRC
E1_22
PWR_SRC
E1_23
E1_24 PWR_SRC
PWR_SRC
E1_25
PWR_SRC
E1_26
E1_27 PWR_SRC
PWR_SRC

VSS
VSS
VSS
VSS
VSS
VSS
VSS
VSS
VSS
VSS

C5355

PM_THRMTRIP#

SSM3K7002F
Q9007
1 R122 2

0_5%_OPEN

+V5S
5-,12-,13-,19-,30-,31-,32-,34-,36-,38-,39-,40-,41-,42-,43-,47-,50-,53-

U20

U18 PER_PI3HDMI412FT_B_TQFN_42P

1
5
10
13
17
19
21
41
39
43

5-,7-,8-,9-,10-,12-,19-,31-,38-

+V1.5S
1
2

C836

DVI_HDMI_SEL
HDMIEN
DVIEN

30- R462 1 0_5% 2


30- R508 1 0_5% 2
30- R465 1 0_5% 2

1
2
3
4

P0
P1
P2
GND

8
VDD
7
SDA
6
SCL
5
P3

30-,3130-,31-

LCM_DDCPDATA
LCM_DDCPCLK

INVENTEC
TITLE

Absolut
MXM CNTR

0.1uF_16v

TI_PCA9536DGKR_VSSOP_8P

SIZE CODE

A3
CHANGE by

Smit Wu

3-Dec-2007

CS
SHEET

DOC. NUMBER

REV

Model_No
30

OF

AX1
60

5-,10-,12-,13-,14-,15-,19-,20-,25-,26-,30-,31-,32-,33-,34-,35-,36-,37-,38-,40-,41-,46-,47-,50-,51-,52-,54-

+V3S

53-

1 0_5% 2

R16

53-

1 0_5% 2

R20

R15

+V5S
5-,12-,13-,19-,30-,31-,32-,34-,36-,38-,39-,40-,41-,42-,43-,47-,50-,53-

5pF_50v_OPEN

+V5S

C5782
1

C5781
1

5pF_50v_OPEN

C602 1

D5066

1
1OE
2
1A
3
2Y
4
GND

8
Vcc
7
2OE
6
1Y
5
2A

R604

BAV99_OPEN

5-,10-,12-,13-,14-,15-,19-,20-,25-,26-,30-,31-,32-,33-,34-,35-,36-,37-,38-,40-,41-,46-,47-,50-,51-,52-,54-

C2

+V3S

R5049
2.2K_5%_OPEN

CRT_DDCDATA

30-

C17
4.7uF_6.3v

CN20

BAV99_OPEN
CLOSE TO VGA CNTR

1
2
3
4
5
6
7
8
9
10
11
12
13
14
15

BAV99_OPEN

53-

5-,12-,13-,19-,30-,31-,32-,34-,36-,38-,39-,40-,41-,42-,43-,47-,50-,53-

CRT_BUF_HSYNC

1
R606
4.7K_5%

53-

47_5%

R601
4.7K_5%
2

2
CRT_BUF_VSYNC

CHENMKO_CHPZ6V2_3P_OPEN

R5051
2.2K_5%

1
2
3
4
5
6
7
8
9
10
11
12
13
14
15

G G1
G2
G

SYN_070915FR015S216ZR_15P
Q69
S

R607

53-

CRT_Q_DDCDATA

24.9_1%

R600

53-

CRT_Q_DDCCLK

30-

24.9_1%

SSM3K17FU

CRT_DDCCLK

D39

47_5%
1 R602 2

C1

R5050
2.2K_5%_OPEN
1

+V5S

47_5%
1 R603 2

47_5%
1 R605 2

PHP_74LVC2G126DP_TSSOP_8P

2
U45

C600
0.1uF_16v

0.22uF_16v 2

3030-

CRT_HSYNC
CRT_VSYNC

D5063

D5065

0_5%

C603 1
0.1uF_16v 2

5pF_50v_OPEN

1 R6081 2

5-,12-,13-,19-,30-,31-,32-,34-,36-,38-,39-,40-,41-,42-,43-,47-,50-,53-

C5780

VGA_R_B

1 0_5% 2

VGA_R_G

53-

VGA_R_R

Q70

C2

VGA CNTR

C1

SSM3K17FU

D42
CHENMKO_CHPZ6V2_3P_OPEN

+V3A
+V5A
7-,10-,12-,13-,18-,34-,35-,36-,44-,45-,48-,49-,50-,51-,53-,54-

5-,10-,12-,13-,14-,15-,19-,20-,25-,26-,30-,31-,32-,33-,34-,35-,36-,37-,38-,40-,41-,46-,47-,50-,51-,52-,54-

7-,8-,9-,10-,11-,12-,13-,31-,36-,48-,52-

+V3S
1 R5048

Place closed to connector

LCM_3S_VDDEN

2
(20/5)
SSM3K7002F
Q42 3
D
1G
30S

1
2

C5038

(20/5)

1 R5767 2

47K_5%
1

FDC638P
G

C5039

2 0.01uF_16v

Q47

1 R613

6
5
2
1

C103

2 4.7uF_6.3v

1
2

4.7K_5%_OPEN

+VBATR

0.1uF_16v

C610
CN35
0.1uF_25v

1G
SSM3K7002F

2
(20/5)

+V5A
7-,8-,9-,10-,11-,12-,13-,31-,36-,48-,52-

LID_SW#_3
LCM_3S_BKLTEN

30-

2
4

2 4.7K_5%_OPEN

5-,7-,8-,9-,10-,12-,19-,30-,38-

R5905
100_5%

Q43 3
D

C5693

0.1uF_16v
C5042

100pF_50v

34-,38-,52-

1 R614

2 1

47K_5%

U21-A
7
TC7W08FU

R46

LVDS_RXE0LVDS_RXE1LVDS_RXE2LVDS_RXE3LVDS_CLKE-

3030303030-

LVDS_RXO0LVDS_RXO1LVDS_RXO2LVDS_RXO3LVDS_CLKO-

3030303030-

1K_5%

INV_PWM_3

301

R6139
0_5%

1
40
3
5
7
9
11
13
15
17
19
21
23
25
27
29
31
33
35
37
39

1
3
5
7
9
11
13
15
17
19
21
23
25
27
29
31
33
35
37
39

G1 G

2
4
6
8
10
12
14
16
18
20
22
24
26
28
30
32
34
36
38
40
G

2
4
6
8
10
12
14
16
18
20
22
24
26
28
30
32
34
36
38
40
G2

30303030303030-

LCM_DDCPCLK
LCM_DDCPDATA

3030303030-

LVDS_RXO0+
LVDS_RXO1+
LVDS_RXO2+
LVDS_RXO3+
LVDS_CLKO+

341

LVDS_RXE0+
LVDS_RXE1+
LVDS_RXE2+
LVDS_RXE3+
LVDS_CLKE+

ALS_EN

R6140
0_5%

IPEX_20381_040E_00F_40P

INVENTEC

LCM CNTR

TITLE

Absolut
VGA & LCM CNTR

SIZE CODE

A3
CHANGE by

Smit Wu

3-Dec-2007

CS
SHEET

DOC. NUMBER

REV

Model_No
31

OF

AX1
60

+V5S

+V3S

5-,12-,13-,19-,30-,31-,34-,36-,38-,39-,40-,41-,42-,43-,47-,50-,535-,10-,12-,13-,14-,15-,19-,20-,25-,26-,30-,31-,32-,33-,34-,35-,36-,37-,38-,40-,41-,46-,47-,50-,51-,52-,54-

R27

3 D Q14

2 AO3409

IADJ

0.1uF_16v

U5083

HDMI_HPD
DDC_EN

EQ_S0
EQ_S1

3232-

HDMI_TXCHDMI_TXC+

3030-

HDMI_TX0HDMI_TX0+

3030-

HDMI_TX1HDMI_TX1+

3030-

HDMI_TX2HDMI_TX2+

3030-

1
2
3
4
5
6
7
8
9
10
11
12
13
14
15
16
17

1 R6102 2 0_5%

30-,3232-

EQ_S0
EQ_S1
GND
IN_CLKIN_CLK+
VCC3
IN_D0IN_D0+

42
41
40
39

12-

SLP_S3_3R

32323030-

32-

IADJ
SCL_R
SDA_R
SDA_T

PER_PI3HDMI101_TQFN_42P

2
0.1uF_16v

C5797

GND
IN_D1IN_D1+
VCC3
IN_D2IN_D2+
GND
Rx_Sense
DCC_EN

5-,10-,12-,13-,14-,15-,19-,20-,25-,26-,30-,31-,32-,33-,34-,35-,36-,37-,38-,40-,41-,46-,47-,50-,51-,52-,54-

HDMI_CLK_R
HDMI_DATA_R
HDMI_DATA
HDMI_CLK

0_5%_OPEN

1
2

SCL_T
VCC3
GND
OUT_CLKOUT_CLK+
VCC3
OUT_D0OUT_D0+
GND
OUT_D1OUT_D1+
VCC3
OUT_D2OUT_D2+
GND
VCC3
OC_S3
GND

38
37
36
35
34
33
32
31
30
29
28
27
26
25
24
23
22

C5696
1

0.1uF_16v

R739
10K_5%

2
3232-

HDMI_TXC-_R
HDMI_TXC+_R

3232-

HDMI_TX0-_R
HDMI_TX0+_R

3232-

HDMI_TX1-_R
HDMI_TX1+_R

3232-

HDMI_TX2-_R
HDMI_TX2+_R
32-

OC_S3

1 R738

10K_5%
2

CN23
HDMI_TX2+_R

32-

HDMI_TX2-_R
HDMI_TX1+_R

3232-

HDMI_TX1-_R
HDMI_TX0+_R

3232-

HDMI_TX0-_R
HDMI_TXC+_R

3232-

HDMI_TXC-_R

32-

HDMI_CLK_R
HDMI_DATA_R

3232-

1
2
3
4
5
6
7
8
9
10
11
12
13
14
15
16
17
18
19

43

18
19
20
21

+V3S

OE#
DC_S0
DC_S1
DC_S2

C5798
1

R740
1 R6100

HDMI_HPD

10K_5%

30-,321

1K_5%

1
2
3
4
5
6
7
8
9
10
11
12
13
14
15
16
17
18
19

GND
GND
GND
GND

G1
G2
G3
G4

ALLTOP_C12818_119A3_L_19P

DDC_EN
HDMI_HPD

3230-,32-

1 R6101 2

0_5%

OC_S0
OC_S1
OC_S2

Q5145 3
D
1G

323232-

R737
10K_5%

HDMI CNTR

SSM3K7002F 2

+V3S
5-,10-,12-,13-,14-,15-,19-,20-,25-,26-,30-,31-,32-,33-,34-,35-,36-,37-,38-,40-,41-,46-,47-,50-,51-,52-,54-

R6156

R6157

10K_5%_OPEN

R6158

10K_5%_OPEN

10K_5%_OPEN

R6159

10K_5%_OPEN

R6160

10K_5%_OPEN

R6161

10K_5%_OPEN

R6162

10K_5%_OPEN

R6099

10K_5%

32-

EQ_S0

R6098

10K_5%

32-

EQ_S1

10K_5%

32-

OC_S0

32-

OC_S1
OC_S2

R6093

R6094

10K_5%

R6095

10K_5%

32-

R6096

10K_5%

32-

OC_S3

R6097

10K_5%

32-

IADJ

INVENTEC
TITLE

Absolut
HDMI CNTR

SIZE CODE

A3
CHANGE by

Smit Wu

3-Dec-2007

CS
SHEET

DOC. NUMBER

REV

Model_No
32

OF

AX1
60

+V_RTC

+V3AL

36-,38-

5-,6-,7-,11-,38-,39-,47-,52-,54-

C5758

1
2

1uF_10v

R84

C5165
15pF_50V

C51621
1uF_6.3v _X5R_0402 2

1 2

1K_5%
2

20K_5%
1 R5102
1M_5%

CN5
1 1
2 2

C5166
15pF_50V

C5759

LOTES_KB7410AP2L_2P

1 2

1uF_10v

U31-1
C23
RXTC1
C24
RXTC2

ACES_87212_0200N_2P_OPEN
2

332K_1%

1
2

GLAN_CLK

44-

E25

LAN_RSTSYNC

44-

C13

C5160
33pF_50v

+V1.5S_PCIE_ICH
34-,36-

HDA_3S_RST#

414141-

1
1

R5502

51-

HDA_3S_RST#_MDC
HDA_3S_BITCLK
HDA_3S_SYNC

R5503
R5501

5151-

HDA_3S_BITCLK_MDC
HDA_3S_SYNC_MDC

R5106 2

R5107

R5108

5-,10-,12-,13-,14-,15-,19-,20-,25-,26-,30-,31-,32-,33-,34-,35-,36-,37-,38-,40-,41-,46-,47-,50-,51-,52-,54-

2
2

1
1

33_1%
33_5%

F14
LAN_RXD0
G13
LAN_RXD1
D14
LAN_RXD2

LAN_TXD0
LAN_TXD1
LAN_TXD2

444444-

D13
LAN_TXD0
D12
LAN_TXD1
E13
LAN_TXD2

48-

R79

B10

0_5%_OPEN

33_5%

LPC

A20GATE
A20M#

GLAN_CLK

444444-

R5110
24.9_1% BT_COMBO#

LDRQ0#
LDRQ1#_GPIO23

LAN_RSTSYNC

LAN_RXD0
LAN_RXD1
LAN_RXD2

33_1%
33_5%

DPRSTP#
DPSLP#
FERR#
CPUPWRGD
IGNNE#

GLAN_DOCK#_GPIO56

B28
GLAN_COMPI
B27
GLAN_COMPO

NMI
SMI#

AE7
4151-

HDA_3S_SDIN0
HDA_3S_SDIN1

HDA_3S_SDOUT_MDC

51-

R5534

33_5%

HDA_3S_SDOUT

41-

R5109

33_5%

10K_5%

STPCLK#
HDA_RST#

AF4
HDA_SDIN0
AG4
HDA_SDIN1
AH3
HDA_SDIN2
AE5
HDA_SDIN3
AG5

GPIO33

47TP1054

THRMTRIP#
TP8

HDA_SDOUT

AG7
HDA_DOCK_EN#_GPIO33
AE8
HDA_DOCK_RST#_GPIO34

LED_3S_SATA#

53-,54-

SATA_C_RXN0
SATA_C_RXP0
SATA_C_TXN0
SATA_C_TXP0
SATA_C_RXN1
SATA_C_RXP1
SATA_C_TXN1
SATA_C_TXP1

AG8
4747474747474747-

C5163

0.01uF_16v
C5167

1 2

SATA_TXN0
SATA_TXP0

0.01uF_16v

1 2

CLOSE TO ICH9
C5164

0.01uF_16v
C5168

1 2
CLOSE TO ICH9

INIT#
INTR
RCIN#

AF6
HDA_BIT_CLK
AH4
HDA_SYNC

33_5%

+V3S
1 R5099

FWH4_LFRAME#

B22
INTVRMEN
A22
LAN100_SLP

CPU

R5105

FWH0_LAD0
FWH1_LAD1
FWH2_LAD2
FWH3_LAD3

LAN / GLAN

RTC

A25
RTCRST#
F20
SRTCRST#
C22
INTRUDER#

IHDA

G1 G
G2 G

3
X7
32.768KHZ_VAIL

1 2

SATA_TXN1
0.01uF_16v

SATA_TXP1

SATALED#

AJ16
SATA0RXN
AH16
SATA0RXP
AF17
SATA0TXN
AG17
SATA0TXP
AH13
SATA1RXN
AJ13
SATA1RXP
AG14
SATA1TXN
AF14
SATA1TXP

SATA

CN16
- +

1 R5111

10M_5%

R85

RTCBAT

1 R5101

SATA4RXN
SATA4RXP
SATA4TXN
SATA4TXP
SATA5RXN
SATA5RXP
SATA5TXN
SATA5TXP
SATA_CLKN
SATA_CLKP
SATARBIAS#
SATARBIAS

K5
K4
L6
K2
K3
J3
J1
N7
AJ27
AJ25
AE23

38-,40-,5138-,40-,5138-,40-,5138-,40-,51-

LPC_3S_AD(0)
LPC_3S_AD(1)
LPC_3S_AD(2)
LPC_3S_AD(3)

38-,40-,51-

LPC_3S_FRAME#

40-

3815-

+VCCP

EC_3S_A20GATE
H_A20M#

1 R5118

56_5%
1

16-

8-,10-,15-,16-,17-,18-,20-,22-,25-,33-,36-

Close to ICH9

LPC_3S_DRQ0#

TP1053

10-,16-,20-

H_DPRSTP#

R5112 0_5%

H_DPSLP#

15-

AJ26
16-

H_PWRGD

AF25

15-

H_IGNNE#

AE22
AG25
L3

1515-

H_INIT#
H_INTR

AF23
AF24

1515-

H_NMI
H_SMI#

AH27

15-

H_STPCLK#

AD22

20K_5%

D5046
BAT54C

+V3S
1 R5114 2
8-,10-,15-,16-,17-,18-,20-,22-,25-,33-,36-

10K_5%
38-

+VCCP

PM_3S_KBCCPURST#

1 R5119

56_5%
1

AG26

R92

2
2

15-,19-,20-,30-

PM_THRMTRIP#

54.9_1%

AG27
AH11
AJ11
AG12 ESATA_TXN
AF12 ESATA_TXP C413

C5609
0.01uF_16v

0.01uF_16v

2 1

2 1
AH9
AJ9
AE10
AF10

H_FERR#

5-,10-,12-,13-,14-,15-,19-,20-,25-,26-,30-,31-,32-,33-,34-,35-,36-,37-,38-,40-,41-,46-,47-,50-,51-,52-,54-

R5113
56_5%

SATA_TXN5
SATA_TXP5 C5169

CLOSE TO ICH9
C5170

0.01uF_16v

0.01uF_16v

2 1

2 1

52525252-

ESATA_C_RXN
ESATA_C_RXP
ESATA_C_TXN
ESATA_C_TXP

53535353-

SATA_C_RXN5
SATA_C_RXP5
SATA_C_TXN5
SATA_C_TXP5

CLOSE TO ICH9

AH18
AJ18

1414-

CLK_R_SATA1#
CLK_R_SATA1

AJ7
AH7

R5116 1
24.9_1%

ITL_ICH9M_FCBGA_676P

INVENTEC
TITLE

Absolut
ICH9_1

SIZE CODE

A3
CHANGE by

Smit Wu

3-Dec-2007

CS
SHEET

DOC. NUMBER

REV

Model_No
33

OF

AX1
60

U31-4

L29
PERN2
L28
PERP2
0.1uF_16v PCIE_TXN2 M27
PETN2
PCIE_TXP2 M26
2
PETP2

Y27
DMI1RXN
Y26
DMI1RXP
W29
DMI1TXN
W28
DMI1TXP

20202020-

DMI_RXN(1)
DMI_RXP(1)
DMI_TXN(1)
DMI_TXP(1)

PCIE_C_RXN3_EXP
PCIE_C_RXP3_EXP
PCIE_C_TXN3_EXP
PCIE_C_TXP3_EXP

50505050- C5174

5-,12-,13-,19-,30-,31-,32-,34-,36-,38-,39-,40-,41-,42-,43-,47-,50-,53-

+V5S
Q5111
S
1

AB27
DMI2RXN
AB26
DMI2RXP
AA29
DMI2TXN
AA28
DMI2TXP

20202020-

DMI_RXN(2)
DMI_RXP(2)
DMI_TXN(2)
DMI_TXP(2)

SSM3K7002F

AD27
DMI3RXN
AD26
DMI3RXP
AC29
DMI3TXN
AC28
DMI3TXP

20202020-

DMI_RXN(3)
DMI_RXP(3)
DMI_TXN(3)
DMI_TXP(3)

G29
PERN4
G28
PERP4
H27
PETN4
H26
PETP4

Q5120
D

R477
2.2K_5%

R447
2.2K_5%

ICH_3M_SMCLK

SPI_CS1#_1091

38-

14-,27-,28-

SSM3K7002F

Q5112

R6062
10K_5%_OPEN

D
1

SSM3K7002F

C5182

0.1uF_16v

C5181
0.1uF_16v

SPI_CLK_1091
SPI_CS0#_1091

15_5% 1
15_5% 1
15_5% 1

2 R5960
2 R5958
2 R5980

SPI_SI_1091
SPI_SO_1091
+V3A

3838-

15_5% 1

2 R5962

10K_5%
2

+V3A

34-,45-,53- R5132 1
R5796 1
3434-,38-,44-R5136 1
34R5139 1
34-,49- R5784 1
34R5141 1
34R5142 1
34-,49-,50-R5143 1

10K_5%
10K_5%
10K_5%

2
2
2
2
2
2
2
2

R5144
5-,3434-,38-,40-,46-,51-R5145
34-,38-,40-,46-,51-R5146
R5148
34-

1
1
1
1

2
2
2
2

3434-

ICH_3A_ALERT_CLK
ICH_3A_ALERT_DAT

3434-

SUS_STAT#
XDP_DBRESET#

10K_5%
8.2K_5%
8.2K_5%
8.2K_5%

PM_SYNC#

34-,41343414-,3434-,3534-,38-

R5123
R5124
R5125
R5788
R5793
R5990

1
1
1
1
1
1

2
2
2
2
2
2

OPEN
OPEN
10K_5%
10K_5%
10K_5%
10K_5%

F19
TP1017
R4
G19

5115-,1820-

M6

GPIO11

34-

A17

1414-

A14
E19

PCIE_WAKE#
PCI_3S_SERIRQ
THERM_SCI#
VR_PWRGD

34-

G16
A13
E17
C17
B18

PCISTOP#_3
CPUSTOP#_3
PCI_3S_CLKRUN#

5-,10-,12-,13-,14-,15-,19-,20-,25-,26-,30-,31-,32-,33-,34-,35-,36-,37-,38-,40-,41-,46-,47-,50-,51-,52-,54-

AG2
USBRBIAS
AG1
USBRBIAS#

R5155

10-

R5156

34-,38-

R6072
10K_5%_OPEN

2
12-,13-,14-,20-,27-,28-,34-

0_5%

34-,38-,40-,46-,51-

L4

34-,49-,5034-,38-,40-,46-,5119-,35-

E20
M5
AJ23

D21

TP1010

+V3A

1 R5120

R5152
3.24K_1%

34-,45-,5334-,38-,4431-,38-,523434-

R6044 1

R6141

2 0_5%

2 0_5%_OPEN

TP1046

CL_VREF1
1 R5121

453_1%

R6087 1

341 R5153

C5172 1
0.1uF_16v 2

453_1%
2

TP1049
TP1050

34-,3514-,34343434-

TP1012

34-

VGA_RST#
CLKREQ_R_SATA#
GPIO38
GPIO39
GPIO48

3.24K_1%

1
C5171
0.1uF_16v 2

5-,3435-,38-

7-,10-,12-,13-,18-,31-,34-,35-,36-,44-,45-,48-,49-,50-,51-,53-,54-

+V3M

CL_VREF0

ISO_PREP#
LAN_PHYPC
LID_SW#_3
ALS_EN#
HDD_HALTED

USB_P0USB_P0+
USB_P1USB_P1+
USB_P2USB_P2+
USB_P3USB_P3+
USB_P4USB_P4+
USB_P5USB_P5+
USB_P6USB_P6+

4848535348485353-

USB_P8USB_P8+
USB_P9USB_P9+
USB_P10USB_P10+
USB_P11USB_P11+

TP1059
TP1060

+V5S
1 R612

470_5%
2
31-

ALS_EN

3 D Q5104 ALS_EN#
34G 1
S

2 SSM3K7002F

5-,10-,12-,13-,14-,15-,19-,20-,25-,26-,30-,31-,32-,33-,34-,35-,36-,37-,38-,40-,41-,46-,47-,50-,51-,52-,54-

+V3S
1

R5176
8.2K_5%
34-

HDD_HALTED

54-

HDD_HALTED#

Q5135 3
2
D
1G
S

SSM3K7002F 2

+V3S

A20

SMBLCK
SATASATA0GP_GPIO21
SMBDATA
GPIO SATA1GP_GPIO19
SATA4GP_GPIO36
LINKALERT#_GPIO60_CLGPIO4
SMLINK0
SATA5GP_GPIO37
SMB
SMLINK1
CLK14
CLK48
RI#
SUS_STAT#_LPCPD#
SYS_RESET#

10K_5%

A_3S_ICHSPKR
MCH_ICH_SYNC#

34-,4120TP1013
TP1014
TP1015
TP1016

PMSYNC#_GPIO0
SMBALERT#_GPIO11

S4_STATE#_GPIO26
STP_PCI#_GPIO15
STP_CPU#_GPIO25

PWROK

CLKRUN#_GPIO32
WAKE#
SERIRQ
THRM#
VRMPWRGD

DPRSLPVR_GPIO16
BATLOW#

TP12

AG19
GPIO1
AH21
GPIO6
AG21
GPIO7
A21
GPIO8
C12
LAN_PHY_PWR_CTRL_GPIO12
C21
BLON
ENERGY_DETECT_GPIO13
AE18
GPIO17
K1
GPIO18
AF8
GPIO
GPIO20
AJ22
SCLOCK_GPIO22
A9
GPIO27
D19
GPIO28
L1
SATACLKREQ#_GPIO35
AE19
SLOAD_GPIO38
AG22
SDATAOUT0_GPIO39
AF21
SDATAOUT1_GPIO48
AH24
GPIO49
A8
GPIO57_CLGPIO5
M7
AJ24
B21
AH20
AJ20
AJ21

SUSCLK

SPKR
MCH_SYNC#
TP3
TP9
TP10
TP11

AH23
AF19
AE21
AD20

PWRBTN#
LAN_RST#
RSMRST#
CK_PWRGD
CLPWROK
SLP_M#

R5166

8.2K_5%

R6142 0_5% 1

3438-,40-

HDD_HALTED
NPCI_RESET#
7-,10-,12-,13-,18-,31-,34-,35-,36-,44-,45-,48-,49-,50-,51-,53-,54-

8.2K_5%

R6178

+V3A

1414-

H1
AF3

CLK_R3S_ICH14
CLK_R3S_ICH48

P1

TP1019

R5167
R5168
R5169

C16
SLP_S3#
E16
SLP_S4#
G17
SLP_S5#

MISC

LANLINK_STATUS

5-,12-,13-,19-,30-,31-,32-,34-,36-,38-,39-,40-,41-,42-,43-,47-,50-,53-

DMI_IRCOMP_R

4848525250505252525252524848-

ITL_ICH9M_FCBGA_676P

100K_5%_OPEN

OCP_OC#
RUNSCI0#_3

AC5
AC4
AD3
AD2
AC1
AC2
AA5
AA4
AB2
AB3
AA1
AA2
W5
W4
Y3
Y2
W1
W2
V2
V3
U5
U4
U1
U2

U31-3
ICH_3A_SMCLK
ICH_3A_SMDATA

+V3S
A_3S_ICHSPKR
GPIO48
GPIO39
CLKREQ_R_SATA#
VGA_RST#
LANLINK_STATUS

CLK_R_PCIE_ICH#
CLK_R_PCIE_ICH

5-,10-,12-,13-,14-,15-,19-,20-,25-,26-,30-,31-,32-,33-,34-,35-,36-,37-,38-,40-,41-,46-,47-,50-,51-,52-,54-

PM_RI#

OCP_OC#
PCI_3S_CLKRUN#
PCI_3S_SERIRQ
GPIO38

SPI

OC0#_GPIO59
OC1#_GPIO40
OC2#_GPIO41
OC3#_GPIO42
OC4#_GPIO43
OC5#_GPIO29
OC6#_GPIO30
OC7#_GPIO31
OC8#_GPIO44
OC9#_GPIO45
OC10#_GPIO46
OC11#_GPIO47

USB_RBIAS_PN

+V3S

D25
SPI_MOSI
E23
SPI_MISO

10K_5%

5-,10-,12-,13-,14-,15-,19-,20-,25-,26-,30-,31-,32-,33-,34-,35-,36-,37-,38-,40-,41-,46-,47-,50-,51-,52-,54-

22.6_1%

R6043

10K_5%
10K_5%
10K_5%
10K_5%
1K_5%

R76

+V3A
7-,10-,12-,13-,18-,31-,34-,35-,36-,44-,45-,48-,49-,50-,51-,53-,54-

ISO_PREP#
GPIO11
LAN_PHYPC
PM_RI#
CL_RST#1
ICH_3A_ALERT_CLK
ICH_3A_ALERT_DAT
PCIE_WAKE#

48494848-

BT_OFF
XMIT_OFF#
Camera_OFF#
FPR_OFF

USBP0N
USBP0P
USBP1N
USBP1P
USBP2N
USBP2P
USBP3N
USBP3P
USBP4N
USBP4P
USBP5N
USBP5P
USBP6N
USBP6P
USBP7N
USBP7P
USBP8N
USBP8P
USBP9N
USBP9P
USBP10N
USBP10P
USBP11N
USBP11P

D23
SPI_CLK
D24
SPI_CS0#
F23
SPI_CS1#_GPIO58_CLGPIO6

N4
N5
N6
P6
M1
N2
TP1051M4
M3
N3
N1
P5
P3

14-,27-,28-

7-,10-,12-,13-,18-,31-,34-,35-,36-,44-,45-,48-,49-,50-,51-,53-,54-

SPI_CS0#
SPI_CS1#

SPI_MOSI

CLOSE TO ICH9

7-,10-,12-,13-,18-,31-,34-,35-,36-,44-,45-,48-,49-,50-,51-,53-,54-

R5151

SPI_CLK

1414-

R5177
24.9_1%

AF29
DMI_ZCOMP
AF28
DMI_IRCOMP

C29
PERN6_GLAN_RXN
C28
PERP6_CLAN_RXP
0.1uF_16v PCIE_TXN6 D27
PETN6_GLAN_TXN
PCIE_TXP6 D26
2
PETP6_GLAN_TXP

3838-

ICH_3M_SMDATA

Q5038

Close to ICH9
1

1
1
1

0_5%

2
2
2

R5580

5-,7-,8-,9-,12-,13-,38-,43-,50-,53-PCSPKC#_3
SLP_S3#_3R
9-,11SLP_S4#_3R
53SLP_S5#_3R

0_5%
0_5%

C10

10K_5%_OPEN
48-,52-

10-,20-,38-

G20

10-,20-

M2
OPEN

S4_STATE#

PM_PWROK
PM_DPRSLPVR

R5174

B13
R5171

Signal has integrated pull-up of 18K ohm-42K ohm .

OPEN

54-

R3
D20
D22

1 R6083 2

LAN_RST#

7-,38-

R5

1 R5163 2

R6

1 R5164 2

7-,10-,12-,13-,18-,31-,34-,35-,36-,44-,45-,48-,49-,50-,51-,53-,54-

+V3A

RSMRST#

0_5%

10-,14-

CLK_PWRGD

13-,20-

M_PWROK

0_5%

B16

PWR_SWIN2#_3

38-

0_5%

1 R5175 2

8.2K_5%

8-,11-,12-,38-

PM_SLP_M#

F24
CL_CLK0
B19
CL_CLK1

2049-

CL_CLK0
CL_CLK1

F22
CL_DATA0
C19
CL_DATA1

2049-

CL_DATA0
CL_DATA1

C25
CL_VREF0
A19
CL_VREF1

3434-

CL_VREF0
CL_VREF1

F21
CL_RST0#
D18
CL_RST1#

2034-,49-

ISOLATION
D5053

38-

LOW_BAT#_3

CHENMKO_BAT54_3P
7-,10-,12-,13-,18-,31-,34-,35-,36-,44-,45-,48-,49-,50-,51-,53-,54-

A16
MEM_LED_GPIO24
C18
GPIO10_SUS_PWR_ACK
C11
GPIO14_AC_PRESENT
C20
WOL_EN_GPIO9

CL_RST#0
CL_RST#1

TP1048

+V3A
1 R772

10K_5%
2

3812-,38-

AC_PRESENT
LAN_WOL_EN

0_5%
R3071

+V3M

12-,13-,14-,20-,27-,28-,34-

R6061
10K_5%_OPEN

C5177

T26
DMI_CLKN
T25
DMI_CLKP

45-,53-

0_5%

33-,36-

12-,13-,14-,20-,27-,28-,34-

44444444- C5176

PCIE_C_RXN6
PCIE_C_RXP6
PCIE_C_TXN6
PCIE_C_TXP6

E29
PERN5
E28
PERP5
PCIE_TXN5 F27
PETN5
0.1uF_16v PCIE_TXP5 F26
PETP5

2
2

SSM3K7002F

19-,30-,47-

+V3M

53535353-

PCIE_C_RXN5
12-,36-,44-,45PCIE_C_RXP5
+V3M_WOL PCIE_C_TXN5
PCIE_C_TXP5

S
2

ICH_3S_SMDATA

34-,45-,53-

+V1.5S_PCIE_ICH

33_5%

33_5%
2
2

J29
PERN3
J28
PERP3
K27
PETN3
PCIE_TXP3 K26
PETP3

0.15uF_10vPCIE_TXN3

C5179
0.15uF_10v
1

PREP#

1 R9055 2

ISO_PREP#

19-,30-,47-

C5178
0.1uF_16v 1

Clocks

Direct Media Interface

49494949- C5173

Power MGT

R261 1
R262 1

PCIE_C_RXN2
PCIE_C_RXP2
PCIE_C_TXN2
PCIE_C_TXP2

Controller Link

R329
2.2K_5%

3434-

DMI_RXN(0)
DMI_RXP(0)
DMI_TXN(0)
DMI_TXP(0)

PCI-Express

R330
2.2K_5%

R331
10K_5%

ICH_3S_SMCLK

ICH_3A_SMCLK
ICH_3A_SMDATA

20202020-

R260
10K_5%

V27
DMI0RXN
V26
DMI0RXP
U29
DMI0TXN
U28
DMI0TXP

+V3A

USB

N29
PERN1
N28
PERP1
P27
PETN1
P26
PETP1

7-,10-,12-,13-,18-,31-,34-,35-,36-,44-,45-,48-,49-,50-,51-,53-,54-

+V3S

SYS GPIO

5-,10-,12-,13-,14-,15-,19-,20-,25-,26-,30-,31-,32-,33-,34-,35-,36-,37-,38-,40-,41-,46-,47-,50-,51-,52-,54-

ME_SMC_ALERT
38-

INVENTEC
TITLE

Absolut
ICH9_2

SIZE CODE

A3

ITL_ICH9M_FCBGA_676P
CHANGE by

Smit Wu

3-Dec-2007

CS
SHEET

DOC. NUMBER

REV

Model_No
34

OF

AX1
60

+V3S

PCI_3S_AD(31:0)
U31-2

46D11
C8
D9
E12
E9
C9
E10
B7
C7
C5
G11
F8
F11
E7
A3
D2
F10
D5
D10
B3
F7
C3
F3
F4
C1
G7
H7
D1
G5
H6
G1
H3

PCI_3S_INTA#
PCI_3S_INTB#
PCI_3S_INTC#
PCI_3S_INTD#

35-,4635-,463535-

J5
E1
J6
C4

5-,10-,12-,13-,14-,15-,19-,20-,25-,26-,30-,31-,32-,33-,34-,35-,36-,37-,38-,40-,41-,46-,47-,50-,51-,52-,54-

AD0
AD1
AD2
AD3
AD4
AD5
AD6
AD7
AD8
AD9
AD10
AD11
AD12
AD13
AD14
AD15
AD16
AD17
AD18
AD19
AD20
AD21
AD22
AD23
AD24
AD25
AD26
AD27
AD28
AD29
AD30
AD31

REQ0#
GNT0#
REQ1#_GPIO50
GNT1#_GPIO51
REQ2#_GPIO52
GNT2#_GPIO53
REQ3#_GPIO54
GNT3#_GPIO55
C_BE0#
C_BE1#
C_BE2#
C_BE3#

PCI

IRDY#
PAR
PCIRST#
DEVSEL#
PERR#
PLOCK#
SERR#
STOP#
TRDY#
FRAME#
PLTRST#
PCICLK
PME#

F1
G4
B6
A7
F13
F12
E6
F6

35-

PCI_3S_REQ#(0)

355135-,464635-

PCI_3S_REQ#(1)
MDC_DIS#
PCI_3S_REQ#(2)
PCI_3S_GNT#(2)
PCI_3S_REQ#(3)

R6060
10K_5%_OPEN

1 R5204

1K_5%
2

1 R5785 2

10K_5%_OPEN

D8
B4
D6
A5

46464646-

D3
E3
R1
C6
E4
C2
J4
A4
F5
D7

35-,46464635-,4635-,463535-,38-,4635-,4635-,4635-,46-

PCI_3S_IRDY#
PCI_3S_PAR
PCI_3S_RST#
PCI_3S_DEVSEL#
PCI_3S_PERR#
PCI_3S_LOCK#
PCI_3S_SERR#
PCI_3S_STOP#
PCI_3S_TRDY#
PCI_3S_FRAME#

1446-

CLK_R3S_ICHPCI
PCI_3S_PME#

PCI_3S_CBE#(0)
PCI_3S_CBE#(1)
PCI_3S_CBE#(2)
PCI_3S_CBE#(3)

20-,50-

C14
D4
R2

PLT_RST#

+V3A

Interrupt I/F
PIRQA#
PIRQB#
PIRQC#
PIRQD#

PIRQE#_GPIO2
PIRQF#_GPIO3
PIRQG#_GPIO4
PIRQH#_GPIO5

H4
K6
F2
G2

ITL_ICH9M_FCBGA_676P

1
1

R5201

2 0_5%

35353535-,47-

2 0_5%

47-

PCI_3S_INTE#
PCI_3S_INTF#
PCI_3S_INTG#
ACCEL_INT#

5
2

Boot BIOS from SPI


GNT0# = 0
SPI_CS1# = 1

7-,10-,12-,13-,18-,31-,34-,35-,36-,44-,45-,48-,49-,50-,51-,53-,54-

U29
4

38-,40-,49-,51-

BUF_PLT_RST#

3 PHP_74LVC1G17_SOT753_5P

ODD_DET#

1 R5203

R6143

100K_5%

7-,10-,12-,13-,18-,31-,34-,35-,36-,44-,45-,48-,49-,50-,51-,53-,54-

+V3A
2

1
VGA_RST#

5-,10-,12-,13-,14-,15-,19-,20-,25-,26-,30-,31-,32-,33-,34-,35-,36-,37-,38-,40-,41-,46-,47-,50-,51-,52-,54-

PCI_3S_FRAME#

35-,46-

R5178 1

34-

U28

4 2 R664 1 30330_5%
3 NC7SZ08M5

PCIE_RST#

+V3S

8.2K_5%

PCI_3S_IRDY#

35-,46-

R5179 1

8.2K_5%

PCI_3S_TRDY#

35-,46-

R5180 1

8.2K_5%

PCI_3S_STOP#

35-,46-

R5181 1

8.2K_5%

PCI_3S_SERR#

35-,38-,46-

R5182 1

8.2K_5%

PCI_3S_DEVSEL#

35-,46-

R5183 1

8.2K_5%

PCI_3S_PERR#

35-,46-

R5184 1

8.2K_5%

PCI_3S_LOCK#

35-

R5185 1

8.2K_5%

PCI_3S_REQ#(0)

35-

R5186 1

8.2K_5%

PCI_3S_REQ#(1)

35-

R5187 1

8.2K_5%

PCI_3S_REQ#(2)

35-,46-

R5188 1

8.2K_5%

PCI_3S_REQ#(3)

35-

R5189 1

8.2K_5%

PCI_3S_INTA#

35-,46-

R5190 1

8.2K_5%

PCI_3S_INTB#

35-,46-

R5191 1

8.2K_5%

PCI_3S_INTC#

35-

R5192 1

8.2K_5%

PCI_3S_INTD#

35-

R5193 1

8.2K_5%

PCI_3S_INTE#

35-

R5194 1

8.2K_5%

PCI_3S_INTF#

35-

R5195 1

8.2K_5%

PCI_3S_INTG#

35-

R5196 1

8.2K_5%

RUNSCI0#_3

34-,38-

R5197 1

8.2K_5%

THERM_SCI#

19-,34-

R5198 1

8.2K_5%

ACCEL_INT#

35-,47-

R5199 1

8.2K_5%

INVENTEC
TITLE

Absolut
ICH9_3

SIZE CODE

A3
CHANGE by

Smit Wu

3-Dec-2007

CS
SHEET

DOC. NUMBER

REV

Model_No
35

OF

AX1
60

U31-6

D5019
3

+V5A
7-,8-,9-,10-,11-,12-,13-,31-,48-,52-

R75

10_1%
C5188 1
0.1uF_16v 2

+V1.5S

+V1.5S_PCIE_ICH
33-,34-

L3051
1
2

9-,12-,17-,25-,30-,36-,49-,50-

KC_FBM_11_160808_101_T_2P

1
2

C109
220uF_2.5v

C5189

1
2

1
2

0.1uF_16v

C5191

C5195

1
2

0.1uF_16v

0.1uF_16v

+V1.5S
9-,12-,17-,25-,30-,36-,49-,50-

L3009

BLM11A121S

1
C5784
10uF_6.3v

1
C5196
1uF_6.3v

+V1.5S
9-,12-,17-,25-,30-,36-,49-,50-

AJ19

C5197

2 1uF_6.3v

+V1.5S
9-,12-,17-,25-,30-,36-,49-,50-

AC16
AD15
AD16
AE15
AF15
AG15
AH15
AJ15

C5592

1
2

1uF_10v

AC11
AD11
AE11
AF11
AG10
AG11
AH10
AJ10
AC9

+V1.5S

AC18
AC19

VCC1_5_A9
VCC1_5_A10
VCC1_5_A11
VCC1_5_A12
VCC1_5_A13
VCC1_5_A14
VCC1_5_A15
VCC1_5_A16

VCC3_3_1

VCC3_3_7

+V3M_WOL

9-,12-,17-,25-,30-,36-,49-,50-

+V1.5S
1

L17

C5199 1
0.1uF_16v 2

AC12
AC13
AC14

BLM11A121S

1
2

C105
10uF_6.3v

1
2

C106
2.2uF_6.3v

1
C5190
2
0.1uF_16v

G10
G9

+V1.5S

AJ5
9-,12-,17-,25-,30-,36-,49-,50-

C5194 1
0.1uF_16v 2

C5200
1 2
0.1UF_16V

AA7
AB6
AB7
AC6
AC7
A10
A11
A12
B12
A27

VCC1_5_A23
VCC1_5_A24
VCC1_5_A25

VCCLAN3_03_1
VCCLAN3_03_2

0.01uF_16v

1C108
2 10uF_6.3v
+VCCP

C5562

8-,10-,15-,16-,17-,18-,20-,22-,25-,33-,36-

22uF_6.3v
1

C5214

2 0.1uF_16v

C5217

1 C5783

2 0.1uF_16v

2 4.7uF_6.3v

R29

5-,10-,12-,13-,14-,15-,19-,20-,25-,26-,30-,31-,32-,33-,34-,35-,36-,37-,38-,40-,41-,46-,47-,50-,51-,52-,54-

+V3S

AG29

AJ6

AC10

C5201

B9
F9
G3
G6
J2
J7
K7

1
2

VCCCL1_5

C5219
0.1uF_16v

0.1uF_16v

C5204

0.1uF_16v

C5208

2 0.1uF_16v

C5211
1
0.1uF_16v

C5218
0.1uF_16v

+V3A

AJ3

C5222
2 0.1uF_16v

F18

C5206

7-,10-,12-,13-,18-,31-,34-,35-,36-,44-,45-,48-,49-,50-,51-,53-,54-

0.1uF_16v

+V3A

AF1
T1
T2
T3
T4
T5
T6
U6
U7
V6
V7
W6
W7
Y6
Y7
T7

C5207
0.022uF_16v

C5210
1
0.022uF_16v 2 C5215
0.1uF_16v

G22
G23

A24
VCCCL3_3_1
B24
VCCCL3_3_2

TP1023

1
2

C5203

12-,34-,36-,44-,45-

+V1.5S

+V3M_WOL

0.1uF_16v

9-,12-,17-,25-,30-,36-,49-,50-

1
2
D28
VCCGLAN1_5_1
D29
VCCGLAN1_5_2
E26
VCCGLAN1_5_3
E27
VCCGLAN1_5_4
VCCGLAN3_3

7-,10-,12-,13-,18-,31-,34-,35-,36-,44-,45-,48-,49-,50-,51-,53-,54-

AJ4

A18
VCCSUS3_3_1
D16
VCCSUS3_3_2
D17
VCCSUS3_3_3
E22
VCCSUS3_3_4

VCCSUS3_3_6
VCCSUS3_3_7
VCCSUS3_3_8
VCCSUS3_3_9
VCCSUS3_3_10
VCCSUS3_3_11
VCCSUS3_3_12
VCCSUS3_3_13
VCCSUS3_3_14
VCCSUS3_3_15
VCCSUS3_3_16
VCCSUS3_3_17
VCCSUS3_3_18
VCCSUS3_3_19
VCCSUS3_3_20

C5209

2 0.1uF_16v

TP1022

VCCCL1_05

VCCUSBPLL

VCCGLANPLL

AD8

VCCSUS3_3_5

VCC1_5_A21
VCC1_5_A22

VCCLAN1_05_1
VCCLAN1_05_2

8-,10-,15-,16-,17-,18-,20-,22-,25-,33-,36-

C5213

+VCCP

TP1020
TP1021

VCCSUS1_5_2

VCC1_5_A20

2 0.1uF_16v

9-,12-,17-,25-,30-,36-,49-,50-

AC8
VCCSUS1_05_1
F17
VCCSUS1_05_2
VCCSUS1_5_1

VCC1_5_A17

VCC1_5_A26
VCC1_5_A27
VCC1_5_A28
VCC1_5_A29
VCC1_5_A30

VCC3_3_8
VCC3_3_9
VCC3_3_10
VCC3_3_11
VCC3_3_12
VCC3_3_13
VCC3_3_14

VCCSUSHDA

VCC1_5_A18
VCC1_5_A19

0.1uF_16v

+V1.5S

AD19
VCC3_3_3
AF20
VCC3_3_4
AG24
VCC3_3_5
AC20
VCC3_3_6

VCCHDA

USB_CORE

AC21

C5212

AB23
V_CPU_IO_1
AC23
V_CPU_IO_2

VCCSATAPLL
VCC1_5_A1
VCC1_5_A2
VCC1_5_A3
VCC1_5_A4
VCC1_5_A5
VCC1_5_A6
VCC1_5_A7
VCC1_5_A8

W23
VCC_DMI_1
Y23
VCC_DMI_2

VCC3_3_2

9-,12-,17-,25-,30-,36-,49-,5012-,34-,36-,44-,45-

VCCDMIPLL

8-,10-,15-,16-,17-,18-,20-,22-,25-,33-,36-

C5205

2 1

CHENMKO_BAT54_3P
1
7-,10-,12-,13-,18-,31-,34-,35-,36-,44-,45-,48-,49-,50-,51-,53-,54-

C5670 1
1uF_10v 2

VCC1_5_B1
VCC1_5_B2
VCC1_5_B3
VCC1_5_B4
VCC1_5_B5
VCC1_5_B6
VCC1_5_B7
VCC1_5_B8
VCC1_5_B9
VCC1_5_B10
VCC1_5_B11
VCC1_5_B12
VCC1_5_B13
VCC1_5_B14
VCC1_5_B15
VCC1_5_B16
VCC1_5_B17
VCC1_5_B18
VCC1_5_B19
VCC1_5_B20
VCC1_5_B21
VCC1_5_B22
VCC1_5_B23
VCC1_5_B24
VCC1_5_B25
VCC1_5_B26
VCC1_5_B27
VCC1_5_B28
VCC1_5_B29
VCC1_5_B30
VCC1_5_B31
VCC1_5_B32
VCC1_5_B33
VCC1_5_B34
VCC1_5_B35
VCC1_5_B36
VCC1_5_B37
VCC1_5_B38
VCC1_5_B39
VCC1_5_B40
VCC1_5_B41
VCC1_5_B42
VCC1_5_B43
VCC1_5_B44
VCC1_5_B45
VCC1_5_B46
VCC1_5_B47
VCC1_5_B48
VCC1_5_B49

+VCCP

A15
B15
C15
D15
E15
F15
L11
L12
L14
L16
L17
L18
M11
M18
P11
P18
T11
T18
U11
U18
V11
V12
V14
V16
V17
V18

2 1

C5670 need close to U5006-6 A6

AA24
AA25
AB24
AB25
AC24
AC25
AD24
AD25
AE25
AE26
AE27
AE28
AE29
F25
G25
H24
H25
J24
J25
K24
K25
L23
L24
L25
M24
M25
N23
N24
N25
P24
P25
R24
R25
R26
R27
T24
T27
T28
T29
U24
U25
V24
V25
U23
W24
W25
K23
Y24
Y25

CORE

100_5%

5-,12-,13-,19-,30-,31-,32-,34-,38-,39-,40-,41-,42-,43-,47-,50-,53-

+V3A

D5042
3

R5206

VCCP
CORE

V5REF_SUS

PCI

CHENMKO_BAT54_3P
1

+V5S

AE1

VCC1_05_1
VCC1_05_2
VCC1_05_3
VCC1_05_4
VCC1_05_5
VCC1_05_6
VCC1_05_7
VCC1_05_8
VCC1_05_9
VCC1_05_10
VCC1_05_11
VCC1_05_12
VCC1_05_13
VCC1_05_14
VCC1_05_15
VCC1_05_16
VCC1_05_17
VCC1_05_18
VCC1_05_19
VCC1_05_20
VCC1_05_21
VCC1_05_22
VCC1_05_23
VCC1_05_24
VCC1_05_25
VCC1_05_26

VCCPSUS

C5184
0.1uF_16v

VCCPUSB

C5183
0.1uF_16v

VCCRTC
V5REF

GLAN POWER

A6

VCCA3GP

+V3S

ARX

A23
5-,10-,12-,13-,14-,15-,19-,20-,25-,26-,30-,31-,32-,33-,34-,35-,36-,37-,38-,40-,41-,46-,47-,50-,51-,52-,54-

33-,38-

ATX

+V_RTC

+V3S

C107
4.7uF_6.3v

INVENTEC

5-,10-,12-,13-,14-,15-,19-,20-,25-,26-,30-,31-,32-,33-,34-,35-,36-,37-,38-,40-,41-,46-,47-,50-,51-,52-,54-

A26

TITLE

ITL_ICH9M_FCBGA_676P

Absolut
ICH9_4

SIZE CODE

A3
CHANGE by

Smit Wu

3-Dec-2007

CS
SHEET

DOC. NUMBER

REV

Model_No
36

OF

AX1
60

U31-5

VSS_NCTF1
VSS_NCTF2
VSS_NCTF3
VSS_NCTF4
VSS_NCTF5
VSS_NCTF6
VSS_NCTF7
VSS_NCTF8
VSS_NCTF9
VSS_NCTF10
VSS_NCTF11
VSS_NCTF12

H5
J23
J26
J27
AC22
K28
K29
L13
L15
L2
L26
L27
L5
L7
M12
M13
M14
M15
M16
M17
M23
M28
M29
N11
N12
N13
N14
N15
N16
N17
N18
N26
N27
P12
P13
P14
P15
P16
P17
P2
P23
P28
P29
P4
P7
R11
R12
R13
R14
R15
R16
R17
R18
R28
T12
T13
T14
T15
T16
T17
T23
B26
U12
U13
U14
U15
U16
U17
AD23
U26
U27
U3
V1
V13
V15
V23
V28
V29
V4
V5
W26
W27
W3
Y1
Y28
Y29
Y4
Y5
AG28
AH6
AF2
B25

5-,10-,12-,13-,14-,15-,19-,20-,25-,26-,30-,31-,32-,33-,34-,35-,36-,37-,38-,40-,41-,46-,47-,50-,51-,52-,54-

+V3S

R5822
100K_5%

R5821
100K_5%

26-,37-,38-

ICHGND1

CRACK_GPIO28

Q5101 3
D
1G

37-

SSM3K7002F 2

5-,10-,12-,13-,14-,15-,19-,20-,25-,26-,30-,31-,32-,33-,34-,35-,36-,37-,38-,40-,41-,46-,47-,50-,51-,52-,54-

R5818

+V3S

100K_5%
2

26-,37-,38-

ICHGND4

CRACK_GPIO28

Q5131 3
D
1G

37-

SSM3K7002F 2

5-,10-,12-,13-,14-,15-,19-,20-,25-,26-,30-,31-,32-,33-,34-,35-,36-,37-,38-,40-,41-,46-,47-,50-,51-,52-,54-

R5819

+V3S

100K_5%
26-,37-,38-

VSS107
VSS108
VSS109
VSS110
VSS111
VSS112
VSS113
VSS114
VSS115
VSS116
VSS117
VSS118
VSS119
VSS120
VSS121
VSS122
VSS123
VSS124
VSS125
VSS126
VSS127
VSS128
VSS129
VSS130
VSS131
VSS132
VSS133
VSS134
VSS135
VSS136
VSS137
VSS138
VSS139
VSS140
VSS141
VSS142
VSS143
VSS144
VSS145
VSS146
VSS147
VSS148
VSS149
VSS150
VSS151
VSS152
VSS153
VSS154
VSS155
VSS156
VSS157
VSS158
VSS159
VSS160
VSS161
VSS162
VSS163
VSS164
VSS165
VSS166
VSS167
VSS168
VSS169
VSS170
VSS171
VSS172
VSS173
VSS174
VSS175
VSS176
VSS177
VSS178
VSS179
VSS180
VSS181
VSS182
VSS183
VSS184
VSS185
VSS186
VSS187
VSS188
VSS189
VSS190
VSS191
VSS192
VSS193
VSS194
VSS195
VSS196
VSS197
VSS198

ICHGND7

CRACK_GPIO28

Q5023 3
D
1G

37-

SSM3K7002F 2

5-,10-,12-,13-,14-,15-,19-,20-,25-,26-,30-,31-,32-,33-,34-,35-,36-,37-,38-,40-,41-,46-,47-,50-,51-,52-,54-

+V3S

R5820

VSS001
VSS002
VSS003
VSS004
VSS005
VSS006
VSS007
VSS008
VSS009
VSS010
VSS011
VSS012
VSS013
VSS014
VSS015
VSS016
VSS017
VSS018
VSS019
VSS020
VSS021
VSS022
VSS023
VSS024
VSS025
VSS026
VSS027
VSS028
VSS029
VSS030
VSS031
VSS032
VSS033
VSS034
VSS035
VSS036
VSS037
VSS038
VSS039
VSS040
VSS041
VSS042
VSS043
VSS044
VSS045
VSS046
VSS047
VSS048
VSS049
VSS050
VSS051
VSS052
VSS053
VSS054
VSS055
VSS056
VSS057
VSS058
VSS059
VSS060
VSS061
VSS062
VSS063
VSS064
VSS065
VSS066
VSS067
VSS068
VSS069
VSS070
VSS071
VSS072
VSS073
VSS074
VSS075
VSS076
VSS077
VSS078
VSS079
VSS080
VSS081
VSS082
VSS083
VSS084
VSS085
VSS086
VSS087
VSS088
VSS089
VSS090
VSS091
VSS092
VSS093
VSS094
VSS095
VSS096
VSS097
VSS098
VSS099
VSS100
VSS101
VSS102
VSS103
VSS104
VSS105
VSS106

100K_5%
A1
A2
A28
A29
AH1
AH29
AJ1
AJ2
AJ28
AJ29
B1
B29

37-

ICHGND1

26-,37-,38-

AA26
AA27
AA3
AA6
AB1
AA23
AB28
AB29
AB4
AB5
AC17
AC26
AC27
AC3
AD1
AD10
AD12
AD13
AD14
AD17
AD18
AD21
AD28
AD29
AD4
AD5
AD6
AD7
AD9
AE12
AE13
AE14
AE16
AE17
AE2
AE20
AE24
AE3
AE4
AE6
AE9
AF13
AF16
AF18
AF22
AH26
AF26
AF27
AF5
AF7
AF9
AG13
AG16
AG18
AG20
AG23
AG3
AG6
AG9
AH12
AH14
AH17
AH19
AH2
AH22
AH25
AH28
AH5
AH8
AJ12
AJ14
AJ17
AJ8
B11
B14
B17
B2
B20
B23
B5
B8
C26
C27
E11
E14
E18
E2
E21
E24
E5
E8
F16
F28
F29
G12
G14
G18
G21
G24
G26
G27
G8
H2
H23
H28
H29

CRACK_GPIO28

Q48 3
D

37-

ICHGND4

37-

ICHGND7

37-

ICHGND10

ICHGND10

37-

1G

SSM3K7002F 2

INVENTEC
TITLE

Absolut
ICH9_5

ITL_ICH9M_FCBGA_676P

SIZE CODE

A3
CHANGE by

Smit Wu

3-Dec-2007

CS
SHEET

DOC. NUMBER

REV

Model_No
37

OF

AX1
60

5-,6-,7-,11-,33-,38-,39-,47-,52-,54-

5-,7-,8-,9-,10-,12-,19-,30-,31-

5-,10-,12-,13-,14-,15-,19-,20-,25-,26-,30-,31-,32-,33-,34-,35-,36-,37-,38-,40-,41-,46-,47-,50-,51-,52-,54-

+V3AL

+VBATR

+V3S

0.1uF_16v

C350

0.1uF_16v

+V3AL
5-,6-,7-,11-,33-,38-,39-,47-,52-,54-

0.1uF_16v

C349

C375

+V_RTC
33-,36-

1
1
2

33-,38-,40-,51-

LPC_3S_AD(3:0)

34-,38-,40-,46-,5114-

LPC_3S_AD(3)
LPC_3S_AD(2)
LPC_3S_AD(1)
LPC_3S_AD(0)

5-,6-,7-,11-,33-,38-,39-,47-,52-,54-

+V3AL

0_5%

R433
OPEN

1 R58702

ADP_PS1

13-

+V3AL

39493438-

WLAN_OFF
SPI_CS1#_1091
SPI_CS1#_DB

1
5231-,34-,52-1
1

XRES_SW

LID_SW#_3

D5020
CHENMKO_BAT54_3P

LANLINK_STATUS

34-

2 0_5%

1
1

2
2

2
2
2

R6147
R6148

R5096
R5873
R5872

0_5%_OPEN
0_5%

0_5%_OPEN
0_5%_OPEN
0_5%

SPI_SO_1091

34-

44-

LED_LANLINK#

R6146 1

12-,34-

LAN_WOL_EN

2 R124 0_5%

34-,4434-

LAN_PHYPC
SPI_CLK_1091
SPI_CLK_FLH

5-,6-,7-,11-,33-,38-,39-,47-,52-,54-

33-,38-,40-,5134-,40-

LPC_3S_FRAME#
NPCI_RESET#

R60 0_5%

LPC Bus

C5101

OUT0
OUT1
OUT7
OUT8
OUT9
OUT10
OUT11
GPIO01
GPIO02
GPIO03
GPIO04
GPIO05
GPIO07
GPIO08
GPIO09
GPIO11
GPIO012
GPIO013
GPIO014
GPIO015
GPIO016
GPIO017
GPIO019
GPIO020
GPIO021
GPIO024
GPIO025
GPIO026
GPIO027
GPIO028
GPIO029
GPIO030
GPIO031
GPIO032
AB1A_CLK
AB1A_DATA
Access Bus
AB1B_CLK
Intreface
AB1B_DATA
XTAL1
XTAL2
CLOCKI
32KHZ_OUT_GPIO22
nRESET_OUT
TEST_PIN
VCC1_PWRGD
nBAT_LED
nPWR_LED
Miscellaneous
nFDD_LED
GPIO10
PWEGD
FLDATAIN
HSTCS0#
FLCS0#
HSTDATAOUT
FLDATAOUT

Genrel Purpose I/O Interface

KOS00
KOS01
KOS02
KOS03
KOS04
KOS05
KOS06
KOS07
KOS08
KOS09
KOS10
KOS11
KOS12
KOS13
KSI0
KSI1
KSI2
KSI3
KSI4
KSI5
KSI6
KSI7
EMCLK
EMDAT
IMCLK
IMDAT
KDAT
KCLK
nEC_SCI
CLKRUN#
SER_IRQ
PCI_CLK
LAD3
LAD2
LAD1
LAD0
LFRAME#
LRESET#
LPCPD#
GPIO40
HSTCLK
FLCLK
GPIO39
HSTCS1#
FLCS1#
GPIO38
GPIO37
NC
NC
GPIO36
GPIO35
GPIO34
GPIO33
NC
NC
HSTDATAIN

33-,38-,40-,5134-,38-,40-,46-,5135-,40-,49-,51-

LPC_3S_FRAME#
PCI_3S_SERIRQ
BUF_PLT_RST#

2 R9060 10K_5%

R6034
10K_5%_OPEN

124
KBC_PW_ON
125
47-,54BAT_GRNLED#
123
68051_TX
BATSELB
122
TP765
33342
8051_RX
PM_3S_KBCCPURST#
LAN_RST#
1
2 44121
8051_RECOVER#
LAN_DISABLE#
120
5R6164
VCC1_R_POR#_3
VCTRL_3
118
5-,60_5%_OPEN
SPI_CLK_DB
CHGCTRL_3
6107
SPI_CS0#_DB
THM_TRAVEL#
52-,53-,5479
SPI_SI_DB
PWR_SWIN#_3
3480
SPI_SO_DB
LOW_BAT#_3
1381
SPI_HOLD#_DB
ADP_ID2
TP101
83
R497 10K_5%
SPI_CS1#_DB
1
2 7-,3485
RSMRST#
26-,3786
CRACK_GPIO28 1
TP1056
87
+V3AL
R499
0_5%
52R632
88
I2C_DAT
1
2
10K_5%
0_5%
R634
5289
5-,6-,7-,11-,33-,38-,39-,47-,52-,54I2C_CLK
1
2
590
CELLS
2
41-,4391
2
2
A_SD
0_5% 1
2 R5885
692
BATCON
100K_5%
100K_5%
2 R5887
0_5% 1
6101
THM_MAIN#
R5917
33-,38102
R454
EC_3S_A20GATE
2 R5883
130_5% 1
61
1
1
ADP_PS0
38103
8051_RECOVER#
105 5-,7-,8-,9-,12-,13-,34-,38-,43-,50-,53SLP_S3#_3R
TP102
4
5273
I2C_INT
D5045
TP103
108
5-,6-,13-,3074
ADP_PRES
1
3
R5340 1
2 0_5%
8-,11-,12-,3493
PM_SLP_M#
3498
ME_SMC_ALERT
CHENMKO_BAT54_3P
R5987 1
2 0_5%
3499
AC_PRESENT
R459 2
1 10K_5%
41100
A_EAPD
R5257 1
2 0_5%
35-,46126
PCI_3S_SERR#
6112
SCL_MAIN
6111
SDA_MAIN
6110
SCL_MBAY
6109
SDA_MBAY
70
71
1459
CLK_R3S_KBC14
1 R9173 2
1375
ADP_EN
2 0_5%
R491 1
60
10-,20-,34-,38PM_PWROK
1M_5%_OPEN
2 2K_5%
TP763
69
R453 1
1 10K_5%
R490 2
77
X3
1 10K_5%
R315 2
113
32.768KHZ_VAIL
115
4
1
114
116
38VCC1_R_POR#_3
3
2
78
R493
1
2 7-,11-,3895
39SPI_SO_FLH
VCC1_POR#_3
3496
C438
SPI_CS0#_1091
1K_5%
1
97
5439SPI_CS0#_FLH
BAT_AMBERLED#
34127
2
SPI_SI_1091
15pF_50v
38128
39SPI_SI_FLH
8051_TX
38-

SMSC_KBC1091_VTQFP_128P
13-

33-,38-,40-,5133-,38-,40-,5133-,38-,40-,5133-,38-,40-,51-

LPC_3S_AD(0)
LPC_3S_AD(1)
LPC_3S_AD(2)
LPC_3S_AD(3)

2 R6091 10K_5%

383838383938-,3939393938-

CN28
1
2
3
4
5
6
7
8
9
10
11
12
13
14
15
16
17
18
19
20
21 25 G1
22 26 G2
23
24

ACES_87216_2406_24P

DEBUG PORT CNTR

C5267

15pF_50v

8051_RX
ADP_ID

5-,10-,13-,30-

4.7uF_6.3v

PWR_GOOD_3

Q5148
3
1
2N7002_OPEN

4.7uF_6.3v

7-

VCC1
VCC1
VCC1
VCC1
VCC1
VCC1
VCC2
CAP
VCC0

21
20
19
18
17
16
13
12
10
9
8
7
6
5
29
28
27
26
25
24
23
22
41
42
35
36
40
38
76
55
57
54
51
50
48
46
52
53
45
1
2
3
30
31
32
33
34
43
44
62
63
64
65
66
67
94

C374

VSS
VSS
VSS
VSS
VSS
VSS
VSS

SCAN_IN(0:7)

EM_5S_CLK
EM_5S_DATA
IM_5S_CLK
IM_5S_DATA
KB_5S_DATA
KB_5S_CLK
RUNSCI0#_3
PCI_3S_CLKRUN#
PCI_3S_SERIRQ
CLK_R3S_KBPCI

393939393939393939393939TP764
3939SCAN_3S_IN(0)
SCAN_3S_IN(1)
SCAN_3S_IN(2)
SCAN_3S_IN(3)
SCAN_3S_IN(4)
SCAN_3S_IN(5)
SCAN_3S_IN(6)
SCAN_3S_IN(7)
53533939535334-,3534-,40-,46-,51-

11
37
47
56
82
104
117

39-

SCAN_3S_IN(7:0)

U5015
KSO0
KSO1
KSO2
KSO3
KSO4
KSO5
KSO6
KSO7
KSO8
KSO9
KSO10
KSO11
KSO12
KSO13

14
39
58
84
106
119
49
15
68

1 C354

Keyboard / Mouse Interface

1 C400

2
2
2
0.1uF_16v 0.1uF_16v 0.1uF_16v 0.1uF_16v

Power
Mgmt
SIRQ

1 C376

AGND

72

1 C353

C351
0.1uF_16v

1
2
3
4
5
6
7
8
9
10
11
12
13
14
15
16
17
18
19
20
21
22
23
24

14-

CLK_R3S_DEBUG

G
5-,12-,13-,19-,30-,31-,32-,34-,36-,39-,40-,41-,42-,43-,47-,50-,53-

44-,45-

+V5S

+V3M_LAN

+V3AL
1

SLP_S3#_3R

5-,6-,7-,11-,33-,38-,39-,47-,52-,54-

U5084
4

5-,7-,8-,9-,12-,13-,34-,38-,43-,50-,53-

TC7SET08F_OPEN

5-,10-,12-,13-,14-,15-,19-,20-,25-,26-,30-,31-,32-,33-,34-,35-,36-,37-,38-,40-,41-,46-,47-,50-,51-,52-,54-

SPI_CS0#_DB

38-,39-1 R9062 2

+V3S
EC_3S_A20GATE

10K_5%
38-

1 R9063 2

8051_TX

38-

10K_5%
1 R298 2

8051_RX

38-

1 R5988 2

SPI_CS1#_DB

R5255 2
33-,38- 1
10K_5%

INVENTEC

10K_5%

D300
VCC1_POR#_3

7-,11-,38-

10-,20-,34-,38PM_PWROK

TITLE

10K_5%

Absolut
KBC

CHENMKO_BAT54_3P

SIZE CODE

A3
CHANGE by

Smit Wu

3-Dec-2007

CS
SHEET

DOC. NUMBER

REV

Model_No
38

OF

AX1
60

+V5S
CN6135

KSCAN_3S_IN(3)
KSCAN_3S_IN(1)
KSCAN_3S_IN(2)
KSCAN_3S_IN(4)
KSCAN_3S_IN(0)
KSCAN_3S_IN(10)
KSCAN_3S_IN(12)
KSCAN_3S_IN(8)
KSCAN_3S_IN(14)

38383838383838-

KSO1
KSO10
KSO6
KSO7
KSO4
KSO8
KSO3

393939393939393939-

38383838-

KSO5
KSO2
KSO0
KSO11

5-,12-,13-,19-,30-,31-,32-,34-,36-,38-,39-,40-,41-,42-,43-,47-,50-,53L21

BLM21A121S

5-,6-,7-,11-,33-,38-,39-,47-,52-,54-

C126 1

+5VS_IM

R774
680pF_50v
4.7K_5%
2

(15/5)

3838-

IM_5S_DATA

+V3AL

R773
4.7K_5%

IM_5S_CLK

+V5S

CN17
1
2
3
4
5
6
7
8

1
2
3
4
5
6
7
8

KB CNTR

38-

SPI_CS0#_FLH

38-

R9068

G G1
G G2

SPI_SO_FLH
SPI_SO_DB

38-

U8

0_5%

15_5% 2
38- R5956 1

SPI_SO_FLH_R

R9067 1 0_5% 2

ACES_87212_0800_8P

TOUCH PAD CNTR

FOX_GB1SV301_160K_F_30P

R529
3.3K_5%

R483
3.3K_5%
SPI_CS0#_DB

2
3

5-,12-,13-,19-,30-,31-,32-,34-,36-,38-,39-,40-,41-,42-,43-,47-,50-,53-

G P1
G P2

39393938-,393939-

KSO13
KSO12
KSO9

1
2
3
4
5
6
7
8
9
10
11
12
13
14
15
16
17
18
19
20
21
22
23
24
25
26
27
28
29
30

KSCAN_3S_IN(9)
KSCAN_3S_IN(11)
KSCAN_3S_IN(13)
SCAN_3S_IN(7)
KSCAN_3S_IN(6)
KSCAN_3S_IN(5)

1
2
3
4
5
6
7
8
9
10
11
12
13
14
15
16
17
18
19
20
21
22
23
24
25
26
27
28
29
30

383838-

R3037
2
OPEN

CE#

VDD

WP#

SCK

VSS

SI

G4
G3
G2
G1

8
7
6
5
4
3
2
1
CN15

SPI_CLK_FLH_R

R9066 47_5% 1

SPI_SI_FLH_R

R3033

C430
0.1uF_16v

8
7
6
5
4
3
2
1

SPI_HOLD#_DB

38-

SPI_CLK_FLH

38-

SPI_CLK_DB

47_5%

1
R9065 1

2 47_5% 38-

R9064 1

2 47_5% 38-

ACES_87153_0811_8P
G
G
G
G

38-

HOLD#

SO

SPI_SI_FLH
SPI_SI_DB

ATM_AT26DF321_S3U_SOIC_8P

SPI BIOS

POINT STICK CNTR

U5085
+V3AL
5-,6-,7-,11-,33-,38-,39-,47-,52-,54-

KSCAN_3S_IN(4)

39-

KSCAN_3S_IN(12)

39-

U5087
6

38-,39-

39-

5 4 3 2 1

RS1
10K_5%

SCAN_3S_IN(5)

38-,39-

39-

KSCAN_3S_IN(2)

39-

KSCAN_3S_IN(10)

39-

SCAN_3S_IN(4)
KSCAN_3S_IN(5)

38-,39-

39-

KSCAN_3S_IN(3)

39-

KSCAN_3S_IN(11)

SCAN_3S_IN(2)

KSCAN_3S_IN(13)
SCAN_3S_IN(3)

38-,39-

ROHM_UMP11_SSOP_6P

6 7 8 9 10

ROHM_UMP11_SSOP_6P

U5086
39-

KSCAN_3S_IN(8)

39-

38-,39-

KSCAN_3S_IN(6)

38-,39-

39-

KSCAN_3S_IN(1)

39-

KSCAN_3S_IN(9)

39-

SCAN_3S_IN(0)

KSCAN_3S_IN(0)

38-,39-

SCAN_3S_IN(0)
SCAN_3S_IN(1)
SCAN_3S_IN(2)
SCAN_3S_IN(3)
SCAN_3S_IN(4)
SCAN_3S_IN(5)
SCAN_3S_IN(6)
SCAN_3S_IN(7)

SCAN_3S_IN(1)
SCAN_3S_IN(7:0)

38-,39-

KSCAN_3S_IN(14)

SCAN_3S_IN(6)

INVENTEC
TITLE

D5085
DAP202K

39-

Absolut
SPI / KB / TP / POINT STICK

ROHM_UMP11_SSOP_6P

SIZE CODE

A3
CHANGE by

Smit Wu

3-Dec-2007

CS
SHEET

DOC. NUMBER

REV

Model_No
39

OF

AX1
60

+V5S
5-,12-,13-,19-,30-,31-,32-,34-,36-,38-,39-,41-,42-,43-,47-,50-,53-

CHENMKO_BAT54_3P
1
D5055

3
1 2 3 4
1 2 3 4

RS7
4.7K_5%

1 2 3 4

RS5
4.7K_5%

RS6
4.7K_5%

8 7 6 5
53-

8 7 6 5

LPT_5S_SLCT
LPT_5S_PE
LPT_5S_BUSY
LPT_5S_ACK#
LPT_5S_ERROR#
LPT_5S_ALF#
LPT_5S_STRB#
UART_3S_RXD
UART_3S_TXD
UART_3S_DSR#

LPT_5S_PD(7)
LPT_5S_PD(6)
LPT_5S_PD(5)
LPT_5S_PD(4)

8 7 6 5

53535353535353535353-

LPT_5S_PD(7:4)

+V3S
5-,10-,12-,13-,14-,15-,19-,20-,25-,26-,30-,31-,32-,33-,34-,35-,36-,37-,38-,40-,41-,46-,47-,50-,51-,52-,54-

C290

C323

C289

5-,10-,12-,13-,14-,15-,19-,20-,25-,26-,30-,31-,32-,33-,34-,35-,36-,37-,38-,40-,41-,46-,47-,50-,51-,52-,54-

5-,10-,12-,13-,14-,15-,19-,20-,25-,26-,30-,31-,32-,33-,34-,35-,36-,37-,38-,40-,41-,46-,47-,50-,51-,52-,54-

+V3S

2
0.1uF_16v

2
0.1uF_16v

0.1uF_16v

4 3 2 1

64
63
62
61
60
59
58
57
56
55
52
53
51
50
49
VCC 54

14-

LPC_3S_AD(1)
LPC_3S_AD(2)
LPC_3S_AD(3)

R282
4.7K_5%

RS4
4.7K_5%

+V3S

5 6 7 8

53-

45
48
47
46
44
42
41
40
43
39
38
37
36
35
34
33

LPT_5S_PD(3:0)

LPT_5S_PD(3)
LPT_5S_PD(2)
LPT_5S_PD(1)
LPT_5S_PD(0)
5353-

404040-

LPT_5S_SLCTIN#
LPT_5S_INIT#

531

R279
10K_5%
GP14_IRQIN2
GP13_IRQIN1

R386

EXPCRD_RST#

R280
OPEN

47K_5%

SYSOPT

+V3S
5-,10-,12-,13-,14-,15-,19-,20-,25-,26-,30-,31-,32-,33-,34-,35-,36-,37-,38-,40-,41-,46-,47-,50-,51-,52-,54-

5-,10-,12-,13-,14-,15-,19-,20-,25-,26-,30-,31-,32-,33-,34-,35-,36-,37-,38-,40-,41-,46-,47-,50-,51-,52-,54-

R384
47K_5%
1
1

+V3S

40-

GP14_IRQIN2

404040-

GP43
GP46
GP45

40404040-

GP13_IRQIN1

R382

40-

GP40

10K_5%

RS3

40GP10
SYSOPT
LPCPD#
GP44

10K_5%
RS2

R383
47K_5%

R387

10K_5%
GP10
SER_SHD

4 3 2 1

OPEN

1
4053-

4 2 3 1

40404040-

R380

0_5%
R381 1

5 6 7 8

35-,38-,49-,51-

5 7 6 8

BUF_PLT_RST#

34-,38-

GP43
GP44
GP45
GP46

NPCI_RESET#

40-

17
18
19
20
21
26
22
23
24
25
27
28
29
30
31
32

LPC_3S_FRAME#
LPC_3S_DRQ0#

33-,38-,5133-

VCC
PD3
PD2
PD1
PD0
nSLCTIN
nINIT
U26
SMSC_LPC47N217_JV_C_STQFP_64P
GP23
VSS
CLOCKI
VSS
IRMODE_IRRX3
LAD0
IRTX2
VCC
IRRX2
LAD1
GP14_IRQIN2
LAD2
GP13_IRQIN1
LAD3
GP12_IO_SMI#
LFRAME#
GP11_SYSOPT
LDRQ#

GP40

LPC_3S_AD(0)

CLK_R3S_SIO14

PCI_RESET#
LPCPD#
CLKRUN#
PCI_CLK
SER_IRQ
VCC
VSS
GP40
GP41
GP42
GP43
GP44
GP45
GP46
GP47
GP10

33-,38-,51-

nRTS1
nCTS1
nDTR1
nRI1
nDCD1
IO_PME#
VTR

4034-,38-,46-,511434-,38-,46-,51-

LPC_3S_AD(3:0)

1
2
3
4
5
6
7
8
9
10
11
12
13
14
15
16

5353535353TP620

LPCPD#
PCI_3S_CLKRUN#
CLK_R3S_SIOPCI
PCI_3S_SERIRQ

+V3S

UART_3S_RTS#
UART_3S_CTS
UART_3S_DTR#
UART_3S_RI
UART_3S_DCD#

nDSR1
TXD1
RXD1
nSTROBE
nALF
nERROR
nACK
BUSY
PE
SLCT
VSS
PD7
PD6
PD5
PD4

5-,10-,12-,13-,14-,15-,19-,20-,25-,26-,30-,31-,32-,33-,34-,35-,36-,37-,38-,40-,41-,46-,47-,50-,51-,52-,54-

R281
4.7K_5%

10K_5%

INVENTEC
TITLE

Absolut
SUPER I/O

SIZE CODE

A3
CHANGE by

Smit Wu

3-Dec-2007

CS
SHEET

DOC. NUMBER

REV

Model_No
40

OF

AX1
60

+VAUDIO_VCC_C
+V3S

+VAUDIO_VCC
41-,42-,43-

41-

5-,10-,12-,13-,14-,15-,19-,20-,25-,26-,30-,31-,32-,33-,34-,35-,36-,37-,38-,40-,41-,46-,47-,50-,51-,52-,54-

1 R5790 2

0_5%
1

C5448

C5450

41-,42-,43C5064
47pF_50v_OPEN
1

1
5353-

2
2

4.7K_5%
1 R5865
1

4.7K_5%

1 2

R5225

4.7K_5%_OPEN

C3050

1uF_6.3v

1uF_6.3v C5299
R5516

R5515

43
44
45

R47
AUDIO_GND

1 2

3
Q5116

100K_5%

C5437
0.1UF_16V

C5446
0.1UF_16V

SPDIFO

12
48

30-

R49
10K_5%

SSM3K7002F 2

47

C5444
0.01uF_16v

25

DVcore

Port-F_L
Port-F_R
Port-C_L
Port-C_R
MIC_BIAS_C

Port-E_L
Port-E_R
NC
NC
NC
NC

Port-D_L
Port-D_R
Mono_out
SENSE_A
SENSE_B

NC
NC
NC

MIC_Bias_IN
CD_GND
DM_CLK

DM_1-2
DM_3-4

EAPD

16
17
23
24
29

MIC_BIAS_B

1 2
1uF_6.3v

4141-

43434242-

LINE_OUT_L
LINE_OUT_R
INT_MICA
INT_MICB

PR_AOUT_L
PR_AOUT_R

43-

32
13
34
33
19
46

41-

C5737
1uF_10v

1
1

2 1uF_6.3v

0.1uF_16v 2

0_5%

Q5034
SSM3K7002F
2

SENSE_A_B

AUDIO_GND

20_5%
1
2

C5423
4.7uF_6.3v

Recommend a copper trace about 80 mills


AUDIO_GND
wide under CODEC (on the GND layer)
bridging the 2 planes across the moat.
For pin7,use very direct connection to DGND plane
plane using double via

SENSE_B

41-

1 R5979 2

PR_AOUT_L

1G

SENSE_A_A

C5463

41-

PR_AOUT_R

1 R5891 2

39.2K_1%
3 D Q71
G
S

2
SSM3K7002F

TP1037
1
R5529
100K_5%

53-

LINE_IN_SENSE

41-

41-

C5282
1 2
47uF_6.3v
C5297
1 2
47uF_6.3v

60.4_1%
1 R5739 2

1 L3022 2
BLM11A121S
1 L3012 2

60.4_1%

BLM11A121S

1 R5609 2

R5527
10K_5%

C5469

2 0.1UF_16V

AUDIO_GND
AUDIO_GND

53-

PR_AOUT_L_DOCK

53-

PR_AOUT_R_DOCK

R5528
10K_5%
2

C5687 1

2 20K_1%

41-

R51

5-,12-,13-,19-,30-,31-,32-,34-,36-,38-,39-,40-,42-,43-,47-,50-,53-

52-

R5357 1

2 1uF_6.3v

+V5S

MIC_SENSE

2 39.2K_1% 43-

AUDIO_GND

AUDIO_GND

1 2
C5442 1000pF_50v
1 2

R5616 1

C5460

AUDIO_GND

AUDIO_GND

SENSE_A_B

2
2
R5892
2.67K_1%

SENSE_B

0_5%_OPEN

41-

41-

R48
2.67K_1%

SENSE_A
1
1

4.7K_5%

R6067 1
4.7K_5%_OPEN

R5831
47K_5%

42-

+VAUDIO_VCC_C

+VAUDIO_VCC_C

MIC_BIAS_C

1 R6039 2

AUDIO_GND

1 2
C5441 1000pF_50v

A_MIC1
A_MIC2

1 2
1uF_6.3v

1uF_6.3v C5454
C5458
1 2
1 2 1uF_6.3v

35
36

52-

5252-

AUDIO_GND

C5438 1000pF_50v
1 2
C5439 1000pF_50v
1 2
C5440 1000pF_50v

HP_OUT_L
HP_OUT_R
C5459

R5022
AUDIO_GND

1uF_10v

4343-

C5453

PCBEEP
SPDIF-OUT

C325

38
AVdd

GPIO_1
GPIO_2

1 2
A_3S_ICHSPKR
34- 1 G

2
4

AUDIO_GND
1 R5507 2

4.7uF_6.3v

4.7K_5%

4.7K_5%

C5443
20pF_50v_OPEN

10K_5%

14
15
18
20
37
40

C5462
0.1UF_16V

27
39
41
21
22
28

GND

3
1 A_LINEINL
A_LINEINR
R5829

+VAUDIO_VCC

31
30

CHENMKO_BAT54_3P

D5084

C389

49

R5890

AUDIO_GND
VREF_filt

Port-A_L
Port-A_R
Port-B_L
Port-B_R
MIC_BIAS_B

AVss

2
33_5%

SDATA_OUT
BIT_CLK
SDATA_IN
SYNC
RESET#

42

0_5%

5
6
8
10
11

AVdd

ADI_AD1984AJCPZ_RELL_LFCSP_48P
U5081

1
R5514

38-,43-

A_SD

1 R5505
1 R5506

DVio

4.7K_5%_OPEN

C5452

2 0.1UF_16V 2

DVdd

0_5%

R6090
15K_5%

AVss

DVss

HDA_3S_SDOUT
HDA_3S_BITCLK
HDA_3S_SDIN0
HDA_3S_SYNC
HDA_3S_RST#
A_EAPD

0_5%

1
1

26

4.7K_5%_OPEN
333333333338-

R5828

10uF_6.3v_OPEN

Place cap near CODEC pin 38

+VAUDIO_VCC_C

2
0.1uF_16v_OPEN

AUDIO_GND

41-

R6066

0.1uF_16v_OPEN

C5496

+V3S

C5061

2 4.7uF_25v 2 0.1UF_16V 2 0.1UF_16V

1 C5455

0_5%
5-,10-,12-,13-,14-,15-,19-,20-,25-,26-,30-,31-,32-,33-,34-,35-,36-,37-,38-,40-,41-,46-,47-,50-,51-,52-,54-

C5451

R50

INVENTEC
TITLE

AUDIO_GND

Place near U5081

Absolut
AUDIO-1

SIZE CODE

AUDIO_GND

A3

AUDIO_GND

CHANGE by

Smit Wu

3-Dec-2007

CS
SHEET

DOC. NUMBER

REV

Model_No
41

OF

AX1
60

INT_MICA

41-

1 R5967 241-

220pF_25v

C5681

2 1
C5682
1 R5628 2

MIC_BIAS_C

INT_MICB
MIC_BIAS_C
41-,42-

2 R5743 1

100K_5%

41-,42-

0_5%

1 2
220pF_25v

100K_5%
MIC_REF

1 R5619

+VAUDIO_VCC

3.9K_1%

41-,42-,43-

R5617
3K_5%_OPEN
1
2

+VCC_OP1

42-

42-

42-

R5618
3K_5%_OPEN
1
2

C5608

4.7uF_6.3v_OPEN

INT_MIC_JACKA

1 2
0.1uF_16v
1
2

1 R5974 2

1 R5624 2

0_5%

10K_5%
1

C5527
47pF_50v

41-,42-,43-

C330

2 R5644 1
C5422
3K_5%_OPEN

2
100pF_50v

U608

Place as close to
U608 pin2&3 as possible

C5679

Place as close to
U608 pin5&6 as possible
1

1
C5533
100pF_50v 2

2 R5643 1

3K_5%_OPEN

2
4.7uF_6.3v_OPEN

8
1OUT VDD+
2 1IN- 2OUT 7
1

AUDIO_GND

INT_MIC_JACKA

MIC_REF

42-,52-

+VAUDIO_VCC

R5645 1
3.9K_1%

3 1IN+

2IN- 6

4 GND

2IN+ 5

AUDIO_GND
2 R5826 1

2 R5840 1

10K_5%

0_5%

C5550

INT_MIC_JACKB

42-

INT_MIC_JACKB

TLV2462CDGKR_SSOP_8P

C5530
68pF_50v

C5680
2 1
0.1uF_16v

68pF_50v

C5549
47pF_50v

1
2

AUDIO_GND
AUDIO_GND
AUDIO_GND

AUDIO_GND

AUDIO_GND

INT. MIC AMP

+VCC_OP1
42-,52-

INT_MIC_JACKA

42-

INT_MIC_JACKB

42-

1
2
3
4

CN32
1
2
G G1
3
G G2
4

1 R5244

47K_5%
+VAUDIO_VCC

ACES_87212_0400N_4P

41-,42-,43-

+VCC_OP1

R5861
0_5%

42-,52-

+V5S
5-,12-,13-,19-,30-,31-,32-,34-,36-,38-,39-,40-,41-,43-,47-,50-,531

PLACE CLOSE
TO U608

421 R5248

47K_5%

R5862
0_5%_OPEN

1
2

C5340

MIC_REF

4.7uF_6.3v

2
AUDIO_GND

INT. MIC CNTR

Add option resistor to power from


+V5S if needed(do not install)

AUDIO_GND

INVENTEC
TITLE

Absolut
AUDIO-2

SIZE CODE

A3
CHANGE by

Smit Wu

3-Dec-2007

CS
SHEET

DOC. NUMBER

REV

Model_No
42

OF

AX1
60

+V5S
5-,12-,13-,19-,30-,31-,32-,34-,36-,38-,39-,40-,41-,42-,43-,47-,50-,53-

C424 1

1 C5712

1uF_6.3v 2

+V5S

2 10uF_10v

5-,12-,13-,19-,30-,31-,32-,34-,36-,38-,39-,40-,41-,42-,43-,47-,50-,53-

+V5S
C5228 1
1uF_6.3v
2

AUDIO_GND

5-,12-,13-,19-,30-,31-,32-,34-,36-,38-,39-,40-,41-,42-,43-,47-,50-,53-

1 C5360

C5361 1

41-

1 2
0.022uF_16v
C5477
43-

22

38-,41-

23

27

1 2
2.2uF_10v

25

18

SPVDD

SPVDD

17

30

HP_OUTR
HP_INR
C1P
HP_INL
C1N

16
15

+V5S
52-

R5247
0_5%_OPEN

HP_OUT_L1

52-

C5487

HP_OUT_R1

100pF_50v 2

C5489

1 C5488
2 100pF_50v

1
2
3
4

CN6134
1
2
G G1
3
G G2
4

ACES_85205_0400_4P

5-,12-,13-,19-,30-,31-,32-,34-,36-,38-,39-,40-,41-,42-,43-,47-,50-,53-

1 C5490

2 100pF_50v

100pF_50v

AUDIO_GND

Colse to internal speaker.

10

C5484 1
1uF_10v2

12

REG_EN

1 R5778 2

0_5%
AUDIO_GND

REG_OUT

C392

10uF_6.3v

TML-PAD

AUDIO_GND

33

C5474
0.1uF_10v

SPGND

41-,42-

CPVSS

29

+VAUDIO_VCC

HPVSS

PCSPKC#_3

26

1 2
2.2uF_10v

HP_OUTL

14

HP_OUT_L
SLP_S3#_3R
5-,7-,8-,9-,12-,13-,34-,38-,50-,53-

C5060
C5059

HP_EN
SPKR_EN#

GND

4141-

SPK_OUT_LSPK_OUT_L+
SPK_OUT_RSPK_OUT_R_+

31
GAIN0
32
GAIN1

BYPASS

13

HP_OUT_R

HPVDD

24

28

A_SD

0.47uF_6.3v

Internal Speaker
AUDIO_GND
7
LOUT6
LOUT+
19
ROUT20
ROUT+

SPKR_LIN+
SPKR_LIN-

SPGND

HP_ENABLE

3
4

CPGND

LINE_OUT_L

0.047uF_10v

C5476

SPKR_RIN+
SPKR_RIN-

CPVDD

2
1

11

0.022uF_16v 1 2
C5730

0.047uF_10v

21

41-

LINE_OUT_R

C5475

VDD

U5000
C5729

2 10uF_10v

1uF_10v 2

AUDIO_GND

+V5S

TI_TPA6041A4RHBR_QFN_32P

1 R5246 2

5-,12-,13-,19-,30-,31-,32-,34-,36-,38-,39-,40-,41-,42-,43-,47-,50-,53-

0_5%
AUDIO_GND

AUDIO_GND

AUDIO_GND

C5481

1uF_10v

AUDIO_GND

R5250
0_5%_OPEN
2

AUDIO_GND

AUDIO_GND

1 R5537 2

41-

SENSE_A

5.1K_1%

5-,12-,13-,19-,30-,31-,32-,34-,36-,38-,39-,40-,41-,42-,43-,47-,50-,53-

+V5S

R5776
100K_5%
2

+V5S

5-,12-,13-,19-,30-,31-,32-,34-,36-,38-,39-,40-,41-,42-,43-,47-,50-,53-

5-,12-,13-,19-,30-,31-,32-,34-,36-,38-,39-,40-,41-,42-,43-,47-,50-,53-

+V5S

1 R52

43-,52-

43-,52-

1 R5544 2

0_5%

2
43-

HP_ENABLE

PR_HPSENSE#

1G

53-

C5470

0.1uF_10v

AUDIO_GND

41-

R5538
100K_5%
2

R5535
100K_5%

100K_5%_OPEN

3
D
S

HP_JS

Q5033
SSM3K7002F
1G

+V5S

5-,12-,13-,19-,30-,31-,32-,34-,36-,38-,39-,40-,41-,42-,43-,47-,50-,53-

HP_JS

1G

3
D
S

SENSE_A_A

Q5123
SSM3K7002F

Q5087
SSM3K7002F

AUDIO_GND

AUDIO_GND

AUDIO_GND
43-,52-

HP_JS

INVENTEC

Place Q5123 & Q5033 near CODEC

TITLE

Absolut
AUDIO AMP & HP JACK

SIZE CODE

A3
CHANGE by

Smit Wu

3-Dec-2007

CS
SHEET

DOC. NUMBER

REV

Model_No
43

OF

AX1
60

PCIE_C_RXP6
PCIE_C_RXN6

3434-

PCIE_C_TXP6
PCIE_C_TXN6

3434-

0.1uF_16v
PCIE_RXP6 52
2
PCIE_RXN6 53
1 2 0.1uF_16v

C384
1
C5523

55
56

CLOSE TO LAN

3333-

GLAN_CLK
LAN_RSTSYNC

R167

U35

ITL_82567LM_QFN_56P

GLAN_TXP
GLAN_TXN

MDI_MINUS_0
MDI_PLUS_0

GLAN_RXP
GLAN_RXN

MDI_MINUS_1
MDI_PLUS_1

GLAN_R_CLK
2

45
50

MDI_MINUS_2
MDI_PLUS_2

JKCLK
JRSTSYNC

33_5%

384545-

R163 470_5%
1
1

333333-

LAN_RXD0
LAN_RXD1
LAN_RXD2

333333-

42
43
44
47
48
49

2
2

4
2
1

R610 470_5%
1 R5338 2

15

4.99K_1%
1

R127

34-,38-,442 1K_5%
R6152 1
38LAN_DISABLE#

LAN_PHYPC

51

0_5%_OPEN

R6153

1
R126

12
13
0_5%

34
37

JTXD0
JTXD1
JTXD2

VCC3_3_3
VCC3_3_46
VCC3_3_28

JRXD0
JRXD1
JRXD2

CTRL18
VCC1_8_11
VCC1_8_14
VCC1_8_19
VCC1_18_18
VCC1_8_24
VCC1_8_41
VCC1_8_25
VCC1_8_54
VCC1_8_32
VCC1_8_30

LED0
LED1
LED2
RSET
RESERVED_NC
IEEE_TEST_P
IEEE_TEST_N

CTRL10
DIS_REG1_0
LAN_DIASBLE_N

0_5%_OPEN

36
4444-

9
10

XTAL2
XTAL1

VCC1_0_5
VCC1_0_8
VCC1_0_33
VCC1_0_38
GND_PAD

4545-

TRD0TRD0+

22
23

4545-

TRD1TRD1+

20
21

4545-

TRD2TRD2+

16
17

4545-

TRD3TRD3+

+V3M_LAN
38-,44-,45-

3
46
28
29

1
44-

C5464

2 0.1uF_16v 2

CTRL_18

11
14
19
18
24
41
25
54
32
30

C113
4.7uF_6.3v

+V1.8_LAN
44-,45-

C5335

C5334

2
0.1uF_16v

0.1uF_16v

1
2

C5336

470pF_50v

C5337
470pF_50v

1
2

C8

2
10uF_6.3v

C112

R93
0_5%_OPEN

10uF_6.3v
2

31
5
8
33
38

C5262

1
2

57

C5302

2
0.1uF_16v

0.1uF_16v

1
2

C5259

2
0.1uF_16v

C5258
0.1uF_16v

1
2

C123

2
10uF_6.3v

C110
10uF_6.3v

35
40
39
7
6

LAN_XTAL2
LAN_XTAL1

TEST_EN

JTAG_TRST
JTAG_TCK
JTAG_TMS
JTAG_TDI
JTAG_TDO

LED_LANLINK#
LED_3S_LANLINK#
LED_3S_LANACT#

LAN_TXD0
LAN_TXD1
LAN_TXD2

MDI_MINUS_3
MDI_PLUS_3

26
27

R96
10K_5%

1 R6037 2

+V3M_WOL

+V3M_LAN

0_5%_OPEN

12-,34-,36-,45-

4
1000pF_50v
C660
1 R714

1M_5%

Q56
S

+V3M_LAN
38-,44-,45-

38-,44-,45-

1
2
5
6

FDC638P

C125

2 22uF_6.3v

5.1K_5%
CTRL_18

R97

44-

C111
10uF_6.3v

3
1

Q50
BCP69

B
C

2 0.1uF_16v 2

R98
1

C5700

4 2

+V1.8_LAN

100K_5%

44-,45-

Q57 3
D

1G

C124

SSM3K7002F 2

4.7uF_6.3v

1 R99

0_5%
2

RD_EE NOTE:

+V3A

C5005

2
0.1uF_16v

C5006
LAN_XTAL2
0.1uF_16v

44-

44-

LAN_XTAL1

INVENTEC

C5331
27pF_50v

X5
25MHz

2 1

MUST USE 30PPM XTXL

7-,10-,12-,13-,18-,31-,34-,35-,36-,45-,48-,49-,50-,51-,53-,54-

2 1

LAN_PHYPC

34-,38-,44-

C5333
27pF_50v

TITLE

Absolut
LAN INTERFACE-1

SIZE CODE

A3
CHANGE by

Smit Wu

3-Dec-2007

CS
SHEET

DOC. NUMBER

REV

Model_No
44

OF

AX1
60

+V3M_WOL
+V1.8_LAN

12-,34-,36-,44-

44-,45-

C627

C628

4545-

25
0LED2
26
1LED2
51
2LED2

4545-

4545-

TRD3-_DK
TRD3+_DK

TRD0+_MB
TRD0-_MB
TRD1+_MB
TRD1-_MB
TRD2+_MB
TRD2-_MB
TRD3+_MB
TRD3-_MB

24
22
23
21
19
20
18
16
17
15
13
14

BOTH_GST5009_SOP_24P

0.01uF_100v

TRD1-_DK
TRD1+_DK

LED_LANACT#_DOCK
LED_LANLINK#_DOCK

MCT1
MX1MX1+
MCT2
MX2MX2+
MCT3
MX3MX3+
MCT4
MX4MX4+

TCT1
TD1TD1+
TCT2
TD2TD2+
TCT3
TD3TD3+
TCT4
TD4TD4+

LED_3S_LANACT#_MB
LED_3S_LANLINK#_MB

1
6
9
13
16
21
24
28
33
39
44
49
53
55

RD-_DK
RD+_DK

5353-

C-_DK
C+_DK

5353-

D-_DK
D+_DK

2
1

TD-_DK
TD+_DK

C97

1
2

53535353-

75_1%

4545454545454545-

THRM

GND
GND
GND
GND
GND
GND
GND
GND
GND
GND
GND
GND
GND
GND

57

4545-

TRD2-_DK
TRD2+_DK

46
45
41
40
35
34
30
29

0B2
1B2
2B2
3B2
4B2
5B2
6B2
7B2

U17
1
3
2
4
6
5
7
9
8
10
12
11

4545-

TRD0-_DK
TRD0+_DK

0.01uF_100v

U9005
PER_PI3L500_AZFE_TQFN_56P

5353-

22
0LED1
23
1LED1
52
2LED1

19
LED0
20
LED1
54
LED2

4444-

LED_3S_LANACT#
LED_3S_LANLINK#

A0
A1
A2
A3
A4
A5
A6
A7

C101

2
3
7
8
11
12
14
15

C100

4444444444444444-

TRD0+
TRD0TRD1+
TRD1TRD2+
TRD2TRD3+
TRD3-

TRD0+_DK
TRD0-_DK
TRD1+_DK
TRD1-_DK
TRD2+_DK
TRD2-_DK
TRD3+_DK
TRD3-_DK

2
0.1uF_16v

75_1%
R6013

0B1
1B1
2B1
3B1
4B1
5B1
6B1
7B1

4545454545454545-

C98

SEL

CHENMKO_BAT54_3P

48
47
43
42
37
36
32
31

R6010

0.1uF_16v

NC

17

VDD
VDD
VDD
VDD
VDD
VDD
VDD

1000pF_2000v

+V1.8_LAN
44-,45-

C629
0.1uF_16v

1
2

C630
0.1uF_16v
7-,10-,12-,13-,18-,31-,34-,35-,36-,44-,48-,49-,50-,51-,53-,54-

U9
4545-

TDTD+

4545-

RDRD+

4545-

CC+

0.01uF_100v

0.01uF_100v

2
R5750

C83

C82

0.01uF_100v

4545-

C81

75_1%

BOTH_GST5009_SOP_24P

R5219

4545-

R5978

TRD3-_MB
TRD3+_MB

4545-

24
22
23
21
19
20
18
16
17
15
13
14

75_1%

TRD2-_MB
TRD2+_MB

4545-

+V3M_LAN

+V3A
MCT1
MX1MX1+
MCT2
MX2MX2+
MCT3
MX3MX3+
MCT4
MX4MX4+

0.01uF_100v

TRD1-_MB
TRD1+_MB

4545-

TCT1
TD1TD1+
TCT2
TD2TD2+
TCT3
TD3TD3+
TCT4
TD4TD4+

C80

TRD0-_MB
TRD0+_MB

1
3
2
4
6
5
7
9
8
10
12
11

R5866

75_1%

75_1%

ISO_PREP#

56
50
38
27
18
10
4

D7004
34-,53-

75_1%
R6012

0.1uF_16v

0.1uF_16v

0.01uF_100v

0.01uF_100v

C99

C9048

R6011

C9047

10K_5%

75_1%

2 R9059 1

DD+

38-,44-

1 R543

100K_5%_OPEN

R547
100K_5%
BSS84

PREP#

34-,53-

Q36
SSM3K7002F
1G

1G

Q44 3
S

TD+
TDRD+
C+
CRDD+
D-

4545454545454545-

LED_3S_LANLINK#_MB
LED_3S_LANACT#_MB

4545-

1
2
3
4
5
6
7
8
9
10
11
12

CN29
1
2
3
4
5
6
7
8
G G1
9
10 G G2
11
12

INVENTEC

ACES_87212_12G2_12P
1
2

C79

TITLE
1000pF_2000v

SIZE CODE

A3
CHANGE by

Smit Wu

Absolut

LAN RJ45 CONN

RJ45 CNTR
3-Dec-2007

CS
SHEET

REV

DOC. NUMBER

Model_No
45

OF

AX1
60

5-,10-,12-,13-,14-,15-,19-,20-,25-,26-,30-,31-,32-,33-,34-,35-,36-,37-,38-,40-,41-,46-,47-,50-,51-,52-,54-

+V3S_PHY

+V3S

U22-2

5-,10-,12-,13-,14-,15-,19-,20-,25-,26-,30-,31-,32-,33-,34-,35-,36-,37-,38-,40-,41-,46-,47-,50-,51-,52-,54AVCC_PHY1
AVCC_PHY2
AVCC_PHY3

TPBIAS0

C5668

97
104
108

+V3S
1 L3005 2
BLM18AG471SN1D

110

95

1 2 1
10pF_50v

C5745
1

C5752
1

2
2
2
2
10uF_16v 0.01uF_16v 0.01uF_16v 0.01uF_16v

TPBIAS0

C5106

1
C5461

C5744

C5743

+V3S_PHY

2
10uF_10v

2
XI

C5120

C5713

0.01uF_16v

C5649

2
2
1uF_16v 1000pF_50v

5-,10-,12-,13-,14-,15-,19-,20-,25-,26-,30-,31-,32-,33-,34-,35-,36-,37-,38-,40-,41-,46-,47-,50-,51-,52-,54-

+V3S

1uF_16v
U22-1

X2

96

1 2
10pF_50v

TPBN0
XO

TPBP0

102
50-

TPB0-

50-

TPB0+

103

6
23
38
118
5-,10-,12-,13-,14-,15-,19-,20-,25-,26-,30-,31-,32-,33-,34-,35-,36-,37-,38-,40-,41-,46-,47-,50-,51-,52-,54-

+V3S

TPAP0

100

10K_5%
C5650

99

1 2
0.01uF_16v

50-

TPA0-

50-

TPA0+

107

C5634
1
C5084

C5748
1

C5747
1

C5749
1

2
0.1uF_16v

2
2
2
2
10uF_16v 0.01uF_16v 0.01uF_16v 0.01uF_16v

REXT

VREF

50-

MDIO18

2 10uF_16v

MDIO17
MDIO16

505046-,505046-,50-

50-

R511 0_5% 1 C416


2
5pF_50v

83
84
85
86
87
88
89

MDIO15
SCVCC5EN#
SCVCC3EN#
SCSENSE
SCCD#
SCIO
SCCLK
SCRST

MDIO14
MDIO13
MDIO12
MDIO11
MDIO10
MDIO09
MDIO08

1
2

XDCLE

52-

MMCDAT7_XDCDAT7

52-

MMCDAT6_XDCDAT6

52-

MMCDAT5_XDCDAT5

52-

MMCDAT4_XDCDAT4

51
52
53
55
52-

SDCDAT3_MMCDAT3_MSCDAT3_XDCDAT3

52-

SDCDAT2_MMCDAT2_MSCDAT2_XDCDAT2

52-

SDCDAT1_MMCDAT1_MSCDAT1_XDCDAT1

52-

SDCDAT0_MMCDAT0_MSCDAT0_XDCDAT0

56
57
58
60
62

1 R6168 2

33_5%

52-

SDCCLK_MMCCLK_MSCCLK_XDRE#

52-

SDCCMD_MMCCDM_MSBS_XDWE#

46-

UDIO4

46-

100K_5%
1 R5702 2

52-

SDLED#_MMCLED#_MSLED#_XDLED#

UDIO3

46-

100K_5%
1 R73 2

SC_DATA

46-,50-

100K_5%
1 R74 2

SCSENS

46-,50-

MDIO06
MDIO05
MDIO04

52-

SDPWR1_MMCPWR1_XDWP#

MDIO03
MDIO02
MDIO01
MDIO00

MDIO07

RICOH_R5C835_TQFP_128P

65
66
67

PCI_3S_REQ#(2)
PCI_3S_GNT#(2)
PCI_3S_FRAME#
PCI_3S_IRDY#
PCI_3S_TRDY#
PCI_3S_DEVSEL#
PCI_3S_STOP#
PCI_3S_PERR#
PCI_3S_SERR#

353535353535353535-,38-

120
119
16
17
18
19
21
22
24

SDWP#_XDR_B#

52-

XDCE#

52-

MSCD#_XDCD1#

52-

SDCD#_MMCD#_XDCD0#

63
69
70

64

5-,10-,12-,13-,14-,15-,19-,20-,25-,26-,30-,31-,32-,33-,34-,35-,36-,37-,38-,40-,41-,46-,47-,50-,51-,52-,54-

+V3S

52-

R515
100K_5%

PCI_3S_AD(31)
PCI_3S_AD(30)
PCI_3S_AD(29)
PCI_3S_AD(28)
PCI_3S_AD(27)
PCI_3S_AD(26)
PCI_3S_AD(25)
PCI_3S_AD(24)
PCI_3S_AD(23)
PCI_3S_AD(22)
PCI_3S_AD(21)
PCI_3S_AD(20)
PCI_3S_AD(19)
PCI_3S_AD(18)
PCI_3S_AD(17)
PCI_3S_AD(16)
PCI_3S_AD(15)
PCI_3S_AD(14)
PCI_3S_AD(13)
PCI_3S_AD(12)
PCI_3S_AD(11)
PCI_3S_AD(10)
PCI_3S_AD(9)
PCI_3S_AD(8)
PCI_3S_AD(7)
PCI_3S_AD(6)
PCI_3S_AD(5)
PCI_3S_AD(4)
PCI_3S_AD(3)
PCI_3S_AD(2)
PCI_3S_AD(1)
PCI_3S_AD(0)
353535353535-,46-

10K_5%
R56 2

10K_5%

SDPWR0_MMCPWR0_MSPWR_XDPWR

46-,5268

35-,46-

PCI_3S_PAR
PCI_3S_CBE#(3)
PCI_3S_CBE#(2)
PCI_3S_CBE#(1)
PCI_3S_CBE#(0)
PCI_3S_AD(22)

C417
0.01uF_16v

2
2
0.47uF_6.3v
0.47uF_6.3v
0.47uF_6.3v

1 R5975

150K_5%

PCI_3S_RST#

C418

1uF_6.3v CLK_R3S_CBPCI
PCI_3S_PME#
PCI_3S_CLKRUN#

14R562 1
3534-,38-,40-,51-

U5057
1

1 C5069
2

0.1uF_16v

R59

114

GND

OUT

IN

OUT

IN

OUT

EN

OC#

2
10uF_16v

54

8
20
35
47
61
80
93
94
115
128

GND1
GND2
GND3
AD31
GND4
AD30
GND5
AD29
GND6
AD28
GND7
AD27
GND8
AD26
GND9
AD25
GND10
AD24
AD23
98
AGND1
AD22
101
AGND2
AD21
105
AGND3
AD20
109
AD19
AGND4
AD18
AD175-,10-,12-,13-,14-,15-,19-,20-,25-,26-,30-,31-,32-,33-,34-,35-,36-,37-,38-,40-,41-,46-,47-,50-,51-,52-,54AD16
+V3S
AD15
AD14
AD13
AD12
AD11
1 R5678 2
77
AD10
HWSPND#
10K_5%
AD9
AD8
AD7
AD6
AD5
AD4
AD3
AD2
34-,38-,40-,5176
PCI_3S_SERIRQ
AD1
UDIO0_SRIRQ#
4675
SCEXTCK
AD0
UDIO1
74
PAR
UDIO2
4673
UDIO3
UDIO3
C_BE3#
4672
UDIO4
UDIO4
C_BE2#
4671
UDIO5
UDIO5
C_BE1#
C_BE0#
IDSEL
REQ#
GNT#
FRAME#
IRDY#
TRDY#
DEVSEL#
STOP#
PERR#
SERR#

INTA#
INTB#

112

35-

PCI_3S_INTA#

113

35-

PCI_3S_INTB#

GBRST#
PCIRST#
PCICLK
PME#

TEST

81

CLKRUN#

RICOH_R5C835_TQFP_128P

R5779
100K_5%

5-,10-,12-,13-,14-,15-,19-,20-,25-,26-,30-,31-,32-,33-,34-,35-,36-,37-,38-,40-,41-,46-,47-,50-,51-,52-,54-

8
7
6

1
2

GMT_G545B1P8U_MSOP_8P
SDPWR0_MMCPWR0_MSPWR_XDPWR

78

+V3S

5-,10-,12-,13-,14-,15-,19-,20-,25-,26-,30-,31-,32-,33-,34-,35-,36-,37-,38-,40-,41-,46-,47-,50-,51-,52-,54-

117
2 0_5%

0_5%

+V3S_MC
+V3S

82
116

35-

C5676

VCC_ROUT1
VCC_ROUT2
VCC_ROUT3
VCC_ROUT4
VCC_ROUT5

1
2

X5000
4

1 C454
2

VDD

OUT

OE

GND

46-

SCEXTCK

0_5%_OPEN

INVENTEC

R58

2
2

SC_RST

50-

5250

R57

UDIO5
XDALE

5249

0.1uF_16v C5417

SC_CLK

SCVCC5EN#
SCVCC3EN#
SCSENS
SC_CD#
SC_DATA
1
2

48

100uF_16v C5283

C5683 1
2
0.01uF_16v

MDIO19
VCC_SC

121
122
123
124
125
126
127
1
4
5
7
9
10
12
13
14
27
28
29
30
31
32
34
36
39
40
41
42
43
44
45
46
25
2
15
26
37
3

+V3S

90

11
33
59
91
111

C5750
1

VCC_RIN

C5631

C5632
1

79

2
0.01uF_16v

VCC_MD

PCI_3S_AD(31:0)

SC_VCC

1 C5480

C5633
1

1 R5717 2

106

92

5-,10-,12-,13-,14-,15-,19-,20-,25-,26-,30-,31-,32-,33-,34-,35-,36-,37-,38-,40-,41-,46-,47-,50-,51-,52-,54-

TPAN0

VCC_3V

VCC_PCI1
VCC_PCI2
VCC_PCI3
VCC_PCI4

PCI / OTHER

24.576MHZ

C5456

0.1uF_16v

30MHz

TITLE

Absolut
CARDBUS CONTROLLER

SIZE CODE

46-,52-

A3
CHANGE by

Smit Wu

3-Dec-2007

CS
SHEET

DOC. NUMBER

REV

Model_No
46

OF

AX1
60

+V5S

5-,12-,13-,19-,30-,31-,32-,34-,36-,38-,39-,40-,41-,42-,43-,47-,50-,53-

4747-

+V3S_ACL_IO

5-,10-,12-,13-,14-,15-,19-,20-,25-,26-,30-,31-,32-,33-,34-,35-,36-,37-,38-,40-,41-,46-,47-,50-,51-,52-,54-

+V3S_ACL

+V3S

U37 must be placed in the center of the system


35-

ACCEL_INT#

19-,30-,34-

ICH_3S_SMDATA
ICH_3S_SMCLK

1 R5963 2

19-,30-,34-

10K_5%
47-

8
12
13
14
7
9
2

+V3S_ACL_IO

INT_1
SDO
SDA_SDI_SDO
SCL_SPC
CS
INT_2

VDD_IO
VDD
RESERVED
RESERVED

GND
GND
GND
GND

(20/5)

1 C5223

U37

2 0.1uF_16v

6
3
11

R6144
47K_5%_OPEN

ODD_DET#

35-

CN21

1 C5801

4
5
10

2 0.1uF_16v

ST_LIS302DL_TR_LGA_14P

475-,10-,12-,13-,14-,15-,19-,20-,25-,26-,30-,31-,32-,33-,34-,35-,36-,37-,38-,40-,41-,46-,47-,50-,51-,52-,54-

SATA_C_RXP1
SATA_C_RXN1

3333-

SATA_C_TXN1
SATA_C_TXP1

3333-

C5225
C5224
0.01uF_16v
0.01uF_16v
1 2
1 2

+V3S_ACL
+V3S_ACL

+V3S

+V3S_ACL_IO

471

R100

GND
GND
MD
+5V
+5V
DP
GND
B+
BGND
AA+
GND

G
G

G1
G2

ALLTOP_C18502_11305_L_13P

471

0_5%

SATA_RXP1
SATA_RXN1

P6
P5
P4
P3
P2
P1
S7
S6
S5
S4
S3
S2
S1

R94

0_5%

C5727 1

C115

1 C5728

2
2
1uF_6.3v 10uF_6.3v 1uF_6.3v

D5052
3

SATA ODD CNTR

CHENMKO_BAT54_3P

HARDDRIVE PROTECTION
CN6130
SATA_C_TXP0
SATA_C_TXN0

SATA_C_RXN0
SATA_C_RXP0

1
2
3
4
5
6
7
8
9
10
11
12
13
14
15
16
17
18
19
20
21
22

33333333-

C775

0.01uF_16v

1 2
1 2 0.01uF_16v
CLOSE TO SATA CONN

SATA_RXN0
SATA_RXP0

C774

5-,6-,7-,11-,33-,38-,39-,52-,54-

+V3AL

+V5S

1
1

R6031
10K_5%_OPEN

5-,12-,13-,19-,30-,31-,32-,34-,36-,38-,39-,40-,41-,42-,43-,47-,50-,53-

GPIO33

1K_5%

Q5113 3
D
1G
1

2
38-,54-

33-

BAT_GRNLED#

1 R6032 2

R6033
100K_5%_OPEN

R6071
0_5%

SSM3K7002F 2
Q5115 3
D
1G

GND
A+
AGND
BB+
GND
V3.3
V3.3
V3.3
GND
GND
GND
V5
V5
V5
GND
RESERVED
GND
V12
V12
V12

G
G

G1
G2

FOX_LD2722H_S05T_DIP_22P

SSM3K7002F_OPEN 2

SATA HDD CNTR

INVENTEC

AMT ME Override Function

TITLE

Absolut

HD PORTECTION/AMT ME/SATA
SIZE CODE

A3
CHANGE by

Smit Wu

3-Dec-2007

CS
SHEET

DOC. NUMBER

REV

Model_No
47

OF

AX1
60

7-,10-,12-,13-,18-,31-,34-,35-,36-,44-,45-,48-,49-,50-,51-,53-,54-

+V5A

+V3A

R5582 2

1uF_10v

0.1UF_16V
C5778

PMV65XP

2
C5789 1

0.01uF_16v2

C128

C5522

USB_P10USB_P10+

1 R6038 2

CN19
1
2
G G1
3
G G2
4

R63
10K_5%

C5793

Q5089
1

2 0.1uF_16v_OPEN

ACES_85205_0400_4P

R5583
10K_5%

34-

FPR_OFF

C5671

1
2

4.7uF_6.3v

C5010
0.1UF_16V

3434-

USB_P8USB_P8+

1 R5020 2

220K_5%

Camera_OFF#

1
2
3
4

3434-

47K_5%
1

2 4.7uF_6.3v 2 0.1UF_16V

7-,10-,12-,13-,18-,31-,34-,35-,36-,44-,45-,48-,49-,50-,51-,53-,54-

+V3A

PMV65XP

C127
100K_5%

Q59

1G

7-,8-,9-,10-,11-,12-,13-,31-,36-,48-,52-

Q58 3
D

CN18
G2
4
G
3
G G1
2
1

ACES_87212_0400_4P

1G

34-

4
3
2
1

SSM3K7002F 2

FINGER PRINT CNTR


CAMERA CNTR

+V5A
7-,8-,9-,10-,11-,12-,13-,31-,36-,48-,52-

USB_3_VCC3
C3045
0.1uF_16v

U30

1
2

(20/5)

2
3

7-,10-,12-,13-,18-,31-,34-,35-,36-,44-,45-,48-,49-,50-,51-,53-,54-

+V3A

Q5108
2
3

OC#

C5600
1 C3047
2 100uF_16v 2 0.1uF_16v

6
5

USB_3_VCC3

C788

2
0.1uF_16v

C5249

CN6110

USB_P6+
USB_P6LED_BLUETOOTH

343454-

BT_COMBO#

33-

48-

220K_5%

BLUETOOTH CNTR

48-

C5573
4.7uF_6.3v

48-

(20/5)

USB_3_VCC3

CMD_1213_02ST_SOT23_5P_OPEN

Vp

EN

1
2
3
4
5
6
7
8

G G1
G G2

USB_P0-

34-

USB_P0+

34-

L11
4

0.1uF_16v

C5250

1000pF_50v

CN13
1
VCC
2
D3
D+
4
G

CH2

1
2
3
4
5
6
7
8

D5048 5

OUT

CH1

OUT

IN

Vn

C5794

2 0.1uF_16v_OPEN
PMV65XP

IN

GMT_G545B1P8U_MSOP_8P

34-,52-

OUT

USB_L_P0-

R804
34-

10K_5%

BT_OFF

S4_STATE#
BLUETOOTH_VCC

R805

GND

USB_L_P0+

G
G
G
G

G1
G2
G3
G4

SYN_020167MR004S511ZR_4P

WCM_2012_900T
Close to USB CON

ACES_87212_0800_8P

USB CNTR

INVENTEC
TITLE

Absolut

CAMERA /FP /BT / USB CNTR


SIZE CODE

A3
CHANGE by

Smit Wu

3-Dec-2007

CS
SHEET

DOC. NUMBER

REV

Model_No
48

OF

AX1
60

7-,10-,12-,13-,18-,31-,34-,35-,36-,44-,45-,48-,49-,50-,51-,53-,541 R6063 2

+V3A

10K_5%_OPEN
3 Q6
PMV65XP

R17
470K_5%

WLAN_OFF

38-

R867

+V1.5S

7-,10-,12-,13-,18-,31-,34-,35-,36-,44-,45-,48-,49-,50-,51-,53-,54-

0_5%
9-,12-,17-,25-,30-,36-,50-

C34
22uF_6.3v
1

C816

2 0.1uF_16v2

1
2

C812
0.1uF_16v

1 R26

0.1uF_16v
C813
1
1

2 C35

0.1uF_16v
C814

10K_5%_OPEN

4.7uF_6.3v
1

CN2
34-,50-

PCIE_WAKE#

10K_5%
CLKREQ_MINI2#

14-

CLK_R_PCIE_MINI2#
CLK_R_PCIE_MINI2

1414-

CLK_R3S_MINICARD

14-

PCIE_C_RXN2
PCIE_C_RXP2

3434-

PCIE_C_TXN2
PCIE_C_TXP2

3434-

CL_CLK1
CL_DATA1
CL_RST#1

343434-

R845
R844
R843

1
1
1

2
2
2

0_5%
0_5%
0_5%

R24

1
3
5
7
9
11
13
15
17
19
21
23
25
27
29
31
33
35
37
39
41
43
45
47
49
51
G1

WAKE#
Reserved
Reserved
CLKREQ#
GND
REFCLKREFCLK+
GND
Reserved
Reserved
GND
PERn0
PERp0
GND
GND
PETn0
PETp0
GND
Reserved
Reserved
Reserved
Reserved
Reserved
Reserved
Reserved
Reserved
G

3.3V
GND
1.5V
Reserved
Reserved
Reserved
Reserved
Reserved
GND
Reserved
PERST#
+3.3Vaux
GND
1.5V
SMB_CLK
SMB_DATA
GND
USB_DUSB_D+
GND
LED_WWAN#
LED_WLAN#
LED_WPAN#
1.5V
GND
3.3V
G

2
4
6
8
10
12
14
16
18
20
22
24
26
28
30
32
34
36
38
40
42
44
46
48
50
52
G2

+V3A

D9
3

R25
10K_5%

2
34-

XMIT_OFF#

CHENMKO_BAT54_3P

R810

2 0_5%
35-,38-,40-,51-

C815 1
2
0.1uF_16v

54-

BUF_PLT_RST#

C33

2 22uF_6.3v

LED_WLAN_LINK#

TYCO_1720007_1_52P

WLAN CNTR

INVENTEC
TITLE

Absolut
WLAN CNTR

SIZE CODE

A3
CHANGE by

Smit Wu

3-Dec-2007

CS
SHEET

REV

DOC. NUMBER

Model_No
49

OF

AX1
60

L3004
1

C634

1 C653

11
13
15
6
4
3
2
1

4646-

SCVCC3EN#
SCVCC5EN#

4
0.1uF_10v

CN11
G1
GND
G2
GND
G3
GND
G4
GND

U5059

1uF_35v

1uF_35v

VCC3IN

VCCOUT
VCCOUT
VCCOUT

VCC5IN
VCC5IN

VPPOUT
NC
NC
NC

EN1
EN0

FLG
GND

VCC3_EN
VCC5_EN

14
12
9

C5708

CAVPP
50-

1
2
3
4

14
2
3
4

TPB0-_L
TPB0+_L
TPA0-_L
TPA0+_L

R70

Layout notes:
1394
GND

56.2_1%

46-,50-

0.33uF_10v

+V5S

R71

SC_VCC

+V3S
5-,12-,13-,19-,30-,31-,32-,34-,36-,38-,39-,40-,41-,42-,43-,47-,53-

56.2_1%

C380

5-,10-,12-,13-,14-,15-,19-,20-,25-,26-,30-,31-,32-,33-,34-,35-,36-,37-,38-,40-,41-,46-,47-,50-,51-,52-,54-

0.01uF_16v

C5138

Should be placed close to R5C835.

TPB04646464646-

WCM_2012_900T

SYN_020015FR004S500ZL_4P

L3049
1

TPB0+

TPBIAS0
TPB0TPB0+
TPA0TPA0+

GND
TPA0-

TPA0-

10
7

1
2

5
16

C5709

WCM_2012_900T

0.1uF_10v

56.2_1%

Should be placed close to CN11.

RICOH_R5531V002_SSOP_16P

R78

56.2_1%
1 R72 2

R461

GND

5.1K_1%
C379
1 2
270pF_50v

1394 CNTR

+V1.5_EXP
+V3AUX_EXP

CAVPP

50-

5050-

SC_VCC
46-,50-

C5624 1

CPPE
CPUSB
PERST
GND
SYSRST

10
509
50508
7
6 20-,35-

CPPE#_EXP
CPUSB#_EXP
PERST#_EXP

PERST#_EXP
PCIE_WAKE#

PCSPKC#_3 GMT_G577R9U_TQFN_20P

CLK_R_PCIE_EXP
CLK_R_PCIE_EXP#

1414-

CPPE#_EXP
CLKREQ#_EXP

5014-

5034-,49-

1 R6092 2

SC_CD#

46-

50-

5-,7-,8-,9-,12-,13-,34-,38-,43-,53-

0.1UF_16V
1 C5254

+V3S
5-,10-,12-,13-,14-,15-,19-,20-,25-,26-,30-,31-,32-,33-,34-,35-,36-,37-,38-,40-,41-,46-,47-,50-,51-,52-,54-

1 C5261

3434-

0_5%

+V3_EXP
SLP_S3#_3R

PCIE_C_RXP3_EXP
PCIE_C_RXN3_EXP

PLT_RST#

1
2
3
4
5

0.1UF_16V 2 4.7uF_6.3v 2

NC
AUXIN
RCLKEN
OC
SHDN
TML-PAD

STBY

C5256 1

16
17
18
19
20
21

3434-

1 C5619

2 0.1UF_16V 2 4.7uF_6.3v

1 C5264

1 C5088

2 0.1UF_16V

2 10uF_6.3v

21
22
23
24
25
26
27
28
29
30
31
32
33
34
35
36
37
38
39
40

1
2

1
2

1N4148

CN6118
21
1
22
2
23
3
24
4
25
5
26
6
27
7
28
8
29
9
10 30
11 31
12 32
13 33
14 34
15 35
16 36
17 37
18 38
19 39
20 40

SCSENS

1
15K_1%_OPEN

+V3S

2
2
46-

SC_RST

4646-

SC_CLK
SC_DATA

R5797
0_5%_OPEN 2

503434-

FOX_QT510406_1000E_7F_40P

1 C5705

1 C5706

1 C5707

2
12pF_50v

2
12pF_50v

2
12pF_50v

CPUSB#_EXP
USB_P2+
USB_P2-

R5339
0_5%_OPEN 2

46-

C5255
0.1UF_16V 2
1 C5257
2 0.1UF_16V

EXPRESS CARD & SMART CARD

5-,10-,12-,13-,14-,15-,19-,20-,25-,26-,30-,31-,32-,33-,34-,35-,36-,37-,38-,40-,41-,46-,47-,50-,51-,52-,54-

15
14
13
12
11

7-,10-,12-,13-,18-,31-,34-,35-,36-,44-,45-,48-,49-,51-,53-,54-

1.5VIN
1.5VOUT
1.5VIN
1.5VOUT

AUXOUT

U23

3.3VIN
3.3VOUT
3.3VIN
3.3VOUT

+V3A

1
40
2
3
4
5
6
7
8
9
10
11
12
13
14
15
16
17
18
19
20

2 R5893 1

10K_5%

1 C5669

2 0.1UF_16V 2 10uF_6.3v
PCIE_C_TXP3_EXP
PCIE_C_TXN3_EXP

D5011 R5869

D3002 D5017 R5868

1N4148

1 C5263

1 C5260

2 10uF_6.3v 2 0.1UF_16V

R393

0_5%_OPEN

50-

15K_1%_OPEN

1 C5615

50-

1 R6134 2

1N4148

9-,12-,17-,25-,30-,36-,49-

50-

+V3_EXP

+V1.5_EXP

15K_5%

+V1.5S

+V3AUX_EXP

PIN definition of CN6118


1. GND
2. PETp0
3. PETn0
4. GND

21. GND_SC
22. NC_SC
23. VCC_SC
24. RST_SC
25. VPP_SC
26. CLK_SC
27. IO_SC
28. RES_SC
29. RES_SC
30. SMBDATA
31. SMB_CLK
32. RESERVED
33. RESERVED
34. CPUSB#
35. GND
36. USB+
37. USB38. GND
39. +1.5V

5. PERp0
6. PERn0
7. GND
8. REFCLK+
9. REFCLK10. GND
11. CPPE#
12. CLKREQ#
13. +3.3V
14. +3.3V
15. PERST#
16. WAKE#
17. NC
18. +3.3VAUX
19. SW_SC
20. GND_SC

40. +1.5V

INVENTEC
TITLE

Absolut

1394/EXPRESS CARD/ SC CNTR


SIZE CODE

A3
CHANGE by

Smit Wu

3-Dec-2007

CS
SHEET

DOC. NUMBER

REV

Model_No
50

OF

AX1
60

5-,10-,12-,13-,14-,15-,19-,20-,25-,26-,30-,31-,32-,33-,34-,35-,36-,37-,38-,40-,41-,46-,47-,50-,51-,52-,54-

+V3S

20mil
1
2

CN6101
HDA_3S_SDOUT_MDC

33-

HDA_3S_SYNC_MDC
HDA_3S_SDIN1

3333-

R5642 1

33_5%

1
3
5
7
9
11
G1
G2
G3

MDC_AZ_3S_SDIN1

+V3S

GND
Azalia_SDO

REVERSED
REVERSED
3.3Vmain-aux
GND
Azalia_SYNC
GND
Azalia_SDI
GND
Azalia_RST# Azalia_BCLK
G
G
G
G
G
G

2
4
6
8
10
12
G4
G5
G6

C5546
0.1uF_16v

1 C5667
10uF_6.3v

DGND_AB
33-

HDA_3S_BITCLK_MDC

TYCO_1_1775014_2_12P

5-,10-,12-,13-,14-,15-,19-,20-,25-,26-,30-,31-,32-,33-,34-,35-,36-,37-,38-,40-,41-,46-,47-,50-,51-,52-,54-

MDC_DIS#

35-

1 5

HDA_3S_RST#_MDC

33-

2
3

DGND_AB

U25
4

DGND_AB

NC7SZ08M5

MDC CNTR

DGND_AB

7-,10-,12-,13-,18-,31-,34-,35-,36-,44-,45-,48-,49-,50-,53-,54-

+V3A

1
5-,10-,12-,13-,14-,15-,19-,20-,25-,26-,30-,31-,32-,33-,34-,35-,36-,37-,38-,40-,41-,46-,47-,50-,51-,52-,54-

+V3S

C818
0.1uF_16v
5-,10-,12-,13-,14-,15-,19-,20-,25-,26-,30-,31-,32-,33-,34-,35-,36-,37-,38-,40-,41-,46-,47-,50-,51-,52-,54-

U24

21

LPC_3S_FRAME#
BUF_PLT_RST#
SUS_STAT#
PCI_3S_SERIRQ
PCI_3S_CLKRUN#

22

33-,38-,4035-,38-,40-,493434-,38-,40-,4634-,38-,40-,46-

R830
0_5%

16
28

LFRAME#
LRESET#
LPCPD#

27
SERIRQ
15
CLKRUN#
9
8

VDD
VDD
VDD

LCLK

TESTBI_BADDR
TESTI

GND
GND
GND
GND
PP
NC
NC
NC
XTALI
XTALO
GPIO
GPIO2

+V3S

4
11
18
25
7
1
3
12
13
14
6
2

INF_SLB9635TT_TSSOP_28P

1
2

C821

1
2

0.1uF_16v

C819

0.1uF_16v

C820
0.1uF_16v

1 R3052 2

OPEN
C805
1

14-

10
19
24

CLK_R3S_TPM

VSB

R467
10M_5%

1 2
10pF_50v
X4
32.768KHZ_VAIL

26
LAD0
23
LAD1
20
LAD2
17
LAD3

LPC_3S_AD(0)
LPC_3S_AD(1)
LPC_3S_AD(2)
LPC_3S_AD(3)

33-,38-,4033-,38-,4033-,38-,4033-,38-,40-

C804
1 2
10pF_50v

TPM
INVENTEC
TITLE

Absolut

MDC / TPM
SIZE CODE
DOC. NUMBER

A3
CHANGE by

Smit Wu

3-Dec-2007

CS
SHEET

REV

Model_No
51

OF

AX1
60

+V3S_MC
5-,10-,12-,13-,14-,15-,19-,20-,25-,26-,30-,31-,32-,33-,34-,35-,36-,37-,38-,40-,41-,46-,47-,50-,51-,54-

+VCC_OP1

+V3S

CN6129

XDALE
XDCLE
MMCDAT7_XDCDAT7
MMCDAT6_XDCDAT6
MMCDAT5_XDCDAT5
MMCDAT4_XDCDAT4
SDCDAT3_MMCDAT3_MSCDAT3_XDCDAT3
SDCDAT2_MMCDAT2_MSCDAT2_XDCDAT2
SDCDAT1_MMCDAT1_MSCDAT1_XDCDAT1
SDCDAT0_MMCDAT0_MSCDAT0_XDCDAT0

46464646464646464646-

SDCCLK_MMCCLK_MSCCLK_XDRE#

46-

SDCCMD_MMCCDM_MSBS_XDWE#

46-

SDLED#_MMCLED#_MSLED#_XDLED#
SDPWR1_MMCPWR1_XDWP#

4646-

1
2
3
4
5
6
7
8
9
10
11
12
13
14
15
16
17
18
19
20

1
2
3
4
5
6
7
8
9
10
11
12
13
14
15
16
17
18
19
20

40
39
38
37
36
35
34
33
32
31
30
29
28
27
26
25
24
23
22
21

5-,6-,7-,11-,33-,38-,39-,47-,52-,54-

42-

40
39
38
37
36
35
34
33
32
31
30
29
28
27
26
25
24
23
22
21

+V3AL
+V3AL

43-

HP_JS

5-,6-,7-,11-,33-,38-,39-,47-,52-,54-

43-

HP_OUT_R1
43-

HP_OUT_L1

41-

4646464646-

+V3AL

MIC_SENSE MIC_BIAS_B

41-

A_MIC2

41-

A_MIC1

R5894
5.1K_5%

SDCD#_MMCD#_XDCD0#
MSCD#_XDCD1#
XDCE#
SDWP#_XDR_B#
SDPWR0_MMCPWR0_MSPWR_XDPWR

ACES_88361_4071_40P

5-,6-,7-,11-,33-,38-,39-,47-,52-,54-

41-

STBY_LED#

53-,54-

U5042
4

PHP_74LVC1G17_SOT753_5P

XRES_SW
WL_LED_ALL#

3854-

I2C_CLK
I2C_DAT
I2C_INT

383838-

R6040

5.1K_5%

R5977

10K_5%_OPEN

2
3

PWR_SWIN#_3
LID_SW#_3

38-,53-,5431-,34-,381

CN38
1
12 1
2 2
3 3
4 4
5 5
6 6
7 7
8 8
9 9
10 10
11 11
12
BLON
12
ACES_88141_1214N_10_12P

R631
10K_5%
AUDIO_GND

AUDIO_GND

7IN1 MEDIA SOCKET / AUDIO JACK - BOARD CNTR


CAP SENSE - BOARD CNTR

7-,8-,9-,10-,11-,12-,13-,31-,36-,48-

+V5A
1
30

+V1.8S

ESATA_C_TXP

33-

ESATA_C_TXN

33-

ESATA_C_RXP

33-

ESATA_C_RXN

12-,13-,30-

S4_STATE#
34-,48-

USB_P5USB_P5+

3434-

USB_P4-

34-

USB_P4+

34-

USB_P3-

34-

33-

USB_P3+

34-

USB_P1-

34-

USB_P1+

34-

2
3
4
5
6
7
8
9
10
11
12
13
14
15
16
17
18
19
20
21
22
23
24
25
26
27
28
29
30

CN12
1
2
3
4
5
6
7
8
9
10
11
12
13
14
15
16
17
18
19
20
21
22
23
24
25
26
27 G G1
28 G G2
29
30

ACES_88328_3001_30P

INVENTEC
TITLE

USB/ESATA - BOARD CNTR

Absolut
DB CNTR

SIZE CODE

A3
CHANGE by

Smit Wu

3-Dec-2007

CS
SHEET

DOC. NUMBER

REV

Model_No
52

OF

AX1
60

+VADP
5-,13-

1 C201

45-

CRT_BUF_VSYNC
CRT_BUF_HSYNC
CRT_Q_DDCDATA
CRT_Q_DDCCLK

30-

TMDS_HPD

31313131-

CRT_R_R
CRT_R_G
CRT_R_B

R5207
100K_5%

535353-

LINE_IN_SENSE
ACOCP_EN#
LED_3S_SATA#

LPT_5S_PD(7:0)

411333-,54-

UART_3S_DCD#
UART_3S_RI
UART_3S_DTR#
UART_3S_CTS
UART_3S_RTS#
UART_3S_DSR#
UART_3S_TXD
UART_3S_RXD

4040404040404040-

LPT_5S_STRB#
LPT_5S_ALF#
LPT_5S_ERROR#

40404040404040-

USB_P9-

34-

USB_P9+

34-

USB_P11-

34-

USB_P11+

34-

SER_SHD
EXPCRD_RST#
DETECT1#

404053-

46
47
48
49
50
51
52
53
54
55
56
57
58
59
60
61
62
63
64
65
66
67
68
69
70
71
72
73
74
75
76
77
78
79
80
81
82

53-

G2
R

40-

LPT_5S_PD(7)
LPT_5S_PD(6)
LPT_5S_PD(5)
LPT_5S_PD(4)
LPT_5S_PD(3)
LPT_5S_PD(2)
LPT_5S_PD(1)
LPT_5S_PD(0)

LPT_5S_ACK#
LPT_5S_BUSY
LPT_5S_PE
LPT_5S_SLCT

LPT_5S_SLCTIN#
LPT_5S_INIT#

4040-

SATA_C_TXP5

33-

SATA_C_TXN5

33-

SATA_C_RXP5

33-

SATA_C_RXN5

33-

RING

G3
G4
G5
G6
G7
G8

AGND
TV_COMP
TV_CHROMA
TV_LUMA
GND
1394TPAP1
UNUSABLE
1394TPAN1
GND
1394TPBP1
UNUSABLE
1394TPBN1
GND
PR_DCD#
PR_RI
PR_DTR#
PR_CTS
PR_RTS#
PR_DSR#
PR_SOUT
PR_SIN
GND
PPT_STB#
PPT_AFD#
PPT_ERR#
PPT_ACK#
PPT_BUSY
PPT_PE
PPT_SLCT
PPT_PD7
PPT_PD6
PPT_PD5
PPT_PD4
PPT_PD3
PPT_PD2
PPT_PD1
PPT_PD0
PPT_SLIN#
PPT_INIT#
GND
RFU
RFU
RFU
RFU
RFU
RFU
RFU
RFU
RFU
RFU
GND
USB4UNUSABLE
USB4+
GND
USB3UNUSABLE
USB3+
GND
RESERVED
PCIEXP_RESET#
DETECT2#
GND
RING
G3
G4
G5
G6
G7
G8

GND
GND
DVI_CLKUNUSABLE
DVI_CLK+
GND
GND
DVI_D0UNUSABLE
DVI_D0+
GND
RFU
RFU
RFU
RFU
RFU
RFU
RFU
RFU
RFU
RFU
RFU
RFU
RFU
RFU
RFU
RFU
GND
PR_KB_DATA
PR_KB_CLK
CLKREQ#
PR_MS_DATA
PR_MS_CLK
PR_HPSENSE#
AUDIO_AGND
LINEIN-L
LINEIN-R
AUDIO_AGND
LINEOUT-L
LINEOUT-R
AUDIO_AGND
GND
GND
PCIEXP-TX1+
NC
PCIEXP-TX1GND
GND
PCIEXP-RX1+
NC
PCIEXP-RX1GND
GND
REFCLK+
NC
REFCLKGND
PREP#
VA_ON#
+5VS
TIP
G9
G10
G11
G12
G13
G14

4545-

D+_DK
D-_DK

4545-

RD+_DK
RD-_DK

VGA_R_B

5334-

LED_3_PWR
SLP_S5#_3R
TMDS_D_DDCCLK
TMDS_D_DDCDATA

1
Vcc

30-

TMDS_TX2-

30-

TMDS_TX2+

GND

34-,45-,53-

TMDS_TX1-

30-

TMDS_TX1+

30-

TMDS_TXC-

31-

L33

Dock connector
U47

2
3

Vcc

30-

TMDS_TXC+

30-

TMDS_TX0-

GND

128
129
130
131
132
133
134
135
136
137
138
139
140
141
142
143
144
145
146
147
148
149
150
151
152
153
154
155
156
157
158
159
160
161
162
163
164

+V5S

TMDS_D_TX5TMDS_D_TX5+
TMDS_D_TX4TMDS_D_TX4+

30-

TMDS_D_TX3-

30-

TMDS_D_TX3+

14-

VGA_R_R

ISO_PREP#

4141-

3838-

PCIE_C_TXP5
PCIE_C_TXN5

34-

PCIE_C_RXP5

34-

PCIE_C_RXN5

14-

CLK_R_DOCK_REF

C5235
0.1uF_16v

OE

30-

CRT_R

C5238
27pF_50v
Next to MXM connector

34-,45-,53-

ISO_PREP#

R5215
10K_5%
KB_5S_DATA
KB_5S_CLK

LED_3_PWR

53-

3D

EM_5S_DATA
EM_5S_CLK
PR_HPSENSE#

Q68
G

SSM3K7002F

52-,54-

STBY_LED#

2
5-,7-,8-,9-,12-,13-,34-,38-,43-,50PCSPKC#_3

C5239

SLP_S3#_3R

TIP
RING

C104

5353-

CLK_R_DOCK_REF#

R5210
150_1%
2

GND

L31

CN36
G G1
1
2 2
G G2

21

ACES_87212_02_2P

PREP#

TIP

2 100pF_50v

AUDIO_GND

Vcc

HLC0805CSCC68NJT_68nH

PR_AOUT_L_DOCK
PR_AOUT_R_DOCK

34-

G9
G10
G11
G12
G13
G14

JAE_SP03_14588_PCL03_164P

7-,10-,12-,13-,18-,31-,34-,35-,36-,44-,45-,48-,49-,50-,51-,54-

A_LINEINL
A_LINEINR

34-

34-,45-

53-

L32

C5231
OPEN

14-

P2
T

470pF_50v

4141-

27pF_50v

+V3A

43-

CRT_G

FAIR_FSA66P5X_SC70_5P

3838-

1 2

31-

CPPE#

C5230

30-

Next to MXM connector


OE

34-,45-,53-

Located near +V5S


Dock connector
U46
1

LIMIT_SIGNAL

30-

L34

HLC0805CSCC68NJT_68nH

HLC0805CSCC68NJT_68nH

TMDS_TX0+

30-

C5237

5-,12-,13-,19-,30-,31-,32-,34-,36-,38-,39-,40-,41-,42-,43-,47-,50-,5353-

CRT_R_R

30-

27pF_50v

FAIR_FSA66P5X_SC70_5P

30-

30-

CRT_B

ISO_PREP#

HLC0805CSCC68NJT_68nH

2 1K_5%

30-

Next to MXM connector


OE

Located near
535-,12-,13-,19-,30-,31-,32-,34-,36-,38-,39-,40-,41-,42-,43-,47-,50-,53- +V5S

VGA_R_G

30-

L36

HLC0805CSCC68NJT_68nH

CRT_R_G

5-,13-

C5236

FAIR_FSA66P5X_SC70_5P

3030-

0_5%

31-

5-,12-,13-,19-,30-,31-,32-,34-,36-,38-,39-,40-,41-,42-,43-,47-,50-,53-

LED_LANACT#_DOCK

100pF_50v 2

DETECT1#

1K_1%

4545-

L35

U48

1K_1%

TD+_DK
TD-_DK

53-

R5214

4545-

83
84
85
86
87
88
89
90
91
1
92
R5208
93
94
95
96
97
98
99
100
101
102
103
104
105
106
107
108
109
110
111
112
113
114
115
116
117 R5209 1
118
119
120
121
122
123
124
125
126
127

HLC0805CSCC68NJT_68nH

5-,12-,13-,19-,30-,31-,32-,34-,36-,38-,39-,40-,41-,42-,43-,47-,50-,53+V5S

R5213

C+_DK
C-_DK

45-

DETECT1#
RJ45_GND
RJ45_D+
RJ45_DRJ45_GND
RJ45_RX_B+
RJ45_RX_BRJ45_GND
PWRLED
S5#
GND
DVI_DDC_CLK
DVI_DDC_DATA
GND
GND
DVI_D2UNUSABLE
DVI_D2+
GND
GND
DVI_D1UNUSABLE
DVI_D1+

P1

Dock connector

53-

CRT_R_B

1K_1%

LED_LANLINK#_DOCK
C5226

NBSWON#
RJ45_GND
RJ45_C+
RJ45_CRJ45_GND
RJ45_TX_A+
RJ45_TX_ARJ45_GND
RJ45_ACTLED#
RJ45LILED#
GND
CRTVS
CRTHS
CRT_DDC_DATA
CRT_DDC_CLK
HPD
AGND
CRT-R
CRT-G
CRT-B

18.5V

Located near

100pF_50v

1K_1%

PWR_SWIN#_3

1
2
3
4
5
6
7
8
9
10
11
12
13
14
15
16
17
18
19
20
21
22
23
24
25
26
27
28
29
30
31
32
33
34
35
36
37
38
39
40
41
42
43
44
45

GND

C5229

R5211

38-,52-,54-

2 0.1uF_25v 2

CN8
G1

R5212

100pF_50v C5227
2 1

2
10uF_6.3v

1
2

C5234
0.01uF_16v

To maintain 3.2 mm
spacing to all signals and plane layers

5-,12-,13-,19-,30-,31-,32-,34-,36-,38-,39-,40-,41-,42-,43-,47-,50-,53-

+V5S

INVENTEC

C5233

TITLE

0.1uF_16v

Absolut
DOCKING CONN

SIZE CODE

A3
CHANGE by

Smit Wu

3-Dec-2007

CS
SHEET

DOC. NUMBER

REV

Model_No
53

OF

AX1
60

+V3S

+V3S
5-,10-,12-,13-,14-,15-,19-,20-,25-,26-,30-,31-,32-,33-,34-,35-,36-,37-,38-,40-,41-,46-,47-,50-,51-,52-,54-

+V3S

2
52-

D7005
HT_191UY

SSM3K7002F 2

R507
100K_1%

EVL_21SUYC

Q5102 3
D
1G

48-

R848
270_5%

WL_LED_ALL#
D5016

LED_BLUETOOTH

R847
270_5%

49-

LED_WLAN_LINK#

R9061
270_5%

R555
270_5%
CHENMKO_BAT54_3P
D5021
3
1

5-,10-,12-,13-,14-,15-,19-,20-,25-,26-,30-,31-,32-,33-,34-,35-,36-,37-,38-,40-,41-,46-,47-,50-,51-,52-,54-

D5015
EVL_19_21_B7C_ZQ1R2_3T_2P

5-,10-,12-,13-,14-,15-,19-,20-,25-,26-,30-,31-,32-,33-,34-,35-,36-,37-,38-,40-,41-,46-,47-,50-,51-,52-,54-

D5082
EVL_YG_19_21_G6C_BM2P1B_3T

1
33-,53-

LED_3S_SATA#

2
34-

WLAN_WWAN_BLUETOOTH LED

HDD_HALTED#

HDD & ODD LED

+V3AL
5-,6-,7-,11-,33-,38-,39-,47-,52-,54-

+V3AL

1 R556

D625

STBY_LED#

+V3AL
D3001
EVL_21SUYC

5-,6-,7-,11-,33-,38-,39-,47-,52-,54-

10K_5%

BAT_AMBERLED#
1

52-,53-

R557

1
2
270_5%
EVL_YG_19_21_G6C_BM2P1B_3T

POWER / STANDBY LED

BAT_GRNLED#

38-

38-,47-

R559
270_5%
1

5-,6-,7-,11-,33-,38-,39-,47-,52-,54-

1
2
R558
D3000
EVL_YG_19_21_G6C_BM2P1B_3T 270_5%

BATTERY-CHARGE LED

+V3A
7-,10-,12-,13-,18-,31-,34-,35-,36-,44-,45-,48-,49-,50-,51-,53-

Q5014

PWR_SWIN#_3

38-,52-,53-

3
2
SSM3K7002F

34-

PWR_SWIN2#_3

INVENTEC
TITLE

Absolut
MB LEDS
LEDs

SIZE CODE

A3
CHANGE by

Smit Wu

3-Dec-2007

CS
SHEET

DOC. NUMBER

REV

Model_No
54

OF

AX1
60

S5011

S5037

S37
S35

S5045

S5040

FIX7

S5041

FIX13

FIX_MASK

FIX_MASK

FIX14

FIX9
SCREW3_7_9_1P
S5022

SCREW3_7_9_1P
S46

SCREW3.2_7_9_1P
S5023

SCREW3.8_7_6_1P

S36

SCREW3.8_7_6_1P

SCREW3.8_7_6_1P

FIX_MASK

SCREW3.8_7_6_1P

CPU
SCREW3.4_7_9_1P

SCREW3_7_9_1P

SCREW3_7_9_1P

SCREW3.2_7_9_1P

S23

S5015

S5016

S5017

S5042

SCREW1.9_6_5_1P

SCREW1.9_6_5_1P

SCREW3.6_6_5_1P

SCREW3.6_6_5_1P

SCREW3.6_6_5_1P

S5024

S5019

S5043

S5020

S7006

SCREW3.2_8_9_1P

S5025

SCREW3.2_11_9_1P

S5030

SCREW3.4_6_8_1P

S45

SCREW3.6_6_0_1P

SCREW3.2_6_1P

S5039

S5014

S5057

S5058

SCREW2.8_0_5_1P

SCREW2.8_0_5_1P

S5059

S5060

SCREW3.2_6.4_5_1P

FIX_MASK

FIX10

FIX16

FIX_MASK

FIX_MASK

FIX12

FIX18

FIX_MASK

FIX_MASK

SCREW3.2_6.4_5_1P

EXPRESS CARD
SCREW3.6_5_6_1P

SCREW3.4_7.5_9_1P

SCREW3.6_5_6_1P

SCREW3.2_6_5_1P

SCREW6_7_9_1P

S25

S5046

S7003

S7004

S7005

SCREW6_7_9_1P

SCREW3_5_9_1P

SCREW2_5_0_1P

SCREW2_5_0_1P

SCREW2_5_0_1P

S5036

S5029

SCREW3.2_7_0_1P

SCREW3_7_0_1P

1 R9176

1 R9177

0_5%_OPEN
2

0_5%_OPEN
2

For EMI test

INVENTEC
TITLE

Absolut
MB SCREW HOLE

SIZE CODE

A3
CHANGE by

Smit Wu

3-Dec-2007

CS
SHEET

DOC. NUMBER

REV

Model_No
55

OF

AX1
60

+V3AL_PWRSW
56-

+V3AL_PWRSW
561

R667

56PWR_SWIN#_3_DB
DIPTRONICS_DTSGF_62S_V_TR664_5P
SW1
2
51
5
3
4

100K_5%

LED_PWRSTBY_DB
PWR_SWIN#_3_DB

1
2
3
4

5656-

CN6145
1
2
G G1
3
G G2
4

C5029 1
1000pF_50v 2
+V3AL_PWRSW

ACES_88231_06001_4P
3

56-

PWRSW_DB_GND

2
PWRSW_DB_GND

D5003
DIODE_BAV99

PWRSW_DB_GND

POWER BUTTON

PWRSW_DB_GND

S5048

+V3AL_PWRSW

S5049

56-

D5005
SCREW2.5_5_1P

PWRSW_DB_GND

SCREW2.5_5_1P

LED_PWRSTBY_DB

PWRSW_DB_GND

56-

1 R5882 2
1
2
270_5%
EVL_YG_19_21_G6C_BM2P1B_3T

POWER / STANDBY LED

Daughter Board - Power Button

INVENTEC
TITLE

Absolut
TPM V1.2

SIZE CODE

A3
CHANGE by

Smit Wu

3-Dec-2007

CS
SHEET

REV

DOC. NUMBER

Model_No
56

OF

AX1
60

+V1.8S_DB

57-

1 C5774
USB_1_VCC1

57-

0_5%_OPEN
1 R9056 2

L2
3
USB_L_P1-

57- 1

GND S1

U2 D-

A+ S2

U3 D+

A- S3

G1
G2
G3
G4

4700pF_25v
4700pF_25v

1 2
1 2

GND S4

U4 GND

USB_L_P1+

WCM_2012_900T

C252

B- S5

GND
GND
GND
GND

B+ S6
GND S7

C5795
C5796

0.01uF_16v
1 2

0.01uF_16v

1 2

EN

EQA

VDD
AO+
AOGND
VDD
BI+
BIGND
VDD

VDD
AI+
AIGND
VDD
BO+
BOGND
EQB

1
2
3
4
5
6
7
8
9
10

30

57-

5757-

1 2
1

C254

ESATA_C_TXP_DB
+V1.8S_DB ESATA_C_TXN_DB
ESATA_C_RXP_DB
ESATA_C_RXN_DB
S4_STATE#_DB

ESATA_C_TXP_DB
ESATA_C_TXN_DB

4700pF_25v C253

5757-

5757575757-

ESATA_C_RXP_DB
ESATA_C_RXN_DB

1 2

4700pF_25v

0_5%_OPEN
R9057

PER_PI2EQX3211BHE_TQFN_20P

CLOSE TO ESATA CNTR

+V5A_DB

C5777

R6024
U1 0_5%_OPEN
20
19
18
17
16
15
14
13
12
11

C251

57-

0.01uF_16v

CN6140

USB_P1+_DB

C5776

2 0.01uF_16v

11

57- 4

ESATA CNTR
U1 VCC

USB_P1-_DB

C5775

2 0.01uF_16v 2 0.01uF_16v

TYCO_C_1909333_2_11P
1

R55

CN9
1
2
3
4
5
6
7
8
9
10
11
12
13
14
15
G1

R6025

0_5%
Note:Should be under 20mil

30
29
28
27
26
25
24
23
22
21
20
19
18
17
16
G2

30
29
28
27
26
25
24
23
22
21
20
19
18
17
16
G

10
11
12
13
14
15
G

5757575757575757-

USB_P5-_DB
USB_P5+_DB
USB_P4-_DB
USB_P4+_DB
USB_P3-_DB
USB_P3+_DB
USB_P1-_DB
USB_P1+_DB

ACES_88107_30001_30P

0_5%_OPEN

1
2
3
4
5
6
7
8
9

USB_DB_GND

USB_DB_GND
USB_DB_GND

USB_DB_GND
USB_DB_GND

USB_DB_GND

USB_2_VCC2
3
5

Vp

Vn

57-

Close to USB CON

C3016
0.1uF_16v

USB_P5+_DB

1000pF_50v

57-

57-

SCREW2.8_7_6_1P
S5055

SCREW2.8_6_1P
S5056

USB CNTR

USB_DB_GND

USB_DB_GND

USB_DB_GND

CN6137

L3
USB_P5-_DB

C3023

CH2

USB_DB_GND

SCREW2.8_7_6_1P
S5047

USB_2_VCC2

57-

CH1

D4

USB_DB_GND

USB_DB_GND

USB_L_P5USB_L_P5+
CMD_1213_02ST_SOT23_5P_OPEN

WCM_2012_900T

1
2
3
4

VCC
DD+
G

G
G
G
G

G1
G2
G3
G4

ALLTOP_C10781_104A3_L_4P
USB_2_VCC2

Vp

USB_DB_GND

57-

CH1

Vn

D3

USB_2_VCC2
57-

USB_P4+_DB

57-

57-

CH2

USB_DB_GND

CN6138

L4
USB_P4-_DB

U3

+V5A_DB

USB_DB_GND

41
2
3
4

USB_L_P4USB_L_P4+ CMD_1213_02ST_SOT23_5P_OPEN

WCM_2012_900T

VCC
DD+
G

G
G
G
G

G1
G2
G3
G4

ALLTOP_C10781_104A3_L_4P

1 C2

(20/5)
3

0.01uF_50v

S4_STATE#_DB

57-

GND

OUT

IN

OUT

IN

OUT

EN

OC#

(20/5)

7
6

57-

C1

C293
0.1uF_16v

100uF_16v

GMT_G545B1P8U_MSOP_8P

1
2

USB_DB_GND

USB_DB_GND

USB_DB_GND

USB_1_VCC1
57-

USB_1_VCC1
57-

0.1uF_16v

C5607

1
2

1000pF_50v

USB_DB_GND

CH2

USB_DB_GND

L1

FIX25
USB_P3-_DB

57-

USB_P3+_DB

57-

USB_DB_GND

1
2

USB_DB_GND

1
VCC
2
D3
D+
4
G

G
G
G
G

G1
G2
G3
G4

FIX_MASK

(20/5)

1
2

USB_DB_GND

USB_L_P3+

3
4

S4_STATE#_DB

ALLTOP_C10781_104A3_L_4P

USB_L_P3-

1
USB_DB_GND 2

C5502
0.1uF_16v

(20/5)

U2

+V5A_DB

CN6139

FIX_MASK

FIX27

USB_1_VCC1

57-

Vp

3
CH1

Vn

D1

C5606

57-

GND

OUT

IN

OUT

IN

OUT

EN

OC#

57-

8
7
6
5

GMT_G545B1P8U_MSOP_8P

1
1
2

C5725
2

C3043
0.1uF_16v

100uF_16v

USB_DB_GND

USB_DB_GND

CMD_1213_02ST_SOT23_5P_OPEN

WCM_2012_900T

USB_DB_GND

INVENTEC

Daughter Board - USB Port & eSATA

TITLE

Absolut
DB- USB/ESATA

SIZE CODE

A3
CHANGE by

Smit Wu

3-Dec-2007

CS
SHEET

REV

DOC. NUMBER

Model_No
57

OF

AX1
60

MIC_BIAS_B_DB

Close to CODEC
1

MIC Jack

TYCO_1775542_1_6P

EXT_JACK_MIC2

58-

EXT_MIC1_DB

58-

EXT_MIC2_DB

BLM11A121S
L26
BLM11A121S

C5685 1

1
2

58-

HP_OUT_R1_DB

58-

HP_JS_DB

58-

R117
47K_5%

R5835
10K_5%

C5686

R118
47K_5%

470pF_50v

C198

R113
1

60.4_1%
2

R114

60.4_1%

L27

BLM11A121S

C187

R5836
10K_5%

470pF_50v

JACK2

65
4
3
1
CN
CS

BLM11A121S

TYCO_1775542_1_6P

C188
470pF_50v

2
58-

470pF_50v 2

C5790 1

L28

EXT_JACK_MIC1

HP_OUT_L1_DB
58-

R5832
3.9K_5%

5 6
4
3
1
CN
CS

+VCC_OP1_DB

L29

MIC_REF1

2
COMBO_A_GND

4.7uF_6.3v

COMBO_A_GND

C192
0.1uF_50v_OPEN

COMBO_A_GND

OPEN 2

COMBO_A_GND

Place close to U1
58-

MIC_SENSE_DB

COMBO_A_GND

COMBO_GND

COMBO_A_GND

JACK3

R5830
3.9K_5%

Earphone Jack

Note: MIC jack preamp should be placed very close to MIC jack
The internal MIC preamp should be placed very close to the header.

58-

D5064
CMD_PACDN042_SOT23_3P_OPEN

MIC_REF1
58-

A_MIC1_DB

+VCC_OP1_DB

58-

58-

58-

58-

R119

1 2
0_5%
0.047uF_10v

C5535 100pF_50v
1 2

1
C5532
100pF_50v 2
R5622
1
2

C5525
EXT_MIC1_DB

1
2

COMBO_GND

1 R5627 2

100pF_50v C5543
2 1

U49

4 GND

2 R5641 1

100K_5%

C5539
100pF_50v

1
2

2 R5634 1

10K_5%

2IN+ 5

TLV2462CDGKR_SSOP_8P

1
2

COMBO_A_GND

COMBO_GND

MIC_REF1
58-

1 1OUT VDD+ 8
2
7
1IN- 2OUT
3 1IN+
2IN- 6

100K_5%

10K_5%
C5529
68pF_50v

A_MIC2_DB

R120
0_5%

C5537

58-

2 1
0.047uF_10v

EXT_MIC2_DB
FIX26

C5538 1

C5534
0.1uF_16v

68pF_50v 2

FIX_MASK

COMBO_A_GND
COMBO_A_GND

COMBO_A_GND

FIX28
FIX_MASK

+V3S_MC_DB
+V3S_MC_DB

+VCC_OP1_DB

58-

58-

58-

2.2uF_16v
1

CN27
40

XDALE_DB
XDCLE_DB
MMCDAT7_XDCDAT7_DB
MMCDAT6_XDCDAT6_DB
MMCDAT5_XDCDAT5_DB
MMCDAT4_XDCDAT4_DB
SDCDAT3_MMCDAT3_MSCDAT3_XDCDAT3_DB
SDCDAT2_MMCDAT2_MSCDAT2_XDCDAT2_DB
SDCDAT1_MMCDAT1_MSCDAT1_XDCDAT1_DB
SDCDAT0_MMCDAT0_MSCDAT0_XDCDAT0_DB

58585858585858585858-

SDCCLK_MMCCLK_MSCCLK_XDRE#_DB

58-

SDCCMD_MMCCMD_MSBS_XDWE#_DB

58-

SDLED#_MMCLED#_MSLED#_XDLED#_DB
SDPWR1_MMCPWR1_XDWP#_DB

58-

1
2
3
4
5
6
7
8
9
10
11
12
13
14
15
16
17
18
19
20

1
2
3
4
5
6
7
8
9
10
11
12
13
14
15
16
17
18
19
20

40
39
38
37
36
35
34
33
32
31
30
29
28
27
26
25
24
23
22
21

40
39
38
37
36
35
34
33
32
31
30
29
28
27
26
25
24
23
22
21

SCREW2.8_5.5_1P
S5052

C5736
0.01uF_16v

0
COMBO_GND

CN30
58585858-

HP_JS_DB
HP_OUT_R1_DB
HP_OUT_L1_DB
MIC_SENSE_DB

SDCD#_MMCD#_XDCD0#_DB
SDWP#_XDR_B#_DB
SDCCMD_MMCCMD_MSBS_XDWE#_DB
SDCCLK_MMCCLK_MSCCLK_XDRE#_DB
SDCDAT0_MMCDAT0_MSCDAT0_XDCDAT0_DB
SDCDAT1_MMCDAT1_MSCDAT1_XDCDAT1_DB
SDCDAT2_MMCDAT2_MSCDAT2_XDCDAT2_DB
SDCDAT3_MMCDAT3_MSCDAT3_XDCDAT3_DB

58-

A_MIC2_DB

58-

A_MIC1_DB

58585858-

SDCD#_MMCD#_XDCD0#_DB
MSCD#_XDCD1#_DB
MSCD#_XDCD1#_DB
XDCE#_DB
SDWP#_XDR_B#_DB
SDCCMD_MMCCMD_MSBS_XDWE#_DB
SDCCLK_MMCCLK_MSCCLK_XDRE#_DB
SDPWR0_MMCPWR0_MSPWR_XDPWR_DB
SDCDAT0_MMCDAT0_MSCDAT0_XDCDAT0_DB
SDCDAT1_MMCDAT1_MSCDAT1_XDCDAT1_DB
SDCDAT2_MMCDAT2_MSCDAT2_XDCDAT2_DB
SDCDAT3_MMCDAT3_MSCDAT3_XDCDAT3_DB

ACES_88378_4071_40P

MIC_BIAS_B_DB

5858585858585858-

58-

585858585858581

COMBO_GND

C199

COMBO_A_GND

R5972
150K_5%

22
46
1
2
30
18
10
8
36
34
32
28
16
12
31
25
17
29
21
19
23
27
15
33

SD-VCC
SD-CD1
SD-WP1
SD-CMD
SD-CLK
SD-DAT0
SD-DAT1
SD-DAT2
SD-DAT3
SD-DAT4
SD-DAT5
SD-DAT6
SD-DAT7
MS-VCC
MS-INS
MS-BS
MS-SCLK
MS-DATA0
MS-DATA1
MS-DATA2
MS-DATA3
MS-GND
MS-GND

3
42
43
41
40
39
38
37
35
20
13
11
9
7
6
5
4
24
44
14
26
45
46

XD-VCC
XD-R-B
XD-CD
XD-RE
XD-CE
XD-CLE
XD-ALE
XD-WE
XD-WP
XD-D0
XD-D1
XD-D2
XD-D3
XD-D4
XD-D5
XD-D6
XD-D7
XD-GND
XD-GND
SD-GND
SD-GND
SD-CD2-WP2-GND
SD-CD2-WP2-GND

SDWP#_XDR_B#_DB

5858585858585858585858585858-

SDCCLK_MMCCLK_MSCCLK_XDRE#_DB
XDCE#_DB
XDCLE_DB
XDALE_DB
SDCCMD_MMCCMD_MSBS_XDWE#_DB
SDPWR1_MMCPWR1_XDWP#_DB
SDCDAT0_MMCDAT0_MSCDAT0_XDCDAT0_DB
SDCDAT1_MMCDAT1_MSCDAT1_XDCDAT1_DB
SDCDAT2_MMCDAT2_MSCDAT2_XDCDAT2_DB
SDCDAT3_MMCDAT3_MSCDAT3_XDCDAT3_DB
MMCDAT4_XDCDAT4_DB
MMCDAT5_XDCDAT5_DB
MMCDAT6_XDCDAT6_DB
MMCDAT7_XDCDAT7_DB

SCREW2.8_5.5_1P
S5053

COMBO_GND

SCREW2.8_5.5_1P
S5054

CHENMKO_BAT54_3P
D41

58-

MSCD#_XDCD1#_DB
COMBO_GND

TAIS_144_1400002900_46P
2

COMBO_GND

58-

CHENMKO_BAT54_3P

7IN1 SOCKET

D40
COMBO_GND

58-

SDCD#_MMCD#_XDCD0#_DB

COMBO_GND

INVENTEC

Daughter Board - 7 in 1 Media Socket (TAISOL) & Audio Jack

TITLE

Absolut

DB- MEDIA SOCKET/ AUDIO JACK


SIZE CODE

A3
CHANGE by

Smit Wu

3-Dec-2007

CS
SHEET

REV

DOC. NUMBER

Model_No
58

OF

AX1
60

MIC_BIAS_B_DB_2

Close to CODEC

JACK7000

5 6
4
3
1
CN
CS

R7011
3.9K_5%

R7010
3.9K_5%

EXT_JACK_MIC2_2

470pF_50v

C7005 1

HP_OUT_R1_DB_2

59-

HP_JS_DB_2

59-

59-

EXT_MIC1_DB_2

59-

EXT_MIC2_DB_2

BLM11A121S
L7002

1
R7001
1
R7003

R7002
10K_5%

47K_5%
2

C7016

L7003

65
4
3
1
CN
CS

BLM11A121S
BLM11A121S

60.4_1%

C7009

R7004
10K_5%

470pF_50v

TYCO_1775542_1_6P

C7011
470pF_50v

1
2

1 C7013
COMBO_A_GND_2

COMBO_A_GND_2

C7010
0.1uF_50v_OPEN

COMBO_A_GND_2

OPEN 2

COMBO_A_GND_2

Place close to U1
59-

JACK7001

MIC_REF1_2

47K_5%
2
4.7uF_6.3v

2 470pF_50v

2
59-

1 R7013
C7017 1

59-

1 R7012

BLM11A121S

TYCO_1775542_1_6P

HP_OUT_L1_DB_2
59-

L7001

EXT_JACK_MIC1_2

+VCC_OP1_DB_2

L7000

MIC_SENSE_DB_2

COMBO_A_GND_2

COMBO_GND_2

COMBO_A_GND_2

MIC Jack

Earphone Jack

Note: MIC jack preamp should be placed very close to MIC jack
The internal MIC preamp should be placed very close to the header.

59-

CMD_PACDN042_SOT23_3P_OPEN

MIC_REF1_2
A_MIC1_DB_2

59-

+VCC_OP1_DB_2

59-

59-

59-

C7003 100pF_50v
C7012
100pF_50v

1 2
1 R7007 2

1
2

C7002
EXT_MIC1_DB_2

1 R7005 2

59-

1 2
0_5%
0.047uF_10v

59-

1OUT VDD+
2 1IN- 2OUT 7
3 1IN+
4
GND

1
C7004
68pF_50v

2IN- 6
5
2IN+

TLV2462CDGKR_SSOP_8P

2 R7008 1

100K_5%

1
2

COMBO_A_GND_2

COMBO_GND_2

MIC_REF1_2

2 1

U7000

10K_5%

A_MIC2_DB_2

100pF_50v C7006
1

100K_5%

1 R7006 2

D7002

COMBO_GND_2

C7014
100pF_50v

1
2

2 R7009 1

1 R7014 2

10K_5%

0_5%

C7015
2 1
0.047uF_10v

59-

EXT_MIC2_DB_2

C7008 1

C7007
0.1uF_16v

68pF_50v 2

FIX7006

COMBO_A_GND_2
FIX_MASK
COMBO_A_GND_2

COMBO_A_GND_2

FIX7007
FIX_MASK

+V3S_MC_DB_2
+V3S_MC_DB_2

+VCC_OP1_DB_2

59-

59-

59-

2.2uF_16v
1

CN7001

XDALE_DB_2
XDCLE_DB_2
MMCDAT7_XDCDAT7_DB_2
MMCDAT6_XDCDAT6_DB_2
MMCDAT5_XDCDAT5_DB_2
MMCDAT4_XDCDAT4_DB_2
SDCDAT3_MMCDAT3_MSCDAT3_XDCDAT3_DB_2
SDCDAT2_MMCDAT2_MSCDAT2_XDCDAT2_DB_2
SDCDAT1_MMCDAT1_MSCDAT1_XDCDAT1_DB_2
SDCDAT0_MMCDAT0_MSCDAT0_XDCDAT0_DB_2

59595959595959595959-

SDCCLK_MMCCLK_MSCCLK_XDRE#_DB_2

59-

SDCCMD_MMCCMD_MSBS_XDWE#_DB_2
SDLED#_MMCLED#_MSLED#_XDLED#_DB_2
SDPWR1_MMCPWR1_XDWP#_DB_2

59-

59-

1
2
3
4
5
6
7
8
9
10
11
12
13
14
15
16
17
18
19
20

1
2
3
4
5
6
7
8
9
10
11
12
13
14
15
16
17
18
19
20

40
39
38
37
36
35
34
33
32
31
30
29
28
27
26
25
24
23
22
21

40
39
38
37
36
35
34
33
32
31
30
29
28
27
26
25
24
23
22
21

SCREW2.8_5.5_1P
S7002

C7000
0.01uF_16v

CN7000
59595959-

HP_JS_DB_2
HP_OUT_R1_DB_2
HP_OUT_L1_DB_2
MIC_SENSE_DB_2

59-

A_MIC2_DB_2 MIC_BIAS_B_DB_2

59-

A_MIC1_DB_2

59595959-

SDCD#_MMCD#_XDCD0#_DB_2
MSCD#_XDCD1#_DB_2
XDCE#_DB_2
SDWP#_XDR_B#_DB_2

ACES_88378_4071_40P

SDCD#_MMCD#_XDCD0#_DB_2
SDWP#_XDR_B#_DB_2
SDCCMD_MMCCMD_MSBS_XDWE#_DB_2
SDCCLK_MMCCLK_MSCCLK_XDRE#_DB_2
SDCDAT0_MMCDAT0_MSCDAT0_XDCDAT0_DB_2
SDCDAT1_MMCDAT1_MSCDAT1_XDCDAT1_DB_2
SDCDAT2_MMCDAT2_MSCDAT2_XDCDAT2_DB_2
SDCDAT3_MMCDAT3_MSCDAT3_XDCDAT3_DB_2

5959595959595959-

MSCD#_XDCD1#_DB_2
SDCCMD_MMCCMD_MSBS_XDWE#_DB_2
SDCCLK_MMCCLK_MSCCLK_XDRE#_DB_2
SDCDAT0_MMCDAT0_MSCDAT0_XDCDAT0_DB_2
SDCDAT1_MMCDAT1_MSCDAT1_XDCDAT1_DB_2
SDCDAT2_MMCDAT2_MSCDAT2_XDCDAT2_DB_2
SDCDAT3_MMCDAT3_MSCDAT3_XDCDAT3_DB_2

59595959595959-

P21
P1
P2
P25
P20
P14
P12
P30
P29
P27
P23
P18
P16
P28
P22
P13
P26
P17
P15
P19
P24

59-

SDPWR0_MMCPWR0_MSPWR_XDPWR_DB_2

1
COMBO_GND_2

C7001

COMBO_A_GND_2

R7000
150K_5%

SD_VCC
SD_CD
SD_WP
SD_CMD
SD_CLK
SD_DAT0
SD_DAT1
SD_DAT2
SD_DAT3
SD_DAT4
SD_DAT5
SD_DAT6
SD_DAT7
MS_VCC
MS_INS
MS_BS
MS_SCLK
MS_DATA0
MS_DATA1
MS_DATA2
MS_DATA3

COMBO_GND_2
XD-VCC
XD-R-B
XD-CD
XD-RE
XD-CE
XD-CLE
XD-ALE
XD-WE
XD-WP
XD-D0
XD-D1
XD-D2
XD-D3
XD-D4
XD-D5
XD-D6
XD-D7
7_IN_1-GND
7_IN_1-GND
SD-CD_WP_GND
SD-CD_WP_GND

P3
P39
P40
P38
P37
P36
P35
P34
P33
P32
P10
P9
P8
P7
P6
P5
P4
P11
P31
P41
P42

COMBO_GND_2

59-

SDWP#_XDR_B#_DB_2

5959595959595959595959595959-

SDCCLK_MMCCLK_MSCCLK_XDRE#_DB_2
XDCE#_DB_2
XDCLE_DB_2
XDALE_DB_2
SDCCMD_MMCCMD_MSBS_XDWE#_DB_2
SDPWR1_MMCPWR1_XDWP#_DB_2
SDCDAT0_MMCDAT0_MSCDAT0_XDCDAT0_DB_2
SDCDAT1_MMCDAT1_MSCDAT1_XDCDAT1_DB_2
SDCDAT2_MMCDAT2_MSCDAT2_XDCDAT2_DB_2
SDCDAT3_MMCDAT3_MSCDAT3_XDCDAT3_DB_2
MMCDAT4_XDCDAT4_DB_2
MMCDAT5_XDCDAT5_DB_2
MMCDAT6_XDCDAT6_DB_2
MMCDAT7_XDCDAT7_DB_2

SCREW2.8_5.5_1P
S7000

COMBO_GND_2

SCREW2.8_5.5_1P
S7001

CHENMKO_BAT54_3P
D7000
3

TAI_R015_A10_LM_42P

59-

MSCD#_XDCD1#_DB_2
COMBO_GND_2

7IN1 CNTR
COMBO_GND_2

CHENMKO_BAT54_3P
D7001
59SDCD#_MMCD#_XDCD0#_DB_2
3
1

COMBO_GND_2

Daughter Board - 7 in 1 Media Spcket (TAITWUN) & Audio Jack

INVENTEC
TITLE

Absolut

DB- MEDIA SOCKET / AUDIO JACK


SIZE CODE

A3
CHANGE by

Smit Wu

3-Dec-2007

CS
SHEET

DOC. NUMBER

REV

Model_No
59

OF

AX1
60

+V3FP

+V3VDD_L

6060-

+V3VDD_L

U11
5
4

1
2

C5721

2
0.1uF_10v

C73

VIN
ON

VOUT
GND
FLAGB

1
2
3

+V1.8VDD_L

60-

1 C64

C72

FAIR_FPF2004_SC-88A_5P

U7
1

0.1uF_16v

VIN

10uF_6.3v

VOUT
ECO

10uF_6.3v
3
FP_GND

CE#

GND

C70

2 4.7uF_6.3v

C65

1
2

ON_NCP584LSN18T1G_SOT23_5P

0.1uF_16v

FP_GND

FP_GND
FP_GND

FP_GND
FP_GND

SUP_1P8

D11
A3
B9
LOAD_1P8A
VDDA1-2

SMDPAD32X200_79_4P
R5775
4
3
2
1

1
1

2
2

24.9_1%

B7
B12
A9

R36

SIO1_DMINUS_RTR
SIO0_DPLUS_RXD
SIO2_VTERM_TXD

1.5K_1%

D14

SIO7_SF_SOMI_SLEEP_N

2
3

SIO3_SF_CS_N_AUTOBAUD_EN
SIO4_SF_CLK_BAUD_SEL2
SIO5_SF_WP_N_BAUD_SEL1
SIO6_SF_MOSI_BAUD_SEL0

VMZ6.8N

D15
VMZ6.8N

FP_GND

A1
D1

FINGERRINGT1
FINGERRINGT2

D3
A10
D4
A11

1
6
3
5

A12

S#
CLK
WP#
SDI

PWR
HLD#

SDO

GND

8
7

1
4

U43
AUT_AES2810_FBGA_45P

R35

IOSEL
SIO8_GPIO2
SIO9_GPIO1
SIO10_GPIO0

C5
D5
D6
C1

B3

FP_GND
ANALOG_TST3V
OVC_ATST
ANALOG_TST_TEST_MODE
TEST_MODE

RESET_N

B5
C7
A2
C6

FP_GND

Layout notes :

X1

C67
OPEN

C66
OPEN

B6

XTAL_OUT

GND_COF
GND1_IO
GND2_IO
VSSODA
VSSA2
VSSA1

12MHZ

SLK_SEL0
SLK_SEL1

OVC_SEL0
OVC_SEL1

C4
C3

+V3VDD_L

C8
C12

60-

FP_GND

B1
B8
D2
C9
A6
A7

X5001
12MHz_OPEN

0.1uF_16v

2 1

C71

2 1

FP_GND

FP_GND

XTAL_IN

A4

FDRV

0.1uF_16v

R37

47_5%
D8

C5763

ST_M25P40_VMN6TP_SOP_8P

100K_1%

2
FP_GND

60-

U10

24.9_1%

R5774

PAD1

+V3VDD_L
D12
A5

4
3
2
1

LOAD_1P8D
VDD2_CORE1
VDD2_CORE2

D9

D10

EN_1P8_N

B4
A8
D7
VDD2_IO
VDD1_IO
VDD_EXCITE

LOAD_3P3
EN_3P3_N

B11
SUP_3P3

60-

B10
C10

FP_GND

+V3FP

FP_GND

1. Placed R5778 close to U5066


2. Place D5060 close to R5778
3. D14 & D15 pin3 to FP_GND should be a short trace(20mil max)
using three via to connect to FP_GND

FP_GND

FP_GND

Daughter Board - Finger Print

INVENTEC
TITLE

Absolut
DB- FingerPrint

SIZE CODE

A3
CHANGE by

Smit Wu

3-Dec-2007

CS
SHEET

REV

DOC. NUMBER

Model_No
60

OF

AX1
60

You might also like