You are on page 1of 3

Introduccin y Objetivo

Los contadores representan otro elemento muy frecuente en diseo digital. La forma ms usual para describirlos en VHDL es mediante operaciones de incrementacin y/o decrementacin. El objetivo de la prctica es implementar un contador ascendente, que en cada pulsacin de reloj vaya mostrando los nmeros del 1 al 12. El contador a implementar ser cclico ya que siempre regresa al 1 y empieza de nuevo la cuenta hasta el 12.

Marco terico
Mquina de estados Se denomina mquina de estados a un modelo de comportamiento de un sistema con entradas y salidas, en donde las salidas dependen no slo de las seales de entradas actuales sino tambin de las anteriores. Las mquinas de estados se definen como un conjunto de estados que sirve de intermediario en esta relacin de entradas y salidas, haciendo que el historial de seales de entrada determine, para cada instante, un estado para la mquina, de forma tal que la salida depende nicamente del estado y las entradas actuales. Contadores Digitales En casi todos los tipos de equipo digital se encuentran flip-flops programados o conectados como contadores, usndose no solamente como contadores sino como equipo para dar la secuencia de operacin, divisin de frecuencias, as como para manipulacin matemtica. En el sentido ms elemental, los contadores son sistemas de memoria que recuerdan cuntos pulsos de reloj han sido aplicados en la entrada. La secuencia en que esta informacin se almacena depende de las condiciones de la aplicacin y del criterio del diseador de equipo lgico. Muchos de los contadores ms comunes se encuentran disponibles en paquetes de circuitos integrados.

Desarrollo de la prctica
El cdigo con el que se programo el contador es el presentado en la siguiente imagen en donde se puede observar que tenemos como entradas 1 reset y una entrada de reloj (clk) esta entrada es pieza clave para el funcionamiento del contador.

Se cuenta con una salida Q que guarda el estado presente mientras el estado presente sea difierente de 12, asimismo, el estado siguiente (Qn) va guardando al estado presente aumentado en 1 (Qp+1). A partir de esto se plantearon las ultimas condiciones donde el reset al estar accionado (1) reinicia la cuenta a 1. De igual forma cada que la seal de reloj esta en alto (1) aumenta 1 el contador (Qp<=Qn).

Simulacin y explicacin
Habiendo hecho la programacin del contador se realizo la respectiva simulacin para verificar que efectivamente el contador cumplir su funcin. Como se puede observar es correcta la programacin ya que se cumplen los requisitos del mod12: La cuenta va desde 1 a 12 y regresa al 1.

You might also like