You are on page 1of 9

Recent Patents on Materials Science 2008, 1, 200-208

200

Recent Developments and Patents on Thin Film Technology


Ioanna Giouroudi*, Jrgen Kosel and Cornie Scheffer
Department of Mechanical and Mechatronic Engineering, University of Stellenbosch, Private Bag X1, Matieland 7602, South Africa
Received: September 2, 2008; Accepted: September 22, 2008; Revised: October 3, 2008

Abstract: Within the last decades thin film technology has advanced considerably and plays a key role in several industrial fields. The interest in developing high quality thin films with specific properties has drastically increased due to the rapidly growing needs in applications such as magnetism, semiconductor technology, microelectronics, optical films and microdevices. In the field of nanotechnology thin film deposition plays an important role as well and in certain cases deposition of layers of materials atom by atom is required. Therefore extended research is performed around the world in order to optimize the wide variety of the thin film deposition techniques. This paper focuses on the most common and important techniques used nowadays, which are briefly described, and on presenting a review of the latest developments in this field. Relevant patents are presented and discussed.

Keywords: Thin films, deposition methods, multilayers, microfabrication, microsystem design, microelectronics, microtechnology, nanotechnology. INTRODUCTION Thin films are defined as coatings of a thickness from about 5 ngstrms () to a few micrometers (m) [1, 2]. Several materials may be deposited as thin films on passive substrates such as glass or ceramic or on active substrates such as silicon. The process of deposition mainly depends on the means that are deployed which can be physical or chemical and thus the deposition techniques could be divided into two broad groups: Physical and Chemical. Figure (1) illustrates the classification of the techniques which are most commonly used in modern technology. A short description of each technique is given in the following sections. PHYSICAL DEPOSITION TECHNIQUES Several different kinds of thin films are deposited by evaporation and sputtering in modern technology e.g. micronanoelectronics [3]. In several applications sputtering is preferred over evaporation because of the wider choice of materials to work with, better adhesion to the substrate and better step coverage. Evaporation is mainly a laboratory technique whereas sputtering is employed in laboratories and industrial production of thin films. There are cases though where a combination of the two methods could be used such as in [4]. Evaporation Physical Vapour Deposition (PVD): PVD is a method to deposit thin films by the condensation of a vaporized material onto various surfaces (e.g., onto semiconductor wafers). The coating method involves purely physical processes such as high temperature vacuum evaporation rather than involving a chemical reaction at the surface to be coated as in chemical vapour deposition.
*Address correspondence to this author at the Department of Mechanical and Mechatronic Engineering, University of Stellenbosch, South Africa, Private Bag X1 Matieland 7602; Tel: 0027 21 808 4242; Fax: 0027 21 808 4958; E-mail: ioanna@sun.ac.za 1874-4656/08 $100.00+.00

One of the main industrial fields where PVD is extensively used is the fabrication of semiconductor devices. Laser Ablation: In principle, this technique is extremely simple as it uses pulses of laser energy to remove material from the surface of a target and deposit the eroded material onto a substrate. The vaporized material (ions, electrons, atoms, radicals or clusters), is known as a laser-produced plasma plume and travels away from the target in the vacuum chamber with velocities around 106cm/sec [5]. When the ablated material hits the substrate surface at high impact energies (typically 100 eV) the particles stick to the surface with reasonably high adhesion, and are compressed, forming a continuous film [6-8]. Further laser pulses ablate more material and gradually the thickness of the film increases from a few atomic layers to microns. In practice several variables are affecting the properties of the grown film such as laser fluence, background gas pressure and substrate temperature and therefore the optimization of the laser ablation can require a lot of time and effort. Applications of the technique range from the production of superconducting and insulating circuit components to biocompatible materials. Molecular Beam Epitaxy: Molecular beam epitaxy (MBE) is a technique for epitaxial growth via the interaction of one or several molecular or atomic beams that occurs on a surface of a heated crystalline substrate [9-12]. Angular distribution of atoms or molecules in a beam is provided by placing the solid sources of materials in evaporation cells. The substrate is heated to the necessary temperature and, when required, continuously rotated in order to improve the growth homogeneity. During the MBE technique the atoms are arranged in a single-crystal manner upon a crystalline substrate which acts as a seed crystal. Thus the lattice of the grown film is the same as that of the substrate. In MBE the heated, e.g between 400 - 800C, single-crystal is placed in an ultrahigh vacuum, e.g 133x10-11 Pa, together with atomic streams from heated cells that contain the materials of interest. The most important aspect of MBE is the slow
2008 Bentham Science Publishers Ltd.

Recent Developments and Patents on Thin Film Technology

Recent Patents on Materials Science 2008, Vol. 1, No. 3

201

Fig. (1). Classification of the most common deposition techniques.

deposition rate (typically less than 1000 nm per hour), which allows the films to grow epitaxially. The slow deposition rates require proportionally better vacuum to achieve the same impurity levels as other deposition techniques. Electron Beam (EB-PVD): EB-PVD is a technique suitable for the fabrication of nanometer scale structures [13, 14]. In EB-PVD a target anode is bombarded with an electron beam given off by a charged tungsten filament under high vacuum. The electron beam causes atoms from the target to transform into the gaseous phase. These atoms then precipitate into solid form, coating everything in the vacuum chamber with a thin layer of the anode material. Thermal: Thermal evaporation is one of the oldest techniques for thin film deposition [5]. The material to be deposited is heated until evaporation. The evaporated material is then deposited in the form of a thin film on the cold substrate surface [15, 16]. The pressures that are used during thermal evaporation are about 133x10-6 or 133x10-5 Pa in order to avoid a reaction between the vapour and the atmosphere. Ion Plating: Ion plating could be described as dischargeassisted evaporation [1]. It is a technique in which the evaporation of a material is combined with ionization of the atom flux by an electron filament or plasma. A glow discharge is maintained at a pressure from 133x10-1 to 133x10-2 Pa between the filament (anode) and the substrate (cathode) so that the evaported atoms are ionized. The discharge potential is mainted at the highest possible value so that the ionized atoms are accelerated towards the substrate. Due to the high energy with which the ions are reaching the substrate the adhesion of the deposit is of high quality. By using an inert gas atmosphere in the chamber the discharge will keep the substrate clean. Yet the conditions have to be chosen with great care [17-19].

Sputtering Sputtering is a method used to deposit both thin metal films and insulators onto a substrate. Unlike evaporation, the material to be sputtered does not have to be heated. During sputtering an atom or molecule is knocked out of a target material by accelerated ions which are produced from an excited plasma. The atom or molecule is then condensed on a substrate either in its original or in a modified form [20]. Prior to the sputtering procedure a vacuum of less than one ten millionth of an atmosphere must be achieved. From this point a closely controlled flow of an inert gas such as argon is introduced. Specifically, a sputtering system consists of an evacuated chamber, a target (cathode) and a substrate table (anode). It is a process in which the chemically inert atoms, e.g. argon (Ar) as mentioned above, are ionized in a glow discharge which is called plasma [21]. The ions are accelerated into a target by the electric field at the boundary of the plasma. Atoms from the target are knocked out and these atoms are allowed to reach the substrate. If the plasma ions are accelerated by a DC field then the sputtering technique is called DC [22]. If an RF field is used then the technique is called RF sputtering and Magnetron if magnets with alternating polarity are arranged behind or sometimes at the sides of the target to enable lower pressures to be used and thus a cleaner film to be created [23-26]. In particular, during sputtering secondary electrons can be captured close to the target from a magnetic field. The electrons follow helical paths around the magnetic field lines and undergo more ionizing collisions with neutral gaseous near the target than they would without the magnetic field. Therefore, a higher sputter rate is achieved trough this enhancement of the ionization. Additionally, with this method the plasma can be sustained at a lower pressure. The magnetic trap does not affect the sputtered atoms since they are neutrally charged.

202 Recent Patents on Materials Science 2008, Vol. 1, No. 3

Giouroudi et al.

Sputtering is a procedure that takes place in a lowpressure gas environment and is less directional than electron beam evaporation and can typically achieve much higher deposition rates. It can be used with metallic targets but also dielectric films such as silicon dioxide (SiO2) can be sputtered even though usually chemical vapour deposition methods are preferred for these films as it will be described in chapter 3. Yet, some specialty materials such as piezoelectric zinc oxide and aluminium nitride films are wellsuited to sputtering [21]. CHEMICAL DEPOSITION TECHNIQUES Chemical Vapour Deposition During CVD thin films are developed on a substrate by using chemical reactions. Reactive gases are supplied into a vacuum chamber and these gases react on a substrate and form a thin film or a powder. The reactions though which form a solid material do not always occur on or close to the heated substrate, heterogenous reactions, but in the gas phase as well, homgeneous reactions [4]. Gaseous by-products are removed from the chamber [21]. CVD is operated at low or atmospheric pressure. Epitaxial, amorphous, polycrystalline and uniaxially oriented polycrystalline layers can be deposited with good purity, control and economically [27]. The main application of CVD technology is in the semiconductor industry. Metal-Organic Chemical Vapour Deposition: This is a technique for depositing thin layers of atoms onto a semiconductor wafer. Using MOCVD you can build up many layers, each of a precisely controlled thickness, to create a material which has specific optical and electrical properties. Atoms that shall be incorporated in the crystal are combined with complex organic gas molecules and passed over a hot semiconductor wafer. The heat breaks up the molecules and deposits the desired atoms on the surface, layer by layer. In contrast to molecular beam epitaxy (MBE) the growth of crystals is by chemical reaction and not by physical deposition. By varying the composition of the gas, you can change the properties of the crystal at an almost atomic scale. Using this method high quality semiconductor layers (as thin as a millionth of a millimetre) can be grown and the crystal structure of these layers is perfectly aligned with that of the substrate [28-32]. It has become the dominant process for the manufacture of laser diodes, solar cells, and LEDs. Plasma-Enhanced Chemical Vapour Deposition: Deposition rates can be enhanced if the deposition occurs in glow-discharge plasma [21]. During PECVD thin films are deposited from a vapour (gas state) onto a substrate [33-35]. A plasma of the reacting gases is created and then several chemical reactions are taking place. In general the plasma is created by an RF frequency or by a DC discharge between two electrodes. The space between the two electrodes is filled with the reacting gases. PECVD is widely used in very large scale integration (VLSI) which is the process of fabricating integrated circuits by combining several transistorbased circuits into a single chip. It enables the deposition of dielectric films such as oxides and nitrides on wafers with small feature sizes and line widths at low temperatures and on devices which are not able to withstand the high

temperatures of a thermally activated reaction [4]. PECVD is additionally used in amorphous silicon thin film deposition e.g. for photovoltaic panels. Atmospheric-Pressure Chemical Vapour Deposition : This method is used to grow epitaxial for example singlecrystalline films of Si, compound semiconductors such as GaAs, InP and HgCdTe and to deposit at high rates SiO2, for example, from the reaction SiH4 and oxygen, at low temperatures of 300 to 450C [4]. This method was also used to produce transition metal phospides which have found application as wear-and corrosion-resistant coatings [36-39]. The APCVD is susceptible to gas phase reactions and step coverage is often poor. High gas dilutions help avoid gas phase nucleation. Low-Pressure Chemical Vapour Deposition: Films deposited by LPCVD are either amorphous, in the case of the inorganic dielectrics, or polycrystalline, in the case of polysilicon or metals [21]. The material properties can depend on the exact process, including the conditions such as temperature, gas flow rates, pressures, and the chemistry or morphology of the layer on which the film is deposited [40, 41]. The mass density of a deposited film, for example, depends on how many voids are present (regions where atoms could fit but due to the relatively low temperature of deposition do not get filled up). Thermally grown silicon dioxide has fewer voids than LPCVD silicon dioxide, which has fewer voids than PECVD silicon dioxice. Electron-Cyclotron Resonance Chemical Vapour Deposition: In ECRCVD one uses electron cyclotron resonance (ECR) to generate a high-density plasma (HDP) that can deposit materials at high rates while pressures and temperatures remain low [4]. This technology provides a way to ensure durable ultrathin films. The equipment can be used for both enhanced etching and enhanced deposition and it provides high uniformity of deposition and etching across large area substrates. Plating Electroplating: During electroplating an electrical current is applied in order to reduce cations of the material to be deposited from an aqueous solution. The cations then coat a conductive substrate with a thin layer of the material. The substrate is the cathode of the circuit and the anode is the material e.g metal, to be plated on the substrate. Both components are immersed in a solution called an electrolyte containing one or more dissolved metal salts as well as other ions that permit the flow of electricity. Plating uniformity depends on maintaining a uniform current density there where the plating is taking place [21]. Features of different areas and regions at the corners of features may plate at different rates. Electroplating is used in microelectronics to deposit copper interconnect on silicon integrated circuits and it is widely used in creating copper and magnetic material microstructures in magnetic MEMS sensors and actuators. It is also used in making high-aspect-ratio microstructures, called HARM when using molds made with standard optical lithography [42] and called LIGA when the molds are made using X-ray lithography with highly collimated synchrotron sources [43].

Recent Developments and Patents on Thin Film Technology

Recent Patents on Materials Science 2008, Vol. 1, No. 3

203

Electroless plating: The electroless depositing process is a coating method that plates the films on substrates by an autocatalytic reaction of coated materials without the use of external electrical powers. This process can provide a uniform deposit regardless of substrate geometry. It is also known as chemical or autocatalytic plating [44]. Specifically, the deposition of a metallic coating on a component is achieved as several chemical reactions are taking place within an aqueous solution without the application of an electrical current. The aqueous solution (bath) supplies the electrons for the deposition reaction. These baths are extremely complex using a variety of chelating and/or complex agents that hold the metals in solution. The plating thickness tends to be uniform compared to electroplating due to the absence of electric fields. It is most commonly used for nickel, copper, cobalt, and gold plating. Electroplating and Electroless deposition are the most economical processes for applying metallic coatings of thickness between 10 and 500m on many engineering components. This is mainly because their rates of deposition can provide the required product quality in acceptable process times at relatively low capital and operating costs [44]. Atomic Layer Deposition Atomic layer deposition allows ultra-thin films (of a few nanometers) to be deposited in a controlled manner with high precision. It is a gas phase chemical process and it utilizes sequential precursor gas pulses to deposit a film, one layer at a time. In most cases 2 chemicals (precursors) are used and the first one produces a monolayer of gas on the wafer surface and the second is then introduced in the chamber and reacts with the first one so as to produce a monolayer film on the wafer surface. The main advantages of ALD are that complete control over the deposition process is obtained at the nanometre scale because by this method precisely one atomic layer in each cycle is deposited. Moreover, conformal coating can be achieved even in high aspect ratio and complex structures and pin-hole and particle free deposition is achieved. ALD finds applications in semiconductors, MEMS (e.g. coatings for microfluidics), coatings of nanoporous structures, fuel cells (e.g. single metal coating for catalyst layers), flat panel display, magnetic and optical storage, solar cell, nanotechnology and nanomaterials. Solution-Based Deposition Chemical spray pyrolysis (CSP): It is a process where a precursor solution, containing the constituent elements of the compound, is pulverised in the form of tiny droplets onto the preheated substrate, where upon the thermal decomposition of the precursor an adherent film of thermally more stable compound forms. Spray pyrolysis involves several stages: 1) generation microsized droplets of precursor solution 2) evaporation of solvent 3) condensation of solute 4) decomposition of the precursor or solute and 5) sintering of the solid particles. CSP is a convenient, simple and low-cost method for the deposition of large-area thin films, and it has been used for a long time. Additionally it is a low cost method (the device does not require high quality targets or vacuum) the

composition and microstructure can easily be controlled (facile way to dope material by merely adding doping element to the spray solution) and the deposition takes place at moderate temperatures of 100-500C. Furthermore it offers the possibility of mass production. However as every other method, CSP has some disadvantages such as the possibility of oxidation of sulfides when processed in air atmosphere, difficulties regarding the growth temperature determination. Apart from that after a long processing time the spray nozzle may become cluttered. Finally the films quality may depend on the droplet size and spray nozzle [45]. This method is useful for the deposition of oxides and is also a powerful method to synthesize a wide variety of high purity, chemically homogeneous ceramic powders. Spin coating: It is a method used to apply uniform thin films onto a flat substrate. The material to be deposited, typically a polymer or a chemical precursor to a polymer, is dissolved in a suitable solvent [21]. The solution is placed on the substrate (wafer), which is then rotated at high speed in order to spread the fluid by centrifugal force. The substrated is rotating continuously while the fluid spins off the edges of the substrate, until the desired thickness of the film is achieved. The spinning also allows for some of the solvent to evaporate which increases the film viscosity. After spinning baking in an oven is used to remove the remaining solvent and depending on the material to perform further chemical reactions. Spin coating is widely used in microfabrication especially in order to create thin films with thicknesses below 10 nm. It is also the standard method to deposit a photoresist which is a photosensitive polymer layer used in photolithography [21]. It is additionally used to deposit polyimide films, insulating polymers that withstand temperatures up to 400C. Sol-Gel Sol-gel is a process during which chemical precursors in a colloidal suspension in a liquid (sol) form a gelatinous network (gel) [4]. Both sol and gel formation are low temperature processes. In order for a sol to be formed an appropriate chemical precursor is dissolved in a liquid e.g. tetraethylsiloxane (TEOS) in water. The sol is then brought to its gel-point. This is the point in the phase diagram where the sol suddenly changes from a viscous liquid to a gelatinus, polymerized network. While in the gel state the material is shaped for example to a fiber or lens or applied onto a substrate by pinning, dipping or spraying. Sol gel technique is applied in MEMS technology for the fabrication of piezoelectrics such as lead-zirconium-titanate (PZT). RECENT PATENTS ON PHYSICAL DEPOSITION TECHNIQUES As previously described most of the deposition processes are performed at high temperatures of 300C or greater. One of the problems that could occur is that when the purge gas, which has room temperature, is injected into the reactor, the internal temperature of the reactor and the surface temperature of the wafer will rapidly decrease. Therefore the temperatures of the reactor and the wafer fluctuate with a decrease and an increase. Hence, the raw chemical material

204 Recent Patents on Materials Science 2008, Vol. 1, No. 3

Giouroudi et al.

is not purged but locally solidified or condensed, resulting in an undesired particle or an uneven thin film. In [46] a thin film deposition apparatus is provided which can prevent solidification or condensation of the raw chemical material by minimizing that rapid change of the internal temperature of the reactor caused by the inert gas used to purge the raw chemical material. The present apparatus can also prevent degradation of the deposition efficiency by preventing an increase in the purging period of time. According to an aspect of the invention, a thin film deposition apparatus is provided including a reactor and a gas box for supplying a reaction gas to the reactor. Additionally, an inert gas heating unit is installed on the inert gas line of the reactor or the gas box in order to heat the inert gas for transferring or purging the raw chemical material. The inert gas heating unit includes a heater for heating the inert gas line, a temperature sensor for measuring the temperature of the inert gas line, and an overheating prevention unit for reducing the amount of heat emitted by the heater when the temperature sensor generates an overheating signal. The inert gas line has a maze shape or includes pins to increase the surface area of the interior of the inert gas line (see Fig. 2). The inert gas heating unit includes two subunits: one for heating a purge inert gas and a second one for heating an inert gas for transferring the raw chemical material. The first unit emits such an amount of heat that the temperature for heating the purging inert gas is equal to or greater than the temperature for heating the gas for transferring the raw chemical material. The reactor mainly includes a chamber in which a base for placing the wafer (substrate) is installed as well as a shower head in the upper part of the chambers interior in order to spray the gas to the substrate. The inert gas heating unit is installed over the chamber so to heat the inert gas which flows through the inert gas line connected to the shower head. To sum up, the apparatus described in [46] can minimize the rapid change of the internal temperature of

the reactor caused by an inert gas by pre-heating the inert gas (purge gas and carrier gas) introduced into the reactor or the gas box. Thus, solidification or condensation of a raw chemical material can be prevented as well as the generation of unwanted particles. Furthermore, the deposition efficiency can be improved by preventing an increase in the purging period of time. In industrial production processes where thermal vapour deposition (TVD) is used for device manufacturing several general requirements have to be observed. For example, in order to be effective, an industrial manufacturing process requires a sufficiently high deposition rate which can be achieved when the TVD is performed at high temperatures. A further requirement relates to durability of the apparatus employed for TVD. The former requirement contradicts the latter since higher temperatures lead to higher wear and a reduced life cycle of the apparatus. In addition, higher temperatures increase the risk of contaminations of the material to be deposited by means of TVD, especially when aggressive materials such as Selenium (Se) are to be deposited. Particularly, in the field of solar cell semiconductor CIS thin films such contaminations may cause impurity traps in the semiconductor which will deteriorate its performance. Finally, high corrosion attack due to high processing temperatures makes it difficult to achieve constancy in apparatus performance which is a further requirement of industrial manufacturing processes. In [47], an invention is presented which concerns a thermal evaporation apparatus for deposition of various kinds of thin films on a substrate at a high deposition rate. Particularly it describes improvements regarding such a TVD apparatus leading to a higher durability of the apparatus, especially when aggressive materials, such as Selenium (Se) are deposited. The presented device consists of a material storage means for receiving the material to be deposited. The surface of the material storage means which is arranged to come into contact with the material vapour is made of a corrosion-

Fig. (2). Schematic of apparatus for depositing thin films on a wafer [46].

Recent Developments and Patents on Thin Film Technology

Recent Patents on Materials Science 2008, Vol. 1, No. 3

205

resistant material. Additionally it consists of means for heating the material in the storage means and vapour outlet means which are arranged to receive in their interior the vapour of the heated material. These vapour outlets comprise of a vapour receiving pipe having vapour outlet passages and emission reducing means arranged in such a way that the external surface of the vapour outlet means which is directed to the substrate exhibits low emission. One of the advantages of this invention is that the vapour outlet is sufficiently gastight for the evaporation material, such as aggressive Se vapour. This also allows to build up sufficient dynamic pressure for homogenous deposition of the vapour material. The invention moreover provides a method of depositing a material onto a substrate by thermal evaporation. The method comprises by heatable material storage means for receiving the material to be deposited and heatable vapour outlet means arranged to receive in its interior the vapour of the material heated in the material storage means. It also allows the selection of a maximum temperature of the substrate during thermal evaporation of the material. Another patent which is described in [48] is dealing with problems that occur during deposition of thin ceramic films by means of sputtering. There are two methods in order to deposit these films; the first method comprises reactive sputtering from a metallic target and the second method comprises sputtering from a ceramic target. Yet the reactive sputtering processes have a few disadvantages such as instabilities during the process and poisoning of the target. Additionally the metallic substrate might get oxidized at high temperatures due to the presence of oxygen especially in the case of in situ heating during reactive sputtering. Thus the quality of the deposited film and of the interface between substrate and deposited film could be poor. These problems could be avoided if sputtering is taking place from a ceramic target. However, due to the low electrical conductivity of the ceramic material, these targets cannot be used in a direct current (DC) sputtering process only in an RF. Yet the limited heat conductivity of the ceramic limits the maximum power density of the ceramic target. Since the deposition rate is linearly dependent to the power density, the deposition speed during RF sputtering is low. By adding a doping element both the electrical and the heat conductivity of the ceramic sputtering target increases. Yet the incorporation of the doping element has to be executed cautiously. This patent provides a method to deposit a coating by sputtering from a target which consists of the doping element and the main component to be sputtered, whereby the deposited coating is substantially free of this doping element. It provides additionally a sputtering target suitable for direct current (DC). Specifically, the substrate is heated during sputtering to obtain a deposited coating that is substantially free of the doping element. The substrate is for example heated to a temperature higher than 200C. The sublimation or evaporation of the doping element is taking place during the sputtering process or it consists of a reaction product of the doping element that is created during the sputtering process for example the result of a reaction of the doping element with the sputtering gas. As the doping element is sublimated or evaporated during the sputtering process, the deposited coating is substantially free of the

doping element. In order to avoid that the sublimated or evaporated product is incorporated in the deposited coating, it is preferable for the temperature of the substrate to be higher than the sublimation or evaporation temperature of the doping element or the reaction product of the doping element. More preferably, the temperature of the substrate is also higher than the temperature of the deposition chamber. This can for example be realized by heating the substrate, by cooling the deposition chamber or by a combination of both. After sublimation or evaporation, the doping element or the reaction product of the doping element condenses, for example on the walls of the vacuum chamber or on cooling shields placed in the vacuum chamber. This method could be rather advantageous when sputtering materials from a sputtering target which has as a main component one without conductivity or at least with low conductivity e.g ceramics. RECENT PATENTS ON CHEMICAL DEPOSITION TECHNIQUES Regarding the process of electroplating there is still a need for methods to monitor, control and adjust the thickness distribution of the electroplated material. A method which can monitor in situ the thickness distribution is particularly desirable. In [49] an invention is presented in order to monitor in situ, to control and adjust the thickness distribution of an electroplated material during electroplating. A combination of variable resistors and ampere-hour meters is used in order to control the thickness distribution. During the controlled electroplating process the object to be electroplated (preferably of a cylindrical shape) is fully or partially immersed in an electroplating bath and rotates axially during electroplating. The anode may be nondissolvable, a dissolvable anode bar or plate. It may include a dissolvable metal or alloy pellets or chips in an anode basket that is immersed in the electroplating bath. The material to be electroplated on the object can be a metal, an alloy or a composite material. The device presented consists mainly of an electroplating bath, the object to be electroplated (cathode), the anodes and non-conductive plates placed between the cathode and the anodes. The position of the plates is individually adjustable to control the area of coverage of the anodes. A controller could be assembled which sends signals in order to adjust the position of the plates. Additionally, ampere-hour meters can be used in order to connect the anodes to the controller and a rectifier connected to the cathode either directly or through a main ampere-hour meter (see Fig. 3). During electroplating, the data from all of the ampere-hour meters are continuously updated and compiled in a controller which in turn generates a monitoring chart. The value of ampere-hour is proportional to the deposition thickness. By using the monitoring chart, the thickness uniformity over the entire surface of the cathode (substrate) can be monitored in situ. In the case of electroless plating strict control of the reaction chamber environment and the plating solution are required. The current systems either dispense the electrolyte on a spinning wafer or immerse the wafer in a bath containing the electrolyte fluid which makes the control of the electrolyte over time rather difficult. With a spinning wafer, a fair amount of fluid is lost as the wafer spins. With

206 Recent Patents on Materials Science 2008, Vol. 1, No. 3

Giouroudi et al.

Fig. (3). Schematic of the method for adjusting the distribution of deposition thickness on a cylinder rotating axially (along the "L" axis) during an electroplating process [49].

the bath system, the entire bath must be dumped and replaced periodically. Thus, in either of these cases relatively large volumes of the electrolyte solution are consumed for the processing in comparison to the amount actually used for the electroless plating. Another drawback with the current systems is that the plating bath must be maintained at an elevated plating temperature. This elevated temperature leads to the degradation of certain additives, e.g., reducing agents, especially with respect to electroless plating techniques that deposit cobalt layers. Therefore there is a need for an electroless plating method and system that can achieve a high throughput with minimal waste. In [50] a method and apparatus for electroless plating of a substrate using a minimal volume of plating solution and without impacting throughput is provided. The system includes a first vacuum chuck supporting a first wafer and a second vacuum chuck supporting a second wafer in such a way that the top surface of the second wafer is opposing the top surface of the first wafer. A fluid delivery system is also included and it is configured to deliver the plating solution to the top surface of the first wafer. The top surface of the second wafer is also brought proximate to the surface of the first so that the plating solution is in contact with both of them. Additionally the first and second vacuum chucks could be capable of heating the corresponding wafers. Atomic Layer Deposition (ALD) as mentioned in Chapter 3.3 is a new technology that enables the deposition of ultra-thin and highly conformal layers of metal, oxide, nitride, and others one monolayer at a time in a cyclic deposition process. However, in practice, it has been found that ALD processes are often limited to film growth rates of

half a monolayer or less. Specifically, film growth rates can be influenced by the choice of precursor and by temperature and pressure limits for the selected precursor. In addition, steric hindrances from the size and shape of precursor ligands can limit the film growth rate given because of the fixed surface density of active reaction sites. These less than complete growth rates for ALD operations present production problems in wafer throughput and cost of manufacturing. In addition, sub- monolayer growth can result in island type growth and thus higher surface roughness. The patent presented in [51] addresses these problems and provides an ALD process that allows for thin film growth rate to be tuned to the needs of a particular deposition process by precursor composition (metal precursor concentration and solvent selection) or manipulation of process conditions (pressure, temperature). Moreover, it provides an ALD process that allows for thin film growth rate to be tuned during the deposition by manipulation of process conditions (e.g. pressure). The patent is directed to methods of using solvent based precursors, such as mentioned in the patent description, in order to obtain a fixed ALD thin film growth rate. At first a metal precursor and solvent combination are selected. Then the metal precursor is dissolved in the solvent to a selected concentration and the precursor solution is delivered to a vaporizer at a fixed flow rate. After that the vaporized solution is delivered to a deposition chamber at a fixed temperature and pressure for a fixed length of time.The deposition chamber is purged with inert gas for a fixed length of time and a second precursor (such as a reactive species, e.g. oxidizer) is delivered to the deposition chamber for a fixed length of time. Finally the deposition chamber is purged again with inert gas for a fixed length of time. By repeating the previous mentioned steps

Recent Developments and Patents on Thin Film Technology

Recent Patents on Materials Science 2008, Vol. 1, No. 3 [2] [3]

207

from the point where the first precursor solution is delivered to a vaporizer at a fixed flow rate the desired thin film thickness is achieved. In accordance specific film growth rates can be achieved by establishing particular operation parameters for the precursor/solvent combination. CURRENT & FUTURE DEVELOPMENTS Thin film technologies and their applications are constantly evolving. In fact, with the growing need for component and assembly miniaturization, well established thick film processes are rapidly approaching their technolo-gical limits. As a result, more and more manufacturers of electronic, mechanical, chemical, optical and energy supply devices are replacing the conventional thick film processes with thin film technologies in order to manufacture smaller components and parts. In recent years several deposition devices have been developed in order to fabricate complex multilayers and structures and to optimize the thin film deposition conditions and the quality and homogeneity of the obtained thin films. These devices utilise either physical vapour deposition techniques [52-55] or chemical vapour deposition techniques [56-60] and a few of the recent patents in thin film deposition have been revised in this paper. Applications of thin film technology include very large scale integrated circuits (VLSI), electronic packaging, magneto-optical recording media, sensor technology etc. One of the most promising applications concerns photovoltaic systems in order to reduce their cost. Thin-film modules are expected to be cheaper to manufacture due to their reduced material costs, energy costs, handling costs and capital costs. According to [61] by 2015 thin film photovoltaic (TFPV) systems will be generating 26GW of power worldwide and represent a market worth $20bn. The prediction about TFPV is based on the rapid growth of all types of photovoltaics but also on the low cost of manufacturing and flexibility of deployment associated with TFPV - especially in residential applications - compared with currently dominant crystalline silicon photovoltaic technologies. NanoMarkets expects TFPV to account for more than half the world's production of photovoltaic systems by 2015. In the automotive industry surface and thin film techniques are also extensively used mainly in sensors, optics, electronics and surface modification assuring the production of safer and more comfortable automobiles. Additionally, the 2007 German Future Prize winning thinfilm technology of Dr. Klaus Streubel, Dr. Stefan Illek (both of OSRAM) and Dr. Andreas Bruer (of the FraunhoferInstitute for Applied Optics and Precision Engineering) is the key to producing LED chips of extremely high brightness and also enables them to be packed tightly together to create a large illuminating surface [62]. High-efficiency light emitting diodes from OSRAM that are based on this innovative technology will open up new applications ranging from mini projectors and rear projection televisions to night vision systems in vehicles and general room lighting. REFERENCES
[1] Maissel LI, Glang R. Handbook of Thin Film Technology. Mc Graw-Hill Company 1970.

[4] [5] [6]

[7] [8]

[9] [10]

[11] [12]

[13] [14]

[15]

[16]

[17] [18]

[19]

[20]

[21] [22]

[23]

[24] [25]

[26]

Smith DL. Thin Film Deposition, Principles & Practice. McGrawHill Professional 1995. Tan T, Li Y, Liu Y, et al. Two-step preparation of Ag/tetrapod-like ZnO with photocatalytic activity by thermal evaporation and sputtering. Mater Chem Phys 2008; 111: 305-308. Madou M J. Fundamentals of Microfabrication, the Science of Miniaturization. 2nd Ed., CRC Press 2002. Elshabini A, Elshabini-Riad A, Barlow FD. Thin Film Technology Handbook. McGraw-Hill Professional 1998. Khandelwal R, Singh AP, Kapoor A, et al. Effects of deposition temperature on the structural and morphological properties of SnO2 films fabricated by pulsed laser deposition. Opt Laser Tech 2009; 41: 89-93. Mahmoud MH, Ahmed MA. Mssbauer study of the pulsed laser deposition of polycrystalline magnetic films. J Magnetism Magnetic Mater 2008; 320: 2647-2649. Camacho JM, Castro-Rodrguez R, Pea JL. Transparent conductive oxide thin films of CdTe-doped indium oxide prepared by pulsed-laser deposition. Opt Laser Tech 2008; 40: 895-900. Rinaldi F. Basics of Molecular Beam Epitaxy. Annual Report 2002, Optoelectronics Department, University of Ulm, 2002. Zhao M. Karim A, Hansson GV, et al. Molecular beam epitaxy growth of Si/SiGe Bound-to-Continuum quantum cascade structures for THz Emission. Thin Solid Films, doi: 10.1016/j.tsf.2008.08.091, 2008. Oehme M, Werner J, Kasper E. Molecular beam epitaxy of highly antimony doped germanium on silicon. J Crystal Growth doi:10.1016/j.jcrysgro.2008.08.018, 2008. Lin W, Pak J, Ingram DC, Smith AR. Molecular beam epitaxial growth of zinc-blende FeN(1 1 1) on wurtzite GaN(0 0 0 1). J Alloys Compd 2008; 463: 257-262. Venkatachalam M, Kannan MD, Jayakumar S, et al. Effect of annealing on the structural properties of electron beam deposited CIGS thin films. Thin Solid Films 2008; 516: 7036-7040. Xu Z, He L, Mu R, et al. Double-ceramic-layer thermal barrier coatings of La2Zr2O7/YSZ deposited by electron beam-physical vapor deposition. J Alloys Compounds, Article in Press 2008. Alaf M, Guler MO, Gultekin D, et al. Effect of oxygen partial pressure on the microstructural and physical properties on nanocrystalline tin oxide films grown by plasma oxidation after thermal deposition from pure Sn targets. Vacuum Article in Press 2008. Iacomi F, Purica M, Budianu E, et al. Structural studies on some doped CdS thin films deposited by thermal evaporation. Thin Solid Films 2007; 515: 6080-6084. Zhao SS, Du H, Zheng JD, et al. Deposition of thick TiAlN coatings on 2024 Al/SiCp substrate by Arc ion plating. Surf Coat Tech 2008; 202: 5170-5174. Huang JH, Tsai ZE, Yu GP. Mechanical properties and corrosion resistance of nanocrystalline ZrNxOy coatings on AISI 304 stainless steel by ion plating. Surf Coat Tech 2008; 202: 49925000. Miyake A, Yamada T, Makino H, et al. Effect of substrate temperature on structural, electrical and optical properties of Gadoped ZnO films on cycro olefin polymer substrate by ion plating deposition. Thin Solid Films Article in Press 2008. Bashar SA. Study of Indium Tin Oxide (ITO) for Novel Optoelectronic Devices. PhD Thesis, Kings College London, University of London, Department of Electronic Engineering 1998. Senturia SD. Microsystem Design. Springer 2001. Chawla V, Jayagantan R, Chawla AK, Chandra R. Morphological study of magnetron sputtered Ti thin films on silicone substrate. Mater Chem Phys 2008; 111: 414-418. Dunlap RA, Gaudet JM, Hatchard TD. A Mssbauer effect and Xray diffraction study of Fe- Ga- Al thin films prepared by combinatorial sputtering. J Magnetism Magnetic Mater 2008; 320: 2730-2736. Zhao QX, Bian F, Zhou Y, Gao YF, et al. Optical emission, electron temperature, and microstructure of Cu film prepared by magnetron sputtering. Mater Lett 2008; 62: 4140-4142. Zhang B, Dong X, Xu X, Zhao P, Wu J. Characteristics of zirconium-doped indium tin oxide thin films deposited by magnetron sputtering. Solar Energy Mater Solar Cells 2008; 92: 1224-1229. Shiung Hsi C, Houng B, Yi Hou B, Ju Chen G, Li Fu S. Effect of Ru addition on the properties of Al-doped ZnO thin films prepared

208 Recent Patents on Materials Science 2008, Vol. 1, No. 3 by radio frequency magnetron sputtering on polyethylene terephthalate substrate. J Alloys Comp 2008; 464: 89-94. Jensen KF. Chemical Vapour Deposition. Microelectronics Processing: Chemical Engineering Aspects, D. W. Hess, K. F. Jensen, Eds. Washington, D.C.: Amer Chemical Society 1989. Chatterjee S, Samanta SK, Banerjee HD, Maiti CK. Metalloorganic compound-based plasma enhanced CVD of ZrO2 films for microelectronic applications. Bull Mater Sci 2001; 24(6): 579-582. Yang PF, Jian SR, Lai YS, et al. Morphological, structural, and mechanical characterizations of InGaN thin films deposited by MOCVD. J Alloys Comp 2008; 463: 533-538. Luo W, Wang X, Xiao H, Wang C, et al. Growth and fabrication of AlGaN/GaN HEMT based on Si(111) substrates by MOCVD. Microelectronics J 2008; 39: 1108-1111. Malandrino G, Lipani Z, Toro RG, Fragal ME. Metal-organic chemical vapor deposition of Bi2Mn4O10 films on SrTiO3 <100>. Inorganica Chimica Acta 2008; 361: 4118-4121. Ishikawa H, Shimanaka K, Tokura F, et al. MOCVD growth of GaN on porous silicon substrates. J Crystal Growth doi:10.1016/j.jcrysgro.2008.08.030, 2008. von Rohr PR, Borer B. Plasma-Enhanced CVD for Particle Synthesis Using Circulating Fluidized Bed Technology. Chem Vap Deposition 2007; 13: 499-506. Wright DN, Marstein ES, Rognmo A, Holt A. Plasma-enhanced chemical vapour-deposited silicon nitride films; the effect of annealing on optical properties and etch rates. Solar Energy Mater Solar Cells 2008; 92: 1091-1098. Dupuis J, Fourmond E, Lelivre JF Ballutaud D, Lemiti M. Impact of PECVD SiON stoichiometry and post-annealing on the silicon surface passivation. Thin Solid Films 2008; 516: 6954-6958. Blackman CS, Carmalt CJ, ONeill SA, et al. AtmosphericPressure CVD of Vanadium Phosphide Thin Films from Reaction of Tetrakisdimethylamidovanadium and Cyclohexylphosphine. Chem Vap Deposition 2004; 10(5): 253-255. Zhou J, Xu H, Mab Q, Zhang L, Dai Y, Peng B. Mechanical and thermal properties of SiO2/S composite coating prepared by APCVD. Mater Sci Eng A 2008; 491: 147-153. Zhang J, Li J, Luo L, Wo Y. Microstructure and morphology of SiOx film deposited by APCVD. J Alloys Comp doi:10.1016/ j.jallcom.2008.02.014, 2008. Morales C, Juarez H, Diaz T, et al. Low temperature SnO2 films deposited by APCVD. Microelect J 2008; 39: 586-588. [40]

Giouroudi et al. Zheng H, Su J, Fu Z, Li G, Li X. Heteroepitaxial growth and characterization of 3C-SiC films on on-axis Si (110) substrates by LPCVD. Ceramics Int 2008; 34: 657-660. Endler I, Hhn M, Herrmann M, Pitonak R, et al. Novel aluminumrich Ti1xAlxN coatings by LPCVD. Surface Coatings Technol; doi:10.1016/j.surfcoat.2008.04.098, 2008. Frazier AB, Allen MG. Metallic microstructures fabricated using photosensitive polyimide electroplating molds. Microelectromech Syst 1993; 2: 87-94. Guckel H. High-aspect-ratio micromachining via deep X-ray lithography. Proc IEEE 1998; 86:1586-1593. Tang J, Zuo Y. Study on Corrosion Resistance of Palladium Films on 316L Stainless Steel by Electroplating and Electroless Plating. Corrosion Science, doi: 10.1016/j.corsci.2008.07.014, 2008. Tatjana Dedova, Chemical Spray Pyrolysis Deposition of Zinc Sulfide Thin Films and Zinc Oxide Nanostructured Layers, PhD Thesis, Tallinn University of Technology, Faculty of Chemistry and Materials Technology, Department of Materials Science, Chair of Semiconductor Materials Technology, 2007. Lim, H.J., Lee, S.I., Lee, S.K., Seo, T.W., Chang, H.S.; WO06041267 (2006). Probst, V., Stetter, W.: WO07122203 (2007). Delrue, H., Denul, J., Segers, A.: WO07042394 (2007). Kang, G., Chaug, Y.S.: WO08082275 (2008). Thie, W., Boyd, J.M., Dordi, Y., Redeker, F.C.: WO08045648 (2008). Ma, C., McFarlane, G., Wang, Q., MinHelly, P. J.: WO/2008/010941 (2008). Younger, D.W., Hilton, L.A.: WO05083143 (2005). Wagner, I.: WO06063484 (2006). Liu, B.Y.H., Ma, Y.: WO06098792 (2006). Sferlazzo, P., Mao, M., Chen, J., Felsenthal, D., Hieronymi, R.G., Eror, M.: WO07106732 (2007). Baek, Y.K., Lee, S.H.: WO06065014 (2006). Wan, Y., Parthasarathy, S.R., Chartier, C., Servini, A., Khattak, C.P.: WO07127657 (2007). Nll, O.: WO08006856 (2008). Mochizuki, T., Inoue, T., Uetake, K.: WO08012921 (2008). Armanini, E.: WO08051359 (2008). Report NanoMarkets, Thin Film Photovoltaics Markets: 2008 and Beyond, item number: Nano-054, http://www.nanomarkets.net/ http://w1.siemens.com/press/en/pressrelease/2008/osram/osram 20080812.htm

[27]

[41] [42]

[28] [29]

[43] [44] [45]

[30] [31]

[32] [33]

[46] [47] [48] [49] [50] [51] [52] [53] [54] [55] [56] [57] [58] [59] [60] [61] [62]

[34]

[35] [36]

[37]

[38] [39]

You might also like