You are on page 1of 26

Nonlinear Dynamics (2005) 41: 211236 c Springer 2005

Reduced-Order Models for MEMS Applications


ALI H. NAYFEH
1.
, MOHAMMAD I. YOUNIS
2
, and EIHAB M. ABDEL-RAHMAN
1
1
Department of Engineering Science and Mechanics, MC 0219, Virginia Polytechnic Institute and State University, Blacksburg,
VA 24061, U.S.A.;
2
Department of Mechanical Engineering, State University of New York at Binghamton, Binghamton, NY
13902, U.S.A.;

Author for correspondence (e-mail: anayfeh@vt.edu; fax: +1-540-231-2290)
(Received: 19 August 2004; accepted: 6 October 2004)
Abstract. We review the development of reduced-order models for MEMS devices. Based on their implementation procedures,
we classify these reduced-order models into two broad categories: node and domain methods. Node methods use lower-order
approximations of the systemmatrices found by evaluating the systemequations at each node in the discretization mesh. Domain-
based methods rely on modal analysis and the Galerkin method to rewrite the system equations in terms of domain-wide modes
(eigenfunctions). We summarize the major contributions in the eld and discuss the advantages and disadvantages of each imple-
mentation. We then present reduced-order models for microbeams and rectangular and circular microplates. Finally, we present
reduced-order approaches to model squeeze-lm and thermoelastic damping in MEMS and present analytical expressions for the
damping coefcients. We validate these models by comparing their results with available theoretical and experimental results.
Key words: MEMS, microbeams, microplates, reduced-order models, squeeze-lm damping, thermoelastic damping
1. State-of-the-Art
The dynamics of MEMS are represented by partial-differential equations (PDEs) and associated bound-
ary conditions. The most widely used method to treat these distributed-parameter problems is to reduce
them to ordinary-differential equations (ODEs) in time and then solve the reduced equations either
numerically or analytically. Three approaches are used in the reduction.

Idealization of the device exible structural elements as rigid bodies.

Discretization using nite-element methods (FEM), boundary-element methods (BEM), or nite-


difference methods (FDM).

Construction of reduced-order models (ROM).


The rst and second approaches, while lying at opposite extremes of complexity, are currently the
most widely used. The pressure for better designs, less trial-and-error in the design process, and better
device performance demands better models than idealized rigid bodies. Numerous researchers compared
the pull-in voltage of electrostatically actuated cantilever [1] and clampedclamped [2] microbeams
obtained by solving the distributed-parameter system to those obtained using a spring-mass model and
found that the spring-mass model underpredicts the pull-in voltage.
Although FEM/BEM and FDM simulations are adequate for the analysis of the static deections
(equilibrium positions) of MEMS devices, they are inadequate for dynamic simulations because they
require the time integration of thousands of second-order ODEs (one for each degree of freedom in
the model). This is a very expensive process, making system-level simulation, device optimization,
interactive design, and evolutionary design almost impossible. As a result, reduced-order modeling of
MEMS is gaining attention as a way to balance the need for enough delity in the model against the
numerical efciency necessary to make the model of practical use in MEMS design.
212 A. H. Nayfeh et al.
There are two main classes of methods used to create ROMs: node and domain methods. All of
these methods aim to create a transformation from the physical coordinates of the device to a set of q
generalized coordinates associated with the eigenfunctions corresponding to the q lowest eigenvalues.
The differences among these methods lie in the techniques used to obtain this transformation.
1.1. NODE METHODS
This class of methods eliminates the spatial dependence in the PDEs by evaluating them at the nodes
of a discretization of the device structures (and cavities). The variation over time of the displacement
(and coupled parameters) at these nodes constitutes the state vector {x(t )}
n
of the discretized system of
ODEs; that is,
{ x}
n
= { f (x)}
n
+[B]
nm
{u}
m
(1)
where { f (x)} is a nonlinear function of the state vector and {u(t )} is the input to the system. Linear
algebra techniques are then used to create a transformation matrix [T] to reduce the size of the state
vector from n to q, according to
{x}
n
= [T]
nq
{y}
q
This transformation is then used to reduce system (1) to
{ y}
q
= {

f (y)}
q
+[

B]
qm
{u}
m
(2)
To this end, the Guyan method [3] uses the following procedure.

In the mesh, designate q states as master states {x


q
}, representing the motions of the dominant modes
in the system, and designate the rest of the states {x
nq
} as slave states.

Set the time derivatives of the slave states in Equation (1) equal to zero.

Linearizes { f (x)} around an equilibrium point {x


o
} in state space, set the input equal to zero, and
retain only the last n q equations of (1) to get
_
K
(nq)q
K
(nq)(nq)
_
_
x
q
x
nq
_
= 0

Use this equation to write the slave states {x


nq
} in terms of the master states {x
q
} and obtain the
transformation
{x} =
_
I
qq
K
1
(nq)(nq)
K
(nq)q
_
{x
q
}

Insert this transformation into the linearized form of system (1) to obtain the reduced system
{ y}
q
= [A]
qq
{y}
q
+[

B]
qm
{u}
m
(3)
where {y} = {x
q
}.
The reduced-order model (3) can predict small motions around an equilibrium position in the neighbor-
hood of the point {x
o
} in state space. However, the model performs poorly in predicting transients, large
motions, and motions around equilibrium positions away from{x
o
} [4]. The substructuring technique
in ANSYS 5.6 and 5.7 employs the Guyan method [5] to create reduced-order models of MEMS.
Reduced-Order Models for MEMS Applications 213
Krylov subspace methods use the Arnoldi or Lanczos procedures to create an orthonormal basis for
the subspace K
q
{[
f
x
]. [B]} of system (1), which is then used to construct [T]. Both procedures are
equivalent to a moment-matching procedure in the s-space between a Taylor series expansion around
s = s
o
(usually taken equal to zero) of the Laplace transform of the original model, linearized at a point
{x
o
} in state space, and the Laplace transform of the ROM. The accuracy of the ROM depends on the
order of the moment matching (number of vectors q in the basis set). The resulting ROM can predict
transient and steady-state responses in the neighborhood of {x
o
} as long as their frequency content is in
the neighborhood of s
o
. The truncated balanced realization (TBR) method uses the controllability and
observability Grammians of system (1), linearized around a point {x
o
}, to construct a transformation
matrix [T] out of the eigenfunctions corresponding to the highest q Hankel singular values of the
linearized model.
Bechtold et al. [6] used each of the Arnoldi procedure and the TBR to produce a ROM describing
the thermo-electric behavior of a micro-ignition unit. The original PDE was linear, as a result they
were able to apply directly both the TBR and a classical Krylov subspace method. They found that,
while both reduction methods reproduce the full model behavior accurately, the transient response of a
Krylov-based model was less accurate than that of a TBR-based model of the same order q. Also, the
steady-state response of a Krylov-based model diverged from that of the full-scale model at a lower
excitation frequency than a TBR-based model of the same order q. On the other hand, the computational
cost of a Krylov-based model is of order O(n
2
), while that of a TBR-based model is of order O(n
3
).
As a result, it is not practical to use the TBR for systems where n is more than a few hundred. Bechtold
et al. [4] compared the use of the Guyan and Krylov subspace methods to produce reduced-order
models for the device of Bechtold et al. [6]. They found that the performance of the Guyan method
is inferior to that of a Krylov subspace method of the same order q in predicting the device transient
response.
Wang and White [7] used the Arnoldi procedure to produce a ROMfroma linearized full model of the
micro-switch of Hung et al. [8]. They found that the reduced model predictions are accurate for small
motions but deviate signicantly fromthe original model results for large motions. Bai et al. [9] used the
Lanczos algorithm to implement a reduced-order modeling capability in the MEMS analysis software
SUGAR2.0 and 3.0. The routine also starts with a linearized full model except for the electrostatic force,
which was represented using the closed-form parallel-plate formula in both the original and reduced
models. They used the routine to generate ROMs for a gap-closing actuator [10] and a torsional micro-
mirror [11]. In both devices, the nonlinear mechanical restoring forces were minimal. The transient and
steady-state predictions of the ROMs were in good agreement with the full model predictions. They
also found that the ROMs, where q is on the order of O(10) [11], are able to produce accurate results for
excitation frequencies up to the order of a few tens of KHz. Srinivasan et al. [12] also used the Lanczos
algorithmto generate a ROMof a comb-drive microresonator based on a linear FEMmodel. They found
the system responses predicted by the ROM around the rst natural frequency of the resonator in good
agreement with those produced using the FEM model, analytical formulae, and the software package
NODAS.
Chen and White [13] extended the Krylov subspace methods to quadratic systems by applying a mod-
ied Arnoldi procedure to a second-order Taylor series expansion of the original model, Equation (1),
around a point in state space {x
o
}. They used this procedure to generate a ROM for a nonlinear model of
a capacitor resistor circuit. On the other hand, Ramaswamy and White [14] and Chen and Kang [15
17] extended the Krylov subspace methods to weakly nonlinear systems where the electrostatic force,
rather than the full system model, is expanded in a higher-order Taylor series. They used the Arnoldi
procedure to produce ROMs linear on the mechanical side and quadratic or cubic on the electric force
214 A. H. Nayfeh et al.
side. Ramaswamy and White [14] generated ROMs for a capacitively driven cantilever beam and a
rectangular micro-mirror, whereas Chen and Kang [1517] generated progressively rened ROMs for
the micro-switch of Hung et al. [8]. They found that (a) the quadratic ROM predictions match those of
the original model over a larger range of motion than a linear ROMof the same order q and (b) the cubic
ROM is able to match the original model predictions over an even larger range than a quadratic ROM
of the same order q. They used the same procedure [18] to extract a quadratic ROM of a micro-mirror.
They found that the model is able to match both of the transient and steady-state responses predicted
by the original model away from pull-in (the snap-down angle).
While Krylov subspace methods have been used to create linear, quadratic, and cubic ROMs, the
memory and computational costs of the process growexponentially [1820] with the order of the ROM.
As a result, it is limited in practice to cubic models beyond which it becomes too expensive. This is a
signicant drawback since the electrostatic forcing represents a strong (high-order) nonlinearity. The
traditional approach used to treat it is to expand the forcing term in a Taylor series. Truncation of the
higher-order terms introduces signicant errors for large device motions and leads to an overestimation
of the pull-in voltage.
To overcome this limitation, Rewie nski and White [19] proposed an alternate implementation of
Krylov subspace methods: a trajectory piecewise-linear (TPWL) approach. First a training signal is
used in a full (or approximate) model to generate the trajectory of the device response to the signal,
then linear expansions of the model are obtained around a series of equidistant states {x
i
} along the
trajectory. The matrix [T] is constituted from the union of the Krylov basis at the initial state {x
0
}
and the vector {x
0
} orthonormalized with respect to the basis set. The transformation matrix is then
used to reduce the size of the TPWL model from n to q. A ROM constructed using this method for
the micro-switch of Hung et al. [8] was able to predict responses to various input signals as long
as the response trajectory was close to the training trajectory. They found that the ROM predictions
were more accurate than the linear model of Wang and White [7] and the quadratic model of Chin
and White [13] of the same order q. The model, however, failed to predict the pull-in behavior when
the training signal used to generate the ROM did not trigger pull-in. As a result, the application of
TPWL is limited to responses qualitatively similar to those lying on the trajectory used to produce the
model.
Rewie nski and White [20, 21] extended the basis set used to generate [T] by incorporating the Krylov
basis sets and the vectors {x
i
} at each of the linearization states {x
i
} along the training trajectory. They
also used the reduced-order model produced at point {x
i 1
} as an approximation of the full model to
step in time to point {x
i
} where another linearized model is produced. At each linearization point, they
performed singular value decomposition (SVD) on [T] to eliminate redundant vectors and vectors with
singular values less than a set accuracy limit. They found that the accuracy of a ROM based on the
extended basis set was better than a ROM produced using the simple set of Rewie nski and White [19]
even where the extended set order q was smaller than the simple set order.
Vasilyev et al. [22] compared the implementation of the Krylov subspace method of Rewie nski and
White [19] to the use of TBR and the use of a Krylov subspace method to perform an intermediate
order reduction followed by TBR, all applied at the initial state {x
0
}, to create a TPWL reduced-order
model. They found that the ROMs produced using TBR and Krylov-TBR have better accuracy than
the ROM based on a pure Krylov reduction for the same model order q. The Krylov-TBR approach
has the added advantage of numerical efciency, allowing it to be applied to systems larger than those
where a pure TBR is typically useful. On the other hand, they found that the TBR and Krylov-TBR
based ROMs are only stable and accurate where the model order q is even; models with odd q are
unstable.
Reduced-Order Models for MEMS Applications 215
1.2. DOMAIN METHODS
Methods in this class eliminate the spatial dependence in the PDEs using the Galerkin method. The
displacement (and coupled parameters) are expressed as a linear combination of a complete set of
linearly independent basis functions
i
(x. y. z) in the form
n(x. y. z. t ) =

i =1
u
i
(t )
i
(x. y. z)
where u
i
(t ) is the generalized coordinate associated with basis function
i
(x. y. z). Truncating the
summation series to a nite number n,
n(x. y. z. t ) =
n

i =1
u
i
(t )
i
(x. y. z) (4)
substituting Equation (4) into the PDEs, and requiring the residue to be orthogonal to every basis
function, we obtain n second-order ODEs in time in terms of the generalized coordinates u
i
(t ).
The basis set can be chosen arbitrarily, as long as its element satisfy all of the boundary conditions
and are sufciently differentiable. To enhance convergence, the basis set has to be chosen to resemble
the behavior of the device. Two ways have been used to generate the basis set.

Conducting experiments or solving the PDEs using FEM or FDM to generate snapshots describing
the variation of the states over time (motion of the device) under a training signal, then applying
a modal analysis method, one of the variations of the proper orthogonal decomposition method
[23] (singular value decomposition, SVD; KarhunenLo` eve decomposition, KLD; and principal
component analysis, PCA) to the time series to extract the mode shapes of the device structural
elements (and corresponding eigenfunction of the coupled domains).

Solving the linear undamped eigenvalue problem (EVP) of the device to obtain the mode shapes of
the structural elements (and corresponding eigenfunctions of the coupled domains).
1.2.1. Basis Set from Time Series
Hung et al. [8] and Hung and Senturia [24] simulated the dynamics of a capacitive micro-switch made of
a clampedclamped microbeam and represented by two coupled PDEs accounting for the microbeam
motions and the pressure of the air trapped underneath it. They generated a basis set for the beam
deection and another for the air pressure by applying SVD to a time series produced from a few
runs of a fully meshed nite-difference solution of the PDEs. They indicated that both basis sets are
similar to the linear mode shapes and eigenfunctions of the undeected microbeam. Chen and Kang
[15] used KLD to generate basis sets for the same model. The results of the ROMs generated using both
approaches converged to those of the original model as the order q of the ROM was increased. There
was no clear difference in the convergence speed between SVD and KLD.
Chen and Kang [18] used KLD to generate a basis set for the air pressure under a rigid micro-mirror
and extract a ROMfor the device. They found that the model was able to match both of the transient and
steady-state responses predicted by the full model even for large motions close to the snap-down angle.
They also used KLD [25], modied to use snapshots taken over constant distances along the Jacobian
of the system rather than time, to generate two basis sets to represent the diaphragm deection and the
air pressure of a capacitive pressure sensor. They found that, for the same ROM order q, the standard
216 A. H. Nayfeh et al.
KLD smoothed out fast variations in the original model response, while the modied KLD was able to
reproduce those variations.
Liang et al. [23, 26] simulated the dynamics of a clampedclamped microbeam using a generalized
Hebbian algorithm to perform PCA on a noise-free [26] and a noisy [23] time series from a nite-
difference solution of the PDEs describing the microbeam motion and its interaction with the air
underneath it. The snapshots were obtained from the device response to two step voltages larger than
the pull-in voltage and a basis set was generated for each of the beam deection and air pressure. They
found that the basis sets obtained using PCA and KLD were similar for noise-free data. When noise
was injected into the data, KLD produced distorted basis sets, while a robust PCA algorithm smoothed
out the noise from the basis sets.
Lin et al. [27] produced the rst model of a complex device made of more than one primitive structural
element (beam, plate, or desk). They used nite differences to generate a time series for a micro-mirror
made of a plate suspended from two beams over an air gap and actuated by a step voltage beyond the
pull-in voltage. Applying KLDto the time series, they found the local basis sets for each of the structural
elements and the trapped air, then they used component mode synthesis to create a ROM for the overall
structure. They compared predictions of this ROM and those of another ROM generated using a global
basis set for all three structures to the nite-difference results and found that the ROM generated using
local basis sets had an accuracy superior to that of a ROM generated using a global basis set.
Qiao and Aluru [28] modeled electroosmotic transport in straight micro-channels using the
PoissonBoltzman and NavierStokes PDEs. They used the nite-cloud method to solve the PDEs and
obtained time series representing the velocity prole of the ow for a given training signal, then they
used SVD to extract a basis set for the ow velocity. The resulting ROM was valid only for actuating
voltages in the neighborhood of the training signal. To obtain a model valid over a signicant range of
voltages, they used a time series composed of snapshots taken over the whole voltage range. The new
model was valid over the whole range and had an extra mode not present in the original basis set.
De and Aluru [29] modeled a capacitive micro-switch made of a clampedclamped microbeam using
a PDE describing the plate deections and an integral equation describing the electric potential across
the capacitor. They used the nite-cloud and boundary-cloud methods to solve the equations and obtain
time series for the deection and electric charge distribution for a given training signal, then they used
SVD to extract a basis set for each of them. They employed the basis sets in conjunction with the
collocation method, rather than the Galerkin method, to produce a ROM for the device. The model was
valid only for small motions.
We conclude that ROMs generated using this approach can only predict motions qualitatively similar
to those of the original time series. For example, a ROM cannot predict the pull-in dynamics using a
time series representing the response to actuation voltages below the pull-in voltage. Also, the accuracy
of the model degrades as the magnitude of the applied voltage deviates from that used as a training
signal(s). In other words, these models represent local approximations of the original PDEs.
1.2.2. Basis Set from EVP
Anathasuresh et al. [30] and Grt etillat et al. [31] used the linear undamped mode shapes of a straight
beamas a basis set to produce a ROMdescribing the dynamics of a micro-switch made of an asymmetric
clampedclamped microbeam. They found a discrepancy between the results obtained using the model
and experimental data. The model underestimated the pull-in time (switching time) because it neglected
mid-plane stretching and residual stresses in the beam.
Gabbay et al. [32] developed an automated procedure to generate a ROMfromFEM/BEMsimulations
of a device response to a fewtraining signals spanning the operation domain. The procedure is limited to
Reduced-Order Models for MEMS Applications 217
conservative systems and small motions around a statically deected position of the structural element.
They used the linear mode shapes of the deected structural element as a basis set to represent the inertia
and linear stiffness terms in the ODEs. The simulation results were used to express the electrostatic
energy as a tted rational polynomial in terms of the generalized coordinates. The electrostatic force
was then introduced into the ODEs as the derivative of the electrostatic energy with respect to the
generalized coordinates. They reported that this approach overestimates the structural stiffness and fails
to correctly predict the dynamics of a clampedclamped microbeam at a DC voltage beyond 30% of
the pull-in voltage. Varghese et al. [33] used this approach to produce a ROM of the beam response to
a Lorentz force generated by a magnetic eld.
Mehner et al. [34] modied this procedure to address problems involving mid-plane stretching and
large displacements. They modied the constraints on the nodes of the FEMcode to stretch and contract
as they bend to avoid stiffness overestimation and used the modied code to extract the mode shapes of
the deected structural element, which were adopted as a basis set for the system. Simulations of the
device under various training signals were used to write the strain energy and the electrostatic energy
as tted rational polynomials in terms of the generalized coordinates associated with the mode shapes.
They found that the modied mode shapes were close to, but different from, the linear mode shapes of
Gabbay et al. [32].
Bennini et al. [35] modied the procedure of Gabbay et al. [32] to express both of the strain energy and
electrostatic energy as tted regular polynomials in terms of the generalized coordinates and to allow
for constant modal damping. Mehner et al. [36] extended this procedure to account for the squeeze-lm
effects using a fewFEMruns to create polynomials, in terms of the generalized coordinates, representing
linear stiffness and damping coefcients corresponding to each basis function. The ROM140 of ANSYS
7.0 element uses this process to represent the effect of squeeze-lmdamping, while the ROM144 element
of ANSYS 7.0 uses the procedure of Bennini et al. [35] to produce ROMs for MEMS devices.
Westby and Fjeldly [37] and Xie et al. [38] used the linear mode shapes of the structural element
as a basis set to express the motion of undamped MEMS exhibiting quadratic and cubic nonlinearities
in terms of a few ODEs. They used the center manifold method to reduce the number of these ODEs
further to those describing the temporal variation of one [38] or two [37] dominant nonlinear normal
modes. The ODEs were then solved analytically for the device response.
In the following sections, we present reduced-order models for electrically actuated microbeams
and rectangular and circular microplates using the linear undamped mode shapes of the unactuated
structure as a basis set in the Galerkin procedure. We present results showing the efciency and
accuracy of these models.
2. Microbeams
We consider a clampedclamped microbeam (Figure 1), subject to viscous damping with a coefcient c
per unit length and actuated by an electric load :(

t ) = V
DC
+V
AC
cos(O

t ), where V
DC
is the DC polar-
ization voltage and V
AC
and O are the amplitude and frequency of the AC voltage. The nondimensional
equation of motion and boundary conditions that govern the transverse deection of the microbeam are
written as [39, 40]

4
n
x
4
+

2
n
t
2
+c
n
t
= [
1
I(n. n) + N]

2
n
x
2
+

2
:(t )
2
(1 n)
2
(5)
n(0. t ) = n(1. t ) = 0.
n
x
(0. t ) =
n
x
(1. t ) = 0 (6)
218 A. H. Nayfeh et al.
Figure 1. A schematic of an electrically actuated microbeam.
where x, t , and n, are the nondimensional position, time, and transverse deection, respectively. They
are related to the dimensional variables (denoted by hats) by
n =
n
d
. x =
x

. t =

t
T
(7)
where d is the capacitor gap width, the length of the beam, and T =
_
A
4
,EI . The parameters
appearing in Equation (5) are

1
= 6
_
d
h
_
2
.
2
=
6c
4
Eh
3
d
3
. c =
c
4
EI T
. N =

N
2
EI
(8)
where A and I are the area and moment of inertia of the cross section, the material density, E Youngs
modulus, h the microbeam thickness, c the dielectric constant of the gap medium, and

N an applied
tensile axial force. The functional I is given by
I( f
1
(x. t ). f
2
(x. t )) =
_
1
0
f
1
x
f
2
x
dx (9)
We generate a ROM [41, 42] by discretizing Equations (5) and (6) into a nite-degree-of-freedom
system consisting of ordinary-differential equations in time. We use the linear undamped mode shapes
of the straight microbeam (V
DC
= 0) as basis functions in the Galerkin procedure. To this end, we
express the deection as
n(x. t ) =
M

i =1
u
i
(t )
i
(x) (10)
We multiply Equation (5) by (1 n)
2
, substitute Equation (10) into the resulting equation, use
the linear undamped mode shape equation [41, 42] to eliminate
i :
i
, multiply by
n
(x), integrate the
outcome from x = 0 to 1, and obtain
u
n
2
M

i. j =1
A
i j n
u
j
u
i
+
M

i. j.k=1
A
i j kn
u
j
u
k
u
i
c u
n

2
n
u
n
=
2
A
n
:(t )
2
+2
M

i. j =1

2
i
A
i j n
u
i
u
j

M

i. j.k.=1

2
i
A
i j kn
u
i
u
j
u
k
+2c
M

i. j =1
A
i j n
u
j
u
i
c
M

i. j.k=1
A
i j kn
u
j
u
k
u
i
+
1
M

i. j.k=1
u
i
u
j
u
k
I(
i
.
j
)
_
1
0

k
dx 2
1
M

i. j.k.l=1
u
i
u
j
u
k
u
l
I(
i
.
j
)
_
1
0

l

n
dx
+
1
M

i. j.k.l.m=1
u
i
u
j
u
k
u
l
u
m
I(
i
.
j
)
_
1
0

k
dx for n = 1. 2. . . . . M (11)
Reduced-Order Models for MEMS Applications 219
Figure 2. Variation of W
max
calculated using the ROM for two cases: three symmetric modes (dashed line) and ve symmetric
modes (solid line). The discrete points are results obtained by solving the static boundary-value problem using a shooting method
[39, 40].
where the prime denotes differentiation with respect to space x, the overdot denotes differentiation with
respect to the time t ,
i
is the i th natural frequency of the microbeam, and the functional A is dened
by
A
n
=
_
1
0

n
dx. A
i n
=
_
1
0

n
dx. A
i j n
=
_
1
0

n
dx. . . .
Using three or more modes in Equation (11) was shown [41, 42] to give good convergence for the stable
equilibria.
In Figure 2, we compare the microbeam mid-point deection W
max
calculated using the ROM and
employing the rst three and ve symmetric modes with results obtained by solving the static boundary-
value problem using a shooting method [39, 40]. The ve-mode solution is in excellent agreement with
the results of the shooting method for both of the upper and lower branches.
We use the ROM to calculate the natural frequencies of a resonant microsensor. For a given voltage
:, we substitute the static solution corresponding to the lower branch into the Jacobian matrix of
Equation (11) and nd the corresponding eigenvalues. Then by taking the square root of the magnitudes
of the individual eigenvalues, we obtain the natural frequencies of the device. In Figure 3, we compare
the normalized fundamental natural frequency calculated using the ROMand employing ve symmetric
modes in the discretization (solid line) with results obtained by solving the eigenvalue problem of the
distributed-parameter system (triangles) using a shooting method [39, 40] and the experimental results
(circles) obtained by Tilmans and Legtenberg [43] for a resonator with the specications l = 210 jm,
h = 1.5 jm, b = 100 jm, d = 1.18 jm, E = 166 GPa, and

N = 0.0009 N. There is an excellent
220 A. H. Nayfeh et al.
Figure 3. Acomparisonof the normalizedfundamental natural frequencycalculatedusingthe ROMandemployingve symmetric
modes in the discretization (solid line) with results obtained by Abdel-Rahman et al. [39] and Younis et al. [40] (triangles) and
the experimental results (circles) obtained by Tilmans and Legtenberg [43].
agreement among the results. The ROM shows robustness in predicting the natural frequency over
the whole range even as the microbeam approaches its stability limit where the frequency approaches
zero.
To demonstrate the ROM ability to predict the dynamic behavior of microbeam-based MEMS, we
calculate the pull-in time of a pressure sensor. We plug the
i
and
i
corresponding to the rst M
symmetric modes into Equation (11) and integrate them in time for the u
i
(t ). To obtain the deection
variation with time, we use Equation (10) with the calculated
i
and u
i
(t ). We nd the pull-in time
by monitoring the beam response over time for a sudden rise in the displacement, at that point we
report the time as the pull-in time. Figure 4 shows the evolution of u
1
, the dominant coefcient,
with the nondimensional time obtained by integrating Equation (11) using the rst ve symmetric
modes. The nondimensional pull-in time is approximately t = 3.4, where a sudden rise in u
1
occurs.
3. Rectangular Microplates
We model a capacitively actuated microplate by using the dynamic analog of the von K arm an equations
to account for moderately large deections [44, 45]; that is,
1
2
(1 )
_

2
u
y
2
+

2
:
x y
_
+
1
2
(1 )
_
n
x
n
2
y
2
+
n
y

2
n
x y
_
+

2
u
x
2
+
:
x y
+
n
x

2
n
x
2
+
n
y

2
n
x y
= 0 (12)
Reduced-Order Models for MEMS Applications 221
Figure 4. Evolution of u
1
with the nondimensional time demonstrating the onset of pull-in.
1
2
(1 )
_

2
u
x y
+

2
:
x
2
_
+
1
2
(1 )
_
n
x

2
n
x y
+
n
y

2
n
x
2
_
+

2
:
y
2
+

2
u
x y
+
n
y

2
n
x y
+
n
x

2
n
x y
= 0 (13)
1
12
h
2
_

4
n
x
4
+2

4
n
x
2
y
2
+

4
n
y
4
_
+
(1
2
)
E

2
n

t
2

c(1
2
):(

t )
2
2Eh(d n)
2
=

N
xx
Eh

2
n
x
2
+2

N
xy
Eh

2
n
x y
+

N
yy
Eh

2
n
y
2
+
u
x

2
n
x
2
+
:
y

2
n
y
2
+
:
y

2
n
x
2
+
u
x

2
n
y
2
+
1
2
_
n
x
_
2

2
n
x
2
+
1
2
_
n
y
_
2

2
n
y
2
+
1
2

_
n
y
_
2

2
n
x
2
+
1
2

_
n
x
_
2

2
n
y
2
+(1 )
_
u
y
+
:
x
+
n
x
n
y
_

2
n
x y
(14)
where u( x. y.

t ), :( x. y.

t ), and n( x. y.

t ) are the displacements in the x, y, and z-directions,



N
i j
is the
applied force on the i -edge in the j -direction, and is Poissons ratio. For convenience, we introduce
the following nondimensional variables [46]:
u =
a u
2d
2
. : =
a :
2d
2
. n =
n
d
. N
i j
=

N
i j
Eh
.
x =
2 x
a
1. y =
2 y
b
1. t =
2h

t
_
3(1
2
)a
4
,E
222 A. H. Nayfeh et al.
This choice of x and y shifts the center of the plate to the point (x = 0. y = 0). The nondimensional
counterparts of Equations (12)(14) are
1
2
(1 )
_

2
u
y
2
+

2
:
xy
_
+
1
2
(1 )
_
n
x

2
n
y
2
+
n
y

2
n
xy
_
+
2

2
u
x
2
+

2
:
xy
+
2
n
x

2
n
x
2
+
n
y

2
n
xy
= 0 (15)
1
2
(1 )
_

2
u
xy
+
2

2
:
x
2
_
+
1
2
(1 )
_

n
x

2
n
xy
+
n
y

2
n
x
2
_
+

2
:
y
2
+

2
u
xy
+
1

n
y

2
n
y
2
+
n
x

2
n
xy
= 0 (16)

4
n
x
4
+
2

4
n
x
2
y
2
+
1

4
n
y
4
+

2
n
t
2

2
:(t )
2
(1 n)
2
= 3
2
0
_
N
xx

2
n
x
2
+
2

N
xy

2
n
xy
+
1

2
N
yy

2
n
y
2
_
+12
2
1
_
u
x
+

:
y
_

2
n
x
2
+12

2
1

2
_

u
x
+
1

:
y
_

2
n
y
2
+12
2
1
(1 )
_
1

2
u
y
+
1

:
x
_

2
n
xy
+12

2
1

2
(1 )
n
x
n
y

2
n
xy
+6
2
1
__
n
x
_
2
+

2
_
n
y
_
2
_

2
n
x
2
+6

2
1

2
_
1

2
_
n
y
_
2
+
_
n
x
_
2
_

2
n
y
2
(17)
The parameters appearing in Equations (15)(17) are
=
b
a
.
0
=
a
h
.
1
=
d
h
.
2
=
3
8
1
2
Eh
3
d
3
ca
4
(18)
Zhao et al. [46] solved the linear undamped eigenvalue problem using the hierarchical nite-element
method (HFEM) to obtain the microplate eigenfunctions
i
(x. y) and write the transverse displacement
eld as
n(x. y. t ) =
N

i =1
q
i
(t )
i
(x. y) (19)
SubstitutingEquation(19) intoEquations (15) and(16) andconsideringthe associatedin-plane boundary
conditions yields a set of boundary-value problems for u and :. Using the HFEM, Zhao et al. [46] solved
for u and : in terms of q
i
(t ); that is,
u = u(x. y. q
i
(t )) and : = :(x. y. q
i
(t )) (20)
Multiplying both sides of Equation (17) by (1 n)
2
, substituting Equations (19) and (20) into the
outcome, and applying the Galerkin procedure, then obtained a set of nonlinearly coupled ODEs, which
is the ROM for the microplate.
Francais and Dufour [47] measured the center deection of a fully clamped square microplate under
various electrostatic actuations. In Figure 5, we compare the deection n
max
at the center of the plate
Reduced-Order Models for MEMS Applications 223
Figure 5. Comparison of n
max
calculated using the ROM (solid and dotted curves) with the experimental results (+) of Francais
and Dufour [47].
Figure 6. Variation of the normalized fundamental natural frequency
1
with the electrostatic load
2
V
2
p
for various values
of
1
.
calculated using the ROM with the experimental results of Francais and Dufour. The ROM shows good
agreement and robustness, being able to predict deections up to pull-in. The dots correspond to unstable
equilibrium solutions and the solid line corresponds to stable equilibrium solutions calculated using the
ROM.
When the microplate is deected, the linear mode shapes and natural frequencies change cor-
respondingly. Figure 6 shows variation of the fundamental natural frequency
1
of the deected
plate, normalized with respect to the natural frequency
0
1
of the at plate, with the electrostatic load

2
V
2
p
. For low values of
1
= d,h, the fundamental natural frequency decreases as the electrostatic
force increases and approaches zero as pull-in develops. As
1
increases, the fundamental natural
frequency increases for the same level of electrostatic forcing. At high values of
1
, the fundamental
natural frequency rst increases with the electrostatic force, then decreases, and eventually approaches
zero.
4. Circular Microplates
We consider a circular plate with radius R fully clamped above a parallel electrode. The plate is subject
to viscous damping with a coefcient c per unit length. The nondimensional equations governing the
224 A. H. Nayfeh et al.
axisymmetric transverse deection of the plate n can be written as [48]

2
n
t
2
+
4
n=
_
1
r

r
_
n
r
+
r
_
+

r

r
_
r
n
r
_
2c
n
t
+ F(r. t )
_
+
:(t )
2
(n
max
n)
2
(21)

4
+=
1
r

2
n
r
2
n
r
(22)
where
4
is the polar biharmonic operator, is the residual stress, F(r. t ) is an additional axisymmetric
pressure, and +(r. t ) is the stress function. The nondimensional variables and parameters appearing in
Equations (21) and (22) are related to the dimensional quantities (denoted by hats) according to the
following relations:
r = Rr.

t = R
2
_
h
D
_
1,2
t. n =
h
2
R
n. c =
24(1
2
)
R
4
(h
5
D)
1,2
c.

F =
12(1
2
)Dh
4
R
7
F. :
2
(t ) =
2Dh
6
c R
7
:
2
(t ). =
Eh
4
R
4
.

+ =
Eh
5
R
2
+. (23)
n
max
=
d R
h
2
. and =
12(1
2
)h
2
R
2
where D =
Eh
3
12(1
2
)
is the plate exural rigidity. The boundary conditions are
n(1. t ) = 0.
n(1. t )
r
= 0. and n(0. t ) is bounded (24)
To generate the ROM, Vogl and Nayfeh [48] let
n(r. t ) =
N

m=1

m
(t )
m
(r) (25)
+(r. t ) =
N

m.n=1

m
(t )
n
(t )
mn
(r) (26)
where
m
(r) is the mth axisymmetric linear undamped mode shape of the at plate and the
mn
(r)
are unknown axisymmetric functions to be determined in the course of the analysis. Substituting
Equations (25) and (26) into Equations (21), (22), and (24) and following the Galerkin procedure,
they obtained
n
2
max
_

q
+2c
q
+
2
q

q
_
2n
max
N

i. j =1
A
i j q

i
_

j
+2c
j
+
2
j

j
_
+
N

i. j.k=1
A
i j kq

j
_

k
+2c
k
+
2
k

k
_
= A
q
:(t )
2
+
_

2
max
N

i. j.k=1

k
_
1
0

j k
dr
+2
max
N

i. j.k.l=1

l
_
1
0
(
i

q
)

kl
dr
N

i. j.k.l.m=1

m
_
1
0
(
i

q
)

lm
dr

2
max
N

i =1

i
_
1
0
r

q
dr +2
max
N

i. j =1

j
_
1
0
r

j
(
i

q
)

dr
Reduced-Order Models for MEMS Applications 225

i. j.k=1

k
_
1
0
r

k
(
i

q
)

dr +
2
max
_
1
0
Fr
q
dr 2
max
N

i =1

i
_
1
0
Fr
i

q
dr
+
N

i. j =1

j
_
1
0
Fr
i

q
dr
_
q = 1. 2. . . . . N (27)

i j
(r) =
r
4
_
r
0

d +
1
4r
_
r
0

j
d +
r
4
_
1
0

d +
r
4
1 +
1
_
1
0

j
d
i. j = 1. 2. . . . . N (28)
where the functional A is dened by
A
q
=
_
1
0
r
q
dx. A
i q
=
_
1
0
r
i

q
dx. A
i j q
=
_
1
0
r
i

q
dx. . . .
Vogl and Nayfeh [48] validated the ROMwith experimental data. Osterberg [49] measured the pull-in
voltage :
pi
for multiple radii R of clamped circular microplates made of silicon with the specications
h 3 jm and d 1 jm. Osterberg developed a statistics-based model to approximate :
pi
and solved
for the optimal statistical coefcients by tting his model to the experimental data. Vogl and Nayfeh
[48] t the physics-based model, Equations (27) and (28), to the experimental data by solving for the
values of E. . . d, and h that minimize the objective function
W =
14

i =1
_
:
model
i
(E. . . d. h) :
exp
i

i
_
2
(29)
where the
i
. :
model
i
, and :
exp
i
are, respectively, the experimental standard deviations, the model pull-in
values, and the experimental pull-in values for the 14 different experimental radii. The objective function
W is a weighted sum of the square of the deviations between the ROM and experimental values. They
found out a local minimum of W for d = 1.014 jm, h = 3.01 jm, E = 150.6 GPa, = 0.0436, and
= 7.82 MPa, which seems to be the global minimum. The pull-in voltages from this optimum model
are displayed in Figure 7 along with the experimental data. Standard deviation bars for the experimental
data are also shown in the gure.
Figure 7. Pull-in voltage versus plate radius.
226 A. H. Nayfeh et al.
5. Reduced-Order Approaches to Model Damping in MEMS
In this section, we showhowto reduce the computational cost in the simulation of MEMSdevices in non-
conservative systems. The reduction can be achieved by solving analytically the equation governing the
energy dissipation. For examples, in the case of squeeze-lm damping, the Reynolds equation is solved
to obtain a relation between the pressure distribution and the microplate mode shape. In thermoelastic
damping, the heat equation is solved for the temperature variation in terms of the elastic strains. These an-
alytical solutions decouple the coupled physical domains (uidic, thermal, and structural) and reduce the
global number of variables to be solved. Moreover, they transform the design problem from a 3D prob-
lem, where for instance the gas in the capacitor gap has to be meshed or the temperature variation across
the thickness of a structure has to be determined, to a 2Dproblemon the plate domain only. Another com-
putational reduction can be achieved by extracting explicit analytical expressions for the damping co-
efcients. These coefcients can be implemented in reduced-order models to account for energy losses.
There are several mechanisms of energy dissipation in MEMS devices [50]. The most common
include losses into the surrounding uid due to acoustic radiation and viscous damping, losses into the
structure mounts, and intrinsic damping caused by losses inside the material of the mechanical structure.
Among all of the damping sources, viscous damping is the most signicant source of energy loss in
MEMS. For typical MEMS devices employing a parallel-plate capacitor, viscous damping corresponds
to squeeze-lm damping.
The majority of models for squeeze-lm damping are derived for rigid plates. Thus, these models
are inaccurate for exible structures. Starr [51] modeled the behavior of a capacitive parallel-plate
accelerometer using the linearized Reynolds equation, assuming small deection and pressure variation
and incompressible uid. He derived an exact expressions for the damping force of a circular disk
and an approximate expression for that of a rectangular plate. To account for large displacements and
compressibility effect, he provided correction factors for the analytical expressions.
Blech [52] solved analytically the linearized compressible Reynolds equation for the pressure in
the case of oscillating rigid plates of rectangular and circular shapes with trivial pressure boundary
conditions and derived analytical expressions for the spring and damping coefcients due to squeeze-
lm damping. Darling [53] extended the Blech model [52] to arbitrary venting conditions in the case
of rigid plates. Their solution scheme is based on the Greens function.
A group of researchers used statistical thermodynamics [54]. K` ad` ar et al. [55] and Li et al. [56]
modied the Christian model [57], which determines the effect of a moving rigid body on changing the
linear momentumof the gas molecules, by improving the distribution function of the velocity molecules
to reect more the physics of the problem. They compared their theoretical results to the theory and
experimental data of Zook et al. [58] and found that their theory reduced the discrepancy; however the
discrepancy was still signicant.
Bao et al. [59] used an energy-transfer model to study the effect of a moving structure on changing
the kinetic energy of the gas molecules. They derived an expression for the quality factor, similar to
that of Christian [57], but modied by a correction factor, which is proportional to the gap width and
the inverse of the plate length. They compared their theoretical results to the theoretical results and
experimental data of Zook et al. [58] and found out that their theory improved the agreement with the
experimental data; however there was still signicant discrepancy.
Extrinsic damping mechanisms can be minimized by a proper design of devices and their operating
conditions. For example, squeeze-lm damping can be minimized by increasing the distance between
the capacitor electrodes and encapsulating the device at a very low pressure. Intrinsic mechanisms on
the other hand are more difcult to control because they depend primarily on the material and geometric
Reduced-Order Models for MEMS Applications 227
Figure 8. Schematic of a MEMS device.
properties of the structures. There are many mechanisms that contribute to intrinsic damping. Recent
studies [60] have shown that thermoelastic damping can be a dominant source of intrinsic damping in
MEMS. Thermoelastic damping results from the irreversible heat ow generated by the compression
and decompression of an oscillating structure.
The rst to analyze thermoelastic damping rigorously is Zener [61], who gave an analytical approxi-
mation for the quality factor of metallic beams due to thermoelastic damping. In a recent work, Lifshitz
and Roukes [62] solved the problem of thermoelastic damping of beams and derived an analytical
expression for the quality factors. They calculated the quality factors of various microbeams and found
that their model yields results close to that of the Zener [61] model. Nayfeh and Younis [63, 64] analyzed
thermoelastic and squeeze-lm damping in microplates. They extracted analytical expressions for the
temperature, pressure, and quality factor of a microplate due to these dissipation mechanisms. Next, we
briey give an account of these expression.
We consider a microplate (Figure 8) actuated by an electrostatic load of magnitude V
p
and subject
to a net pressure force

P( x. y.

t ) per unit area due to the gas in the gap. Assuming small displacements
(i.e. small electric loading), we obtain the following linear equation of motion governing the transverse
deection of the microplate including the effect of thermoelastic damping [6567]:
D
4
n

N
1

2
n
x
2
+h

2
n

t
2
=
cV
2
p
d
3
n

P
E
t
1

2
n
_
h,2
h,2
(

T T
0
) d z

E
t
1
_
h,2
h,2
z
2
(

T T
0
) d z (30)
where

N
1
is the axial force per unit length in the x direction,

T( x. y. z.

t ) is the temperature distribu-


tion, T
0
is the stress-free temperature, and
t
is the coefcient of thermal expansion. The temperature
distribution is governed by the linearized heat conduction equation [63]
k
2

T = C
p

t

E
t
T
0
1

t
( z
2
n) (31)
where C
p
is the heat capacity coefcient at constant pressure. The pressure is governed by the Reynolds
equation. Assuming small variations around the static pressure P
a
in the air gap, we obtain the following
linearized equation governing the pressure distribution underneath the microplate

2

P
x
2
+

2

P
y
2
= 12

eff
P
a
d
3
_
d

t
P
a
n

t
_
(32)
where
eff
is the effective viscosity of the uid in the gap [68].
228 A. H. Nayfeh et al.
Equations (30)(32), along with appropriate sets of boundary conditions, is a distributed-parameter
system. Numerical solution of the free damped vibration of the device is computationally cumbersome.
Instead, we follow Nayfeh and Younis [63, 64] and show how to reduce the computational cost of the
simulation by using perturbation techniques.
5.1. THERMOELASTIC DAMPING
We start by driving an analytical expression for thermoelastic damping in microplates. We assume that
there is no squeeze-lm damping (

P = 0), in which case thermoelastic damping is an important source
of dissipation. By noting that the temperature variation across the plate thickness is much larger than its
variation across the plane of the plate, Nayfeh and Younis [63] reduced the linear damped eigenvalue
problem to

n


N
1

n
x
2
+
E
t
1

n
_
h,2
h,2

n
d z +
E
t
1
_
h,2
h,2
z
2

n
d z
cV
2
p
d
3

n
=
2
n

n
(33)
k

n
z
2
= i
n
C
p

n
i
n
E
t
T
0
1
z
2

n
(34)
where
n
( x. y) and
n
( x. y. z) are the nth complex mode shapes of the plate and the associated tempera-
ture variation, respectively, and
n
is the nth complex eigenvalue. The temperature boundary conditions
are assumed to be zero-heat ux from the plate to the ambient environment; that is,

n
z
= 0 at z =
1
2
h and
1
2
h (35)
The solution of Equations (34) and (35) can be expressed as

n
=
E
t
T
0
(1 )C
p

n
_
z
sin(K
p
z)
K
p
cos
_
1
2
K
p
h
_
_
(36)
where
K
p
= (1 i )
_

n
C
p
2k
Substituting Equation (36) into Equation (33), carrying out the integrations, and retaining the linear
terms, we obtain
D
T

n


N
1

n
x
2

cV
2
p
d
3

n
=
2
n

n
(37)
where D
T
= D + D
t
and D
t
is given by
D
t
=
E
2

2
t
T
0
(1 )
2
C
p
_
h
3
12
+
h
K
2
p

2 tan(K
p
h,2)
K
3
p
_
(38)
Equations (37) and (38) can be used, along with any appropriate set of structural boundary conditions, to
simulate the behavior of an electrostatically actuated microplate accounting for thermoelastic damping.
Reduced-Order Models for MEMS Applications 229
Next, we derive an analytical expression for the quality factors of microplates due to thermoelastic
damping. Because D
t
D, we apply the method of strained parameters [69, 70] to Equation (37). The
analysis is applicable to any boundary conditions. To this end, we seek a rst-order solution to Equation
(37) and associated boundary conditions in the form

n

n
0
+
1

n
1
(39)

n

n
0
+
1

n
1
(40)
D
T
D +
1
D
t
(41)
where
1
is a small nondimensional bookkeeping parameter. Substituting Equations (39)(41) into
Equation (37) and using the method of strained parameters, we obtain

n
1
=
D
t
2
n
0
D
_

N
1
_
1
0
_
b,
0

n
0

n
0
x
2
d xd y +
cV
2
p
d
3
+
2
n
0
_
(42)
where
n
0
is normalized such that
_
1
0
_
b,
0

2
n
0
d x

dy = 1. This result is applicable to plates with general
shapes and boundary conditions and low levels of DC voltages.
For the special case of

N
1
= 0 and V
p
= 0, the analytical expression for the quality factor reduces
to the following simple expression:
Q =
h
3
C
p
(1 )
12(1 +)E
2
t
T
0
Imag
_
h
3
12
+
h
K
2
p

2 tan(K
p
h,2)
K
3
p
_
(43)
where Imag denotes the imaginary part.
Nayfeh and Younis [63] set = 0 in Equation (43), calculated Q for a microbeam (Figure 1) with
= 10b and b = 10h for various T
0
, and obtained results in full agreement with those obtained using
the model of Lifshitz and Roukes [62] as shown in Figure 9. They also calculated the variation of Q for
a fully clamped plate oscillating in the rst mode at T
0
= 300 K with the plate thickness h as shown in
Figure 10. The plate specications are = 200 jm, b = 100 jm, and = 0.25.
5.2. SQUEEZE-FILM DAMPING
To determine an approximation to squeeze-lm damping, Nayfeh and Younis [64] neglected the effect
of thermoelastic damping in Equations (30)(32). Because the analysis depends on the structural and
acoustical boundary conditions, we conne the analysis to the case of Figure 8 and then give an overview
of the procedure for other cases. The pressure boundary conditions for this case are zero ux at the
clamped edges of the plate and trivial pressure at the open edges. Following Nayfeh and Younis [64],
we introduce the nondimensional variables
x =
x

. y =
y

. t =

t
T
. n =
n
d
.

P =

P
P
a
(44)
230 A. H. Nayfeh et al.
Figure 9. Comparison of the quality factors calculated using the model of Nayfeh and Younis [63] (solid line) to that calculated
using the model of Lifshitz and Roukes [62] (stars).
Figure 10. Variation of Q of the rst mode of a fully clamped plate with h [63].
where T =
_
h
4
D
. Substituting Equations (44) into Equations (30) and (32), we obtain the following
system of equations:

4
n
x
4
+2

4
n
x
2
y
2
+

4
n
y
4
N

2
n
x
2
+

2
n
t
2
= P
non

P +V
2
p
n +V
p
:
e
(45)

2

P
x
2
+

2

P
y
2
=
_


P
t

n
t
_
(46)
Reduced-Order Models for MEMS Applications 231
where the nondimensional parameters appearing in Equations (45) and (46) are
N
1
=

N
1

2
D
. P
non
=
P
a

4
d D
. =
12
eff

2
d
2
P
a
T
. =
c
4
d
3
D
(47)
The nondimensional boundary conditions for the case of Figure 8 are
At y = 0 and y = b,

2
n
y
2
+

2
n
x
2
= 0 (48)

3
n
x
3
+(2 )

3
n
x
2
y
= 0 (49)

P = 0 (50)
At x = 0 and x = 1
n= 0 (51)
n
x
= 0 (52)


P
x
= 0 (53)
The linear damped eigenvalue problem is governed by [64]

n
x
4
+2

4

n
x
2
y
2
+

4

n
y
4
N

2

n
x
2
+ P
non

n
V
2
p

n
=
2
n

n
(54)

n
x
2
+

2

n
y
2
= i
n
(
n

n
) (55)
At y = 0 and y = b,

n
y
2
+

n
x
2
= 0 (56)

n
x
3
+(2 )

3

n
x
2
y
= 0 (57)

n
= 0 (58)
At x = 0 and x = 1

n
= 0 (59)

n
x
= 0 (60)

n
x
= 0 (61)
where
n
(x. y) and
n
(x. y) are the nth complex mode shapes of the plate and pressure, respectively,
and
n
is the nth complex nondimensional eigenvalue. Equations (54)(61) can be solved numerically
for the complex eigenvalues and mode shapes.
232 A. H. Nayfeh et al.
Because typically |
n
| 1, the boundary-value problem represented by Equations (55), (58),
and (61) is a singular-perturbation problem [70]. Such a case occurs when the gradient of a dependent
variable undergoes rapid changes over a very narrow region. In this problem, the pressure changes
sharply near the free edges. Applying the method of matched asymptotic expansion to Equations (55),
(58), and (61) yields [64]

n
(x. y) =
n
(x. y)
n
(x. b,)e

1+i

2
b,y

2

n
(x. 0)e

1+i

2
y

2
+ (62)
where
2
=
1
|
n
0
|
. Equation (62) gives an approximate analytical expression for the nth complex
pressure mode shape in terms of the nth complex plate mode shape and eigenvalue.
We substitute Equation (62) into Equation (54) and obtain an equation, which along with the boundary
condition (57), (58), (60), and (61), represent a linear distributed-parameter system for the dynamic
behavior of the microplate under the coupled effect of squeeze-lm damping, structural forces, and
linear electrostatic forces. This system is solved for the nth complex mode shape and eigenvalue. The
real part of the complex eigenvalue yields the frequency of the microplate, whereas the ratio between
its real part and twice its imaginary part yields the quality factor.
Nayfeh and Younis [64] calculated the quality factors of an electrically actuated microplate of length
310 jm [71], employed as a transducer in a resonant sensor, under various gas pressures. Figure 11
shows a comparison of the calculated quality factor Q (stars) to the experimental data (triangles) of
Legtenberg and Tilmans [71]. The agreement is excellent, thereby validating the model.
The perturbation approach depends primarily on the fact that (
2
=
1
|
n
0
|
1). Fully clamped
plates also have very high natural frequencies. The pressure boundary conditions for a fully clamped
plate demand zero ux at all edges; hence there are no boundary layers to rst order in this case because
the pressure boundary conditions are similar to the structural boundary conditions. For this case, the
pressure distribution is the same as the plate mode shape (the last two terms in Equation (62) are zero).
Hence, to the rst approximation, the pressure has a pure spring-force effect. To derive an expression for
Figure 11. Comparison of the calculated quality factors (stars) to the experimental data (triangles) of Legtenberg and Tilmans
[71].
Reduced-Order Models for MEMS Applications 233
the quality factor, Nayfeh and Younis [64] applied the method of strained parameters [70] and sought
a rst-order solution to Equations (54) and (55) and their boundary conditions [64] in the form

n

n
0
+
2

n
1
(63)

n

n
0
+
2

n
1
(64)

n

n
0
+
2

n
1
(65)
Their nal result gives the following imaginary part of the complex natural frequency:

n
1
=
i P
non
_
1
0
_
b,
0

n
0
_

n
0
x
2
+

2

n
0
y
2
_
dxdy
2
n
0
_
1
0
_
b,
0

2
n
0
dxdy
(66)
which yields the damping of the system.
For the case of a clamped annular microplate of outer radius R
1
and inner radius R
2
exhibiting
axisymmetric bending, following a procedure similar to that used for fully clamped rectangular plates,
Nayfeh and Younis [64] obtained the damping coefcient

n
1
=
i P
non
_
R
1
R
2
,R
1

n
0
_

r
_
r

n
0
r
__
dr
2
n
0
_
R
1
R
2
,R
1
r
2
n
0
dr
(67)
The pressure distribution is also the same as the structural mode shape. For clamped circular plates, R
2
is set equal to zero in Equation (67). Also, in calculating
n
0
and
n
0
, the boundary conditions at R
2
are
replaced with conditions that require nite values of n and

P at r = 0.
Equations (66) and (67) can be used in reduced-order models of microplates to account for squeeze-
lm damping (without the need to include the Reynolds equation or the NavierStokes equations in the
simulation).
6. Summary and Conclusions
We presented a review of ROMs for MEMS devices. We classied the ROMs into node and domain
methods. We concluded that node methods perform poorly in predicting transients, large motions, and
nonlinear behavior compared to domain methods. We distinguished between two categories of domain
methods. The rst category requires the use of the time series resulting from a nite-element or nite-
difference simulation of the full nonlinear model or experiments to extract a basis set to be used in
the Galerkin procedure. We concluded that such methods are limited to operation conditions near that
used to generate the time series. More importantly, these models cannot predict any qualitative device
behavior, which is not present in the time series. The second category employs the mode shapes of the
device. This approach can capture qualitative and quantitative changes in the device behavior and has
better performance in the presence of nonlinearities provided that enough modes are retained in the
approximation.
We used the second category and developed ROMs for microbeams and rectangular and circular
microplates and validated them with experimental and full simulation results. We presented ROMs
of squeeze-lm damping and thermoelastic damping in MEMS and validated them with available
234 A. H. Nayfeh et al.
theoretical and experimental results. We conclude that these ROMs need to be extended to a broader
class of devices, employing structural components of different geometries and boundary conditions.
References
1. Zavracky, P. M., Majumder, S., and McGruer, N. E., Micromechanical switches fabricated using nickel surface microma-
chining, Journal of Microelectromechanical Systems 6, 1997, 39.
2. Choi, B. and Lovell, E. G., Improved analysis of microbeams under mechanical and electrostatic loads, Journal of Micro-
electromechanical Systems 7, 1997, 2429.
3. Guyan, R. J., Reduction of stiffness and mass matrices, AIAA Journal 3, 1965, 380.
4. Bechtold, T., Rudnyi, E. B., and Korvink, J. G., Automatic order reduction of thermo-electric models for MEMS: Arnoldi
versus Guyan, in Proceedings of the Fourth International Conference on Advanced Semiconductor Devices and Microsys-
tems, Smolenice, Slovakia, 2002, pp. 333336.
5. Ostergaard, D. F. and Gyimesi, M., Finite element based reduced order modeling of Micro Electro Mechanical Systems
(MEMS), in Proceedings of the International Conference on Modeling and Simulation of Microsystems, San Diego, CA,
2000, pp. 684687.
6. Bechtold, T., Rudnyi, E. B., and Korvink, J. G., Automatic order reduction of thermo-electric model for micro-ignition unit,
in Proceedings of the International Conference on Simulation of Semiconductor Processes and Devices, Kobe, Japan, 2002,
pp. 131134.
7. Wang, F. and White, J., Automatic model order reduction of a microdevice using the Arnoldi approach, in Proceedings of
the International Mechanical Engineering Congress and Exposition, Anaheim, CA, 1998, pp. 527530.
8. Hung, E. S., Yang, Y.-J., and Senturia, S. D., Low-order models for fast dynamical simulation of MEMS microstructures,
in Proceedings of the International Conference on Solid-State Sensors and Actuators: Transducers 1997, Vol. 2, Chicago,
IL, 1997, pp. 11011104.
9. Bai, Z., Bindel, D., Clark, J. V., Demmel, J., Pister, K. S. J., and Zhou, N., New numerical techniques and tools in Sugar
for 3D MEMS simulation, in Proceedings of the International Conference on Modeling and Simulation of Microsystems,
Hilton Head Island, SC, 2001, pp. 3134.
10. Clark, J. V., Bindel, D., Zhou, N., Bhave, S., Bai, Z., Demmel, J., and Pister, K. S. J., Sugar: Advancements in a 3D
multi-domain simulation package for MEMS, in Proceedings of the Microscale Systems: Mechanics and Measurements
Symposium, Portland, OR, 2001.
11. Clark, J. V., Bindel, D., Kao, W., Zhu, E., Kuo, A., Zhou, N., Nie, J., Demmel, J., Bai, Z., Govindjee, S., Pister, K. S. J., Gu,
M., and Agogino, A., Addressing the needs of complex MEMS design, in Proceedings of the International Conference on
Micro Electro Mechanical Systems, Las Vegas, NV, 2002, pp. 204209.
12. Srinivasan, V., Jog, A., and Fair, R. B., Scalable macromodels for microelectromechanical systems, in Proceedings of the
International Conference on Modeling and Simulation of Microsystems, Hilton Head Island, SC, 2001, pp. 7275.
13. Chen, Y. and White, J., A quadratic method for nonlinear model order reduction, in Proceedings of the International
Conference on Modeling and Simulation of Microsystems, San Diego, CA, 2000, pp. 477480.
14. Ramaswamy, D. and White, J., Automatic generation of small-signal dynamic macromodels from 3-D simulation, in
Proceedings of the International Conference on Modeling and Simulation of Microsystems, Hilton Head Island, SC, 2001,
pp. 2730.
15. Chen, J. and Kang, S.-M., Techniques for coupled circuit and micromechanical simulation, in Proceedings of the Interna-
tional Conference on Modeling and Simulation of Microsystems, San Diego, CA, 2000, pp. 213216.
16. Chen, J. and Kang, S.-M., An algorithm for automatic model-order reduction of nonlinear MEMS devices, in Proceedings
of the International Symposium on Circuits and Systems, Vol. 2, Geneva, Switzerland, 2000, pp. 445448.
17. Chen, J. and Kang, S.-M., Model-order reduction of weakly nonlinear MEMS devices with Taylor series expansion and
Arnoldi process, in Proceedings of the IEEE Midwest Symposium on Circuits and Systems, Lansing, MI, 2000, pp. 248251.
18. Chen, J. and Kang, S.-M., Dynamic macromodeling of MEMS mirror devices, in Technical Digest of the International
Electron Devices Meeting, Washington, DC, 2001, pp. 925928.
19. Rewie nski, M. and White, J., Atrajectory piecewise-linear approach to model order reduction and fast simulation of nonlinear
circuits and micromachined devices, in Proceedings of the IEEE/ACMInternational Conference on Computer-Aided Design,
Vol. 1, San Jose, CA, 2001, pp. 252257.
20. Rewie nski, M. and White, J., Atrajectory piecewise-linear approach to model order reduction and fast simulation of nonlinear
circuits and micromachined devices, IEEE Transactions on Computer-Aided Design of Integrated Circuits and Systems 22,
2003, 155170.
21. Rewie nski, M. and White, J., Improving trajectory piecewise-linear approach to nonlinear model order reduction for micro-
machined devices using an aggregated projection basis, in Proceedings of the International Conference on Modeling and
Simulation of Microsystems, Vol. 1, San Juan, PR, 2002, pp. 128131.
Reduced-Order Models for MEMS Applications 235
22. Vasilyev, D., Rewie nski, M., and White, J., A TBR-based trajectory piecewise-linear algorithm for generating accurate low-
order models for nonlinear analog circuits and MEMS, in Proceedings of the Conference on Design Automation, Anaheim,
CA, 2003, pp. 490495.
23. Liang, Y. C., Lin, W. Z., Lee, H. P., Lim, S. P., Lee, K. H., and Sun, H., Proper orthogonal decomposition and its applications.
Part II. Model reduction for MEMS dynamical analysis, Journal of Sound and Vibration 256(3), 2002, 515532.
24. Hung, E. S. and Senturia, S. D., Generating efcient dynamical models for microelectromechanical systems from a few
nite-element simulations runs, Journal of Microelectromechanical Systems 8, 1999, 280289.
25. Chen, J. and Kang, S.-M., Model-order reduction of nonlinear MEMS devices through arclength-based KarhunenLo` eve
decomposition, in Proceedings of the International Symposium on Circuits and Systems, Vol. 3, Sydney, Australia, 2001,
pp. 457460.
26. Liang, Y. C., Lin, W. Z., Lee, H. P., Lim, S. P., Lee, K. H., and Feng, D. P., A neural-network-based method of model
reduction for the dynamic simulation of MEMS, Journal of Micromechanics and Microengineering 11, 2001, 226233.
27. Lin, W. Z., Lee, K. H., Lim, S. P., and Liang, Y. C., Proper orthogonal decomposition and component mode synthesis in
macromodel generation for the dynamic simulation of a complex MEMS device, Journal of Micromechanics and Micro-
engineering 13, 2003, 646654.
28. Qiao, R. and Aluru, N. R., Mixed-domain and reduced-order modeling of electroosmotic transport in Bio-MEMS, in
Proceedings of the International Workshop on Behavioral Modeling and Simulation, Orlando, FL, 2000, pp. 5156.
29. De, S. K. and Aluru, N. R., Physical and reduced-order dynamic analysis of MEMS, in Proceedings of the International
Conference on Computer Aided Design, San Jose, CA, 2003, pp. 270273.
30. Anathasuresh, G. K., Gupta, R. K., and Senturia, S. D., An approach to macromodelling of MEMS for nonlinear dynamic
simulation, in Proceedings of the Symposium on Mechanics in Microelectromechanical Systems (MEMS), ASME-DSC,
Atlanta, GA, 1996, pp. 401407.
31. Grt etillat, M. A., Yang, Y. J., Hung, E. S., Rabinovich, V., Ananthasuesh, G. K., Rooij, N. F., and Senturia, S. D., Nonlinear
electromechanical behavior of an electrostatic microrelay, in Proceedings of the Iternational Conference on Solid State
Sensors and Actuators: Transducers 1997, Vol. 2, Chicago, IL, 1997, pp. 11411144.
32. Gabbay, L. D., Mehner, J. E., and Senturia, S. D., Computer-aided generation of nonlinear reduced-order dynamic macro-
models. Part I. Non-stress-stiffened case, Journal of Microelectromechanical Systems 9, 2000, 262269.
33. Varghese, M., Rabinovich, V. L., and Senturia, S. D., Reduced-order modeling of Lorentz force actuation with modal basis
functions, in Proceedings of the International Conference on Modeling and Simulation of Microsystems, San Juan, PR,
1999, pp. 155158.
34. Mehner, J. E., Gabbay, L. D., and Senturia, S. D., Computer-aided generation of nonlinear reduced-order dynamic macro-
models. Part II. Stress-stiffened case, Journal of Microelectromechanical Systems 9, 2000, 270278.
35. Bennini, F., Mehner, J., and D otzel, W., Computational methods for reduced order modeling of coupled domain simulations,
in Proceedings of the International Conference on Solid-State Sensors and Actuators: Transducers 2001, Munich, Germany,
2001, pp. 260263.
36. Mehner, J. E., Doetzel, W., Schauwecker, B., and Ostergaard, D., Reduced order modeling of uid structural interactions
in MEMS based on modal projection techniques, in Proceedings of the International Conference on Solid-State Sensors,
Actuators and Microsystems: Transducers 2003, Vol. 2, Boston, MA, 2003, pp. 18401843.
37. Westby, E. R. and Fjeldly, T. A., Nonlinear analytical reduced-order models for MEMS, in Proceedings of the International
Conference on Modeling and Simulation of Microsystems, Vol. 1, San Juan, PR, 2002, pp. 150153.
38. Xie, W. C., Lee, H. P., and Lim, S. P., Nonlinear dynamic analysis of MEMS switches by nonlinear modal analysis,
Nonlinear Dynamics 31, 2003, 243256.
39. Abdel-Rahman, E. M., Younis, M. I., and Nayfeh, A. H., Characterization of the mechanical behavior of an electrostatically
actuated microbeam, Journal of Micromechanics and Microengineering 12, 2002, 759766.
40. Younis, M. I., Abdel-Rahman, E. M., and Nayfeh, A. H., Static and dynamic behavior of an electrically excited resonant
microbeam, in Proceedings of the AIAAStructures, Structural Dynamics, and Materials Conference, AIAAPaper 2002-1305,
Denver, CO, 2002.
41. Abdel-Rahman, E. M., Younis, M. I., and Nayfeh, A. H., A nonlinear reduced-order model for electrostatic MEMS, in
Proceedings of the Biennial ASME Conference on Mechanical Vibration and Noise, DETC2003/VIB-48517, Chicago, IL,
2003.
42. Younis, M. I., Abdel-Rahman, E. M., and Nayfeh, A. H., A reduced-order model for electrically actuated microbeam-based
MEMS, Journal of Microelectromechanical Systems 12, 2003, 672680.
43. Tilmans, H. A. and Legtenberg, R., Electrostatically driven vacuum-encapsulated polysilicon resonators. Part II. Theory and
performance, Sensors and Actuators A 45, 1994, 6784.
44. Nayfeh, A. H. and Mook, D. T., Nonlinear Oscillations, Wiley, New York, 1979.
45. Nayfeh, A. H., Nonlinear Interactions, Wiley, New York, 2000.
46. Zhao, X., Abdel-Rahman, E. M., and Nayfeh, A. H., Mechanical behavior of an electrically actuated microplate, in
Proceedings of the Biennial ASME Conference on Mechanical Vibration and Noise, DETC2003/VIB-48531, Chicago, IL,
2003.
236 A. H. Nayfeh et al.
47. Francais, O. and Dufour, I., Normalized abacus for the global behavior of diaphragms: Pneumatic, electrostatic, piezoelectric
or electromagnetic actuation, Journal of Modeling and Simulation of Microsystems 2, 1999, 149160.
48. Vogl, G. W. and Nayfeh, A. H., A reduced-order model for electrically actuated clamped circular plates, in Proceedings of
the Biennial ASME Conference on Mechanical Vibration and Noise, DETC2003/VIB-48530, Chicago, IL, 2003.
49. Osterberg, P. M., Electrostatically Actuated Microelectromechanical Test Structures for Material Property Measurement,
PhD dissertation, Massachusetts Institute Technology, Cambridge, MA, 1995.
50. Tilmans, H. A., Elwespoek, M., and Fluitman, J. H., Micro resonant force gauges, Sensors and Actuators A 30, 1992,
3553.
51. Starr, J. B., Squeeze-lmdamping in solid-state accelerometers, in Proceedings of the IEEESolid-State Sensor and Actuator
Workshop, Hilton Head Island, SC, 1990, pp. 4447.
52. Blech, J. J., On isothermal squeeze lms, Journal of Lubrication Technology A 105, 1983, 615620.
53. Darling, R. B., Hivick, C., and Xu, J., Compact analytical modeling of squeeze lmdamping with arbitrary venting conditions
using a Greens function approach, Sensors and Actuators A 70, 1998, 3241.
54. Gupta, M. C., Statistical Thermodynamics, Wiley, New Delhi, 1990.
55. K` ad` ar, Z., Kindt, W., Bossche, A., and Mollinger, J., Quality factor of torsional resonators in the low-pressure region,
Sensors and Actuators A 53, 1996, 299303.
56. Li, B., Wu, H., Zhu, C., and Liu, J., The theoretical analysis on damping characteristics of resonant microbeam in vacuum,
Sensors and Actuators A 77, 1999, 191194.
57. Christian, R. G., The theory of oscillating-vane vacuum gauges, Vacuum 16, 1966, 175178.
58. Zook, J. D., Burns, D. W., Guckel, H., Sniegowski, J. J., Engelstad, R. L., and Feng, Z., Characteristics of polysilicon
resonant microbeams, Sensors and Actuators A 35, 1992, 290294.
59. Bao, M., Yang, H., Yin, H., and Sun, Y., Energy transfer model for squeeze-lm air damping in low vacuum, Journal of
Micromechanics and Microengineering 12, 2002, 341346.
60. Roszhart, T. V., The effect of thermoelastic internal friction on the Q of micromachined silicon resonators, in Technical
Digest of Solid-State Sensors and Actuators Workshop, Hilton Head Island, SC, 1990, pp. 1316.
61. Zener, C., Internal friction in solids. Part I. Theory of internal friction in reeds, Physical Review 52, 1937, 230235.
62. Lifshitz, R. and Roukes, M. L., Thermoelastic damping in micro- and nanomechanical systems, Physical Review B 61,
2000, 56005609.
63. Nayfeh, A. H. and Younis, M. I., Modeling and simulations of thermoelastic damping in microplates, Journal of Microme-
chanics and Microengineering 14, 2004, 17111717.
64. Nayfeh, A. H. and Younis, M. I., A new approach to the modeling and simulation of exible microstructures under the effect
of squeeze-lm damping, Journal of Micromechanics and Microengineering 14, 2004, 170181.
65. Boley, B. A. and Weiner, J. H., Theory of Thermal Stresses, Wiley, New York, 1960.
66. Leissa, A. W., Vibration of Plates, NASA, Washington, DC, 1969.
67. Nayfeh, A. H. and Pai, F. P., Linear and Nonlinear Structural Mechanics, Wiley, New York, 2004.
68. Veijola, T., Kuisma, H., Lahdenper a, J., and Ryh anen, T., Equivalent-circuit model of the squeezed gas lm in a silicon
accelerometer, Sensors and Actuators A 48, 1995, 239248.
69. Nayfeh, A. H., Perturbation Methods, Wiley, New York, 1973.
70. Nayfeh, A. H., Introduction to Perturbation Techniques, Wiley, New York, 1981.
71. Legtenberg, R. and Tilmans, H. A., Electrostatically driven vacuum-encapsulated polysilicon resonators. Part I. Design and
fabrication, Sensors and Actuators A 45, 1994, 5766.

You might also like