You are on page 1of 2

What are the various Design constraints used while performing Synthesis for a design? Ans:1.

Create the clocks (frequency, duty-cycle).2. Define the transition-time requirements for the input-ports3. Specify the load values for the output ports4. For the inputs and the output specify the delay values(input delay and ouput delay), which arealready consumed by the neighbour chip.5. Specify the case-setting (in case of a mux) to report the timing to a specific paths.6. Specify the false-paths in the design7. Specify the multi-cycle paths in the design.8. Specify the clockuncertainity values(w.r.t jitter and the margin values for setup/hold).19. Specify few verilog constructs which are not supported by the synthesis tool. What are the various design changes you do to meet design power targets? Ans:Design with Multi-VDD designs, Areas which requires high performance, goes with high VDDand areas which needs low-performance are working with low Vdds, by creating Voltageislands and making sure that appropriate level-shifters are placed in the cross-voltage domainsDesigning with Multi-Vts(threshold voltages), areas which require high performance, goes withlow Vt, but takes lot of leakage current, and areas which require low performance with high Vtcells, which has low leakage numbers, by incorporating this design process, we can reduce theleakage power.As in the design , clocks consume more amount of power, placing optimal clockgating cells, inthe design and controlling them by the module enables gives a lot of powersavings.As clock-trees always switch making sure that most number of clock-buffers are after the clock-gating cells, this reduces the switching there by power-reduction.Incorporating Dynamic Voltage and Frequency scaling (DVFS) concepts based on theapplication , there by reducing the systems voltage and frequency numbers when the applicationdoes not require to meet the performance targets.Ensure the design with IR-Drop analysis and ground-bounce analysis, is within the designspecification requirement.Place power-switches, so that the leakage power can be reduced. related information. what is meant by Library Characterizing Ans: Characterization in terms of delay, power consumption,.. what is meant by wireload model Ans:In the synthesis tool, in order to model the wires we use a concept called as Wireload models, Now the question is what is wireload models: Wireload models are statistical based on modelswith respect to fanout. say for a particular technology based on our previous chip experience wehave a rough estimate we know if a wire goes for n number of fanin then we estimate its delayas say x delay units. So a model file is created with the fanout numbers and correspondingestimated delay values. This file is used while performing Synthesis to estimate the delay for Wires, and to estimate the delay for cells, technology specific library model files will beavailable what are the measures to be taken to design for optimized area Ans:As silicon real-estate is very costly and saving is directly propotional to the companys revenuegeneration lot of emphasize is to design which has optimial utilization in the area-front. Thesteps to reduce area areIf the path is not timing-critical, then optimize the cells to use the lowdrive strength cells so thatthere will saving in the area.Abut the VDD rowsAnalyzing the utilization numbers with multiple floor-planning versions which brings up withoptimized area targets. what all will you be thinking while performing floorplan Ans:Study the data-flow graph of the design and place the blocks accordingly, to reducing theweighted sum of area, wire-length.Minimize the usuage of blocks other-than square shapes, having notchesPlace the blocks based on accessibility/connectivity, thereby reducing wirelength.Abut the memory, if the pins are one-sided, there-by area could be reduced.If the memory communicates to the outside world more frequently , then placing at the boundarymakes much of a sense.Study the number of pins to be routed, with the minimum metal width allowed , estimate

theroutability issues.Study the architecture and application , so that the blocks which will be enabled should bescattered, to reduce the power-ground noise. what are the measures in the Design taken for Meeting Signal-integrity targets Ans:As more and more devices are getting packed, results in more congested areas, and couplingcapactiances dominating the wire-capacitance, creates SI violations. Lets see now by what areall the measures we can reduce/solve it. As clock-tree runs across the whole chip, optimizing the design for SI, is essential route the clock with double-pitch and triple spacing.In-case of SI violation, spacing the signal nets reduces cross-talk impacts.Shield the nets with power-nets for high frequency signal nets to prevent from SI.Enable SI aware routing , so that the tool takes care for SIEnsure SI enabled STA runs, and guarantee the design meeting the SI requirementsRoute signals on different layers orthogonal to each other Minimize the parallel run-length wires, by inserting buffers. what are the measures taken in the Design achieving better Yield Ans:Better yield could be achieved by reducing the possibility of manufacturability flaws. Guarantingthe circuit performance, by reducing parametric yield, with process variations playing a major role is a big-challenge.Create more powerful stringent runset files with pessimistic spacing/short rules.Check for the areas where the design is prone to lithographic issues, like sharp cuts and try to re-route it.For via-reliability issues, use redundant vias, to reduce the chances for via-breakage.In order to design for yield-enhancement , design systems, which could have optimalredundancy, like repairable memories.Optimal placing of de-coupling capacitances, reduces the power-surges.Doubling the width of the non-critical nets, clock-nets can increase the yield parameter.Ensure that the poly-orientation are maintained. what are the measures or precautions to be taken in the Design when the chip has bothanalog and digital portions Ans:Designing for Optimal integration of Analog and DigitalAs todays IC has analog components also inbuilt , some design practices are required for optimal integration.Ensure in the floorplanning stage that the analog block and the digital block are not siting close- by, to reduce the noise.Ensure that there exists seperate ground for digital and analog ground to reduce the noise.Place appropriate guard-rings around the analog-macros.Incorporating in-built DAC-ADC converters, allows us to test the analog portion using digitaltesters in an analog loop-back fashion.Perform techniques like clock-dithering for the digital portion. what are the steps incorporated for Engineering Change Order[ECO] Ans:As more and more complex the IC design is , and with lot of first time application , is more prone to

You might also like