You are on page 1of 705

Handbook of RF and Microwave Power Ampliers

Whether youareanRF transistor designer, anamplier designer, or asystemdesigner,


thisisyour one-stopguidetoRF andmicrowavetransistor power ampliers. A teamof
expert authorsbringsyouup-to-speedoneverytopic, including:
r
devices(Si LDMOSandVDMOS, GaAsFETs, GaNHEMTs);
r
circuit andamplier design(discrete, hybridandmonolithic);
r
CAD;
r
thermal design;
r
reliability;
r
systemapplications/requirementsfor RF andmicrowavetransistor ampliers;
r
amplier measurements.
Covering state-of-the-art developments, and emphasizing practical communications
applications, thisisyour completeprofessional referenceonthesubject.
John Walker is currently European Sales Manager at Integra Technologies, Inc. He
received his Ph.D. fromthe University of Leeds in 1976 and has since held various
industry positions, including MicrowaveHybrids Manager at Thorn-EMI Electronics
andRF DivisionManager at Semelab. HeistheEditor andCoauthor of thebooksHigh
Power GaAs FET Ampliers andClassic Works inRF Engineering. Heis aFellowof
theIEE.
TheCambridgeRFandMicrowaveEngineeringSeries
SeriesEditor
SteveC. Cripps, DistinguishedResearchProfessor, Cardiff University
Peter Aaen, J aimePl aandJ ohnWood, ModelingandCharacterizationof RF and
MicrowavePower FETs
DominiqueSchreurs, M airtnODroma, AnthonyA. Goacher, andMichael Gadringer,
RF Amplier Behavioral Modeling
FanYangandYahyaRahmat-Samii, ElectromagneticBandGapStructuresinAntenna
Engineering
EnricoRubiola, PhaseNoiseandFrequencyStabilityinOscillators
Earl McCune, Practical Digital WirelessSignals
StepanLucyszyn. AdvancedRF MEMS
PatrickRoblin, Nonlinear FRCircuitsandtheLarge-Signal NetworkAnalyzer
MatthiasRudolph, ChristianFager, andDavidE. Root, Nonlinear Transistor Model
Parameter ExtractionTechniques
Forthcoming
SorinVoinigescu, High-FrequencyIntegratedCircuits
DavidE. Root, J asonHorn, andJ anVerspecht, X-Parameters
RichardCarter, TheoryandDesignof MicrowaveTubes
Anh-VuH. Pham, MorganJ. Chen, andKuniaAihara, LCP for MicrowavePackages
andModules
NunoBorgesCarvalhoandDominiqueScheurs, MicrowaveandWireless
Measurement Techniques
Handbook of RF and Microwave
Power Ampliers
Edited by
JOHN WALKER
Integra Technologies, Inc.
CAMBRI DGE UNI VERSI TY PRESS
Cambridge, NewYork, Melbourne, Madrid, CapeTown,
Singapore, S aoPaulo, Delhi, Tokyo, MexicoCity
CambridgeUniversityPress
TheEdinburghBuilding, CambridgeCB28RU, UK
PublishedintheUnitedStatesof AmericabyCambridgeUniversityPress, NewYork
www.cambridge.org
Informationonthistitle: www.cambridge.org/9780521760102
C _
CambridgeUniversityPress2012
Thispublicationisincopyright. Subject tostatutoryexception
andtotheprovisionsof relevant collectivelicensingagreements,
noreproductionof anypart maytakeplacewithout thewritten
permissionof CambridgeUniversityPress.
First published2012
PrintedintheUnitedKingdomat theUniversityPress, Cambridge
Acatalogrecordfor thispublicationisavailablefromtheBritishLibrary
ISBN978-0-521-76010-2Hardback
Thetechnical descriptionsandproceduresinthisbookhavebeendevelopedwiththe
greatest of care; however, theyareprovidedasis, without warrantyof anykind. The
author andpublisher of thebookmakenowarranties, expressedor implied, that the
equations, programs, andproceduresinthisbookarefreeof error, or areconsistent
withanyparticular standardof merchantability, or will meet your requirementsfor
anyparticular application. Theyshouldnot berelieduponfor solvingaproblem
whoseincorrect solutioncouldresult ininjurytoapersonor lossof property.
CambridgeUniversityPresshasnoresponsibilityfor thepersistenceor
accuracyof URLsfor external or third-partyinternet websitesreferredto
inthispublication, anddoesnot guaranteethat anycontent onsuch
websitesis, or will remain, accurateor appropriate.
Contents
List of contributors pagexiv
Preface xv
1 Silicon LDMOS and VDMOS transistors: physics, design, and technology 1
Wayne Burger and Christopher P. Dragon
1.1 Technologyoverview 1
1.1.1 Introduction/history 1
1.2 LDMOSandVDMOSconstruction 2
1.2.1 LDMOS 2
1.2.2 VDMOS 8
1.3 Devicephysics 10
1.3.1 Current transport 10
1.3.2 Behavior of parasiticelements/models 12
1.3.3 BV
DSS
, R
DSon
, HCI boundaries 17
1.3.4 Snapback/ruggedness 22
1.3.5 Operatingvoltageconsiderations 26
1.4 Design/layout 27
1.4.1 Top-downnger layout 27
1.4.2 Bondpadmanifolds 29
1.4.3 Metal design electromigration 30
1.4.4 Thermal 32
1.4.5 Operatingvoltageconsiderations 34
1.4.6 Frequencyconsiderations: gatelength, gatewidth, resistors 36
1.4.7 HVICs 37
References 39
2 GaAs FETs physics, design, and models 42
Rob Davis
2.1 Introduction 42
2.1.1 Propertiesof GaAsandrelatedcompounds 43
2.1.2 TheSchottkybarrier gateandtheMESFET 45
2.1.3 ThePf
2
limit 45
2.1.4 Typesof GaAsFET 46
vi Contents
2.2 Power devicephysics 51
2.2.1 ThedeviceIVcharacteristicandloadline 51
2.2.2 ThedynamicIVcharacteristic 53
2.2.3 Theconsequencesof trappingeffects 54
2.2.4 Devicebreakdown 57
2.2.5 Breakdownmechanismsandoptimisation 58
2.2.6 CommentsonGaAsFET breakdownratings 59
2.2.7 TheFET equivalent circuit 60
2.2.8 Devicegainandguresof merit 61
2.3 Devicedesign 63
2.3.1 Power devicedesign 63
2.3.2 FET channel andrecessdesign 63
2.3.3 Power cell design 67
2.3.4 Power cell combination 71
2.3.5 Thermal design 72
2.4 Devicefabrication 74
2.4.1 Overview 74
2.4.2 Keyprocesssteps 75
2.4.3 Low-cost GaAsdevicefabrication 81
2.4.4 Packaging 81
2.5 Models 84
2.5.1 Devicemodels 84
2.5.2 Small-signal models 84
2.5.3 Largesignal models 85
2.5.4 Load-pull 89
2.6 Concludingremarks 90
References 91
3 Wide band gap transistors SiC and GaN physics, design
and models 103
Robert J. Trew
3.1 Introduction 103
3.2 Background 105
3.2.1 SiC transistors 106
3.2.2 AlGaN/GaN transistors 108
3.3 Material parameters 111
3.4 Transistor amplier operatingprinciples 115
3.5 DevicedesignandRF performance 118
3.5.1 4H-SiC MESFET amplier 120
3.5.2 AlGaN/GaN HFET amplier 123
3.6 Transistor DC andlarge-signal RF models 125
3.6.1 Equivalent circuit transistor models 125
3.6.2 Physics-basedlarge-signal transistor models 128
Contents vii
3.7 Large-signal effects 130
3.7.1 Spacechargelimitedcurrent transport 130
3.7.2 Nonlinear sourceanddrainresistance 133
3.7.3 Gateleakage 144
3.7.4 Reliabilityandtime-dependent performancedegradation 146
3.8 Summary 152
References 153
4 Amplier classes, AS 159
Steve C. Cripps
4.1 Introduction 159
4.2 Activedevicemodels 161
4.3 ClassA 162
4.4 ClassAB andClassB 164
4.5 ClassC 171
4.6 ClassF 173
4.7 ClassJ 176
4.8 Invertedmodes, invertedClassF 179
4.9 ClassE 181
4.10 ClassS 183
4.11 Multimodes 184
4.12 Conclusions 186
References 186
5 Computer-aided design of power ampliers 188
Stephen Maas
5.1 Introduction 188
5.2 Methodsof analysis 188
5.2.1 Linear analysis 188
5.2.2 Harmonic-balanceanalysis 193
5.2.3 Time-domainanalysis 202
5.2.4 Applicationsof analytical methods 205
5.3 Passivecircuit structuresandsimulationaccuracy 205
5.3.1 Scatteringparameter models 206
5.3.2 Closed-formmodels 208
5.3.3 ModelsfromEM simulation 210
5.3.4 Databasemodels 212
5.3.5 Parasiticextraction 212
5.4 Solid-statedevicemodels 213
5.4.1 Power devicemodels 213
5.4.2 Modelingcell interconnectionsinlargedevices 213
5.4.3 Thermal effectsindevicemodels 214
viii Contents
5.5 Special aspectsof power-amplier modeling 216
5.5.1 Lossincircuit metalizations 217
5.5.2 Lossincircuit components 219
5.5.3 Bondwires 219
5.6 Practical aspectsof nonlinear circuit simulation 221
5.6.1 Convergencedifculties 221
5.6.2 SPICE modelsinharmonic-balanceanalysis 226
5.6.3 Problemsizeminimizationandsolutionoptimization 226
5.6.4 Numerical considerations 227
5.6.5 Designow 228
References 230
6 Practical HF/VHF/UHF RF power amplier realization 232
Daniel P. Myer
6.1 Introduction 232
6.2 RF power amplier markets 232
6.3 Therealizationprocess 233
6.3.1 RFPA qualitativespecicationdelineation 234
6.3.2 RFPA specications, genericlist andquanticationguidelines 236
6.3.3 Specication/hardwarerealization 241
6.4 RFPA systemlevel designoverview 242
6.4.1 RF power amplier moduledesignoverview 243
6.4.2 RF power transistor deviceselectionprocessguidelines 246
6.4.3 RF power transistor bias/thermal trackingnetworks 249
6.4.4 RF input/output coupling/decouplingnetworks 250
6.4.5 Power transistor impedancematching 250
6.4.6 Feedbacknetworks 251
6.4.7 Thermal management 251
6.5 Hypothetical amplier designexample 252
6.5.1 Hypothetical applicationexampleoverview 252
6.5.2 Amplier qualitativespecicationdelineation 252
6.5.3 Amplier specicationquantication 253
6.5.4 Amplier hardwaredesign/realization 254
6.6.5 RF transistor selection 255
6.5.6 Gatebias/temperaturetracking/compensationnetwork 257
6.5.7 Input/output RF/DC coupling/decouplingnetworks 259
6.5.8 Input/output impedancematchingnetworks 259
6.5.9 Feedbacknetwork 267
6.5.10 Test setupconguration/analysis 268
6.5.11 Physical RFPA moduleconstruction 271
6.5.12 RFPA moduletest results 273
6.5.13 Beyondthetest data 281
References 283
Contents ix
7 Microwave hybrid amplier realization 284
Dominic FitzPatrick
7.1 Introduction 284
7.2 Printedcircuit boards 285
7.3 Housing 293
7.3.1 Materials 294
7.3.2 Sealingandhermeticity 294
7.3.3 Construction 299
7.3.4 Thermal issuesandheat sinking 305
7.3.5 RF connections 311
7.4 Components 315
7.4.1 Passive lumpedcomponents 315
7.4.2 Passive distributedcomponents 323
7.4.3 Transistors 331
7.5 Amplier design 333
7.5.1 Topologies 333
7.5.2 Matchingandstability 336
7.5.3 Internallymatcheddeviceampliers 343
7.5.4 Combining 344
7.5.5 Modulesize/systemintegration 344
7.6 Biasingandcontrol 345
7.6.1 Control andinterfacing 352
7.7 Tuningtechniques 353
References 355
8 Monolithic power ampliers 357
Inder J. Bahl
8.1 Overviewof MMIC power ampliers 357
8.1.1 Brief historyof MMIC power ampliers 357
8.1.2 Advantagesof monolithicpower ampliers 358
8.2 MonolithicIC technology 359
8.2.1 MMIC fabrication 360
8.2.2 MMIC substrates 361
8.2.3 MMIC activedevices 361
8.2.4 MMIC matchingelements 362
8.3 MMIC designmethodology 370
8.3.1 CADtools 370
8.3.2 Designprocedure 371
8.3.3 EM simulators 372
8.4 MMIC PA summaryandexamples 372
8.4.1 Narrowbandpower amplier 374
8.4.2 Broadbandpower ampliers 376
8.4.3 Ultrabroadbandpower ampliers 377
8.4.4 High-power ampliers 381
x Contents
8.4.5 Millimeter-wave2.4WPA 386
8.4.6 Wireless3Wpower amplier 386
8.4.7 High-voltagemonolithicPAs 387
8.5 Packagingof MMIC PAs 389
8.5.1 Ceramicpackages 390
8.5.2 Plasticpackages 394
8.5.3 Packageassembly 396
8.6 MMIC power amplier characterization 401
References 406
9 RF power amplier thermal design 411
Mali Mahalingam
9.1 Whythermal designdeservescareful attention? 411
9.2 RFPA thermal design basics 413
9.2.1 RFPA thermal designinatypical portableproduct 413
9.2.2 RFPA thermal designinatypical radiobasestation 416
9.2.3 Basicheat transfer processesandtheir roleinanRFPA thermal
performance 419
9.3 Thermo-physical propertiesof materialsinanRFPA 423
9.4 Toolstocharacterizeandpredict thethermal performanceof RFPAs 427
9.5 RFPA thermal designandmanagement advanced 432
9.6 RFPA thermal design trendsandprognostication 440
References 442
10 Reliability 446
Bill Roesch
10.1 Introduction 446
10.2 Vocabularyanddenitions(units, goals, andstrategy) 447
10.2.1 Reliabilitygoals 448
10.2.2 Semiconductor reliabilitystrategy 448
10.3 Failurecriteria 449
10.4 Failuremodes 450
10.5 Failuremechanisms 451
10.5.1 Metalization 451
10.5.2 Dielectric 453
10.5.3 Bulksubstratematerial 454
10.5.4 SchottkygateFET failurecauses 454
10.6 Failuredistributions 455
10.7 Accelerationfactors 458
10.7.1 Thermal acceleration 458
10.7.2 Current acceleration 462
10.7.3 Voltageaccelerationfactors 465
10.7.4 RF biasacceleration 472
Contents xi
10.8 Reliabilitypredictions(MTBF, MTTF, FITs, etc.) 473
10.9 Wear-out versusdefects(accelerationversusreal life) 475
10.9.1 Thermal excursionexampleno. 1. Interconnect vias 475
10.9.2 Thermal excursionexampleno. 2. Copper bump 478
10.9.3 Defect amplicationandK factors 482
10.9.4 Environmental example humidityactivation
energy 488
10.10 Processeffectsandinuence 492
10.11 Designfor reliability 495
10.12 Historical trendsandtechnologycomparisons 501
10.13 Summary 502
References 505
11 Power amplier applications 508
Mustafa Akkul and Wolfgang B osch
11.1 Introduction 508
11.2 Systemdesignparameter tradeoffs 509
11.2.1 Output powerefciencytradeoff 509
11.2.2 Linearity, modulationscheme, andcrest factor 512
11.3 Systemlevel linearizationtechniques 514
11.3.1 Introductiontolinearizationtechniques 514
11.3.2 Digital basebandpredistortion 514
11.3.3 Memoryeffect compensation 517
11.3.4 Impact onpower efciency 517
11.4 Wirelesscommunicationpower ampliers 519
11.4.1 Mobileradiocommunicationtoday 519
11.4.2 Systemlevel andpower amplier requirements 522
11.4.3 Power amplier designoutline 523
11.4.4 Dohertyamplier for efcient basestations 527
11.5 Militarypower ampliers 530
11.5.1 Radar Tx,Rxmodules 530
11.5.2 EWapplications 534
11.5.3 Anti-IEDapplications 538
11.6 In-phasepower combiningtechniques 538
11.6.1 Wilkinsonpower combiners 538
11.6.2 Gysel combiner 542
11.7 Quadrature-phasepower combining balancedampliers 544
11.7.1 Branch-linequadraturehybrid[19] 547
11.7.2 Langecoupler 549
11.8 Anti-phasepower combining pushpull ampliers 552
11.8.1 Coupledcoil transformers 553
11.8.2 Transmissionlinetransformers 554
11.8.3 RF/microwavepushpull amplier 557
xii Contents
11.9 Dohertycombining 559
11.10 Conclusions 567
References 568
12 Amplier measurements 570
Michael G. Hiebel
12.1 Introduction 570
12.2 Power measurements 570
12.2.1 Typical power sensor principles 570
12.2.2 Typical sourcesof measurement uncertainties 574
12.2.3 High-power RF measurementsanddirectional power 576
12.2.4 Power measurementsusingaspectrumanalyzer 579
12.3 S-parameter measurements 580
12.3.1 Theconcept of S-parameters 580
12.3.2 Scalar networkanalyzersandtheir limitations 582
12.3.3 Vector networkanalyzers 586
12.3.4 Introductiontosystemerror correction 588
12.3.5 Calibrationwithdifferent connector types 589
12.3.6 CalibrationwithPCBs, test xtures, andwafer probers 593
12.3.7 Calibrationconsiderationfor high-power setups 596
12.3.8 Residual errorsandmeasurement uncertainties 598
12.4 Further linear measurements 599
12.4.1 Amplier gaindenitions 599
12.4.2 Efciencyfactor 602
12.4.3 Linear distortion, phaseandgroupdelaymeasurement 603
12.4.4 Linear stabilityconsiderations 605
12.4.5 Mixed-modeS-parameters 608
12.5 Nonlinear measurements 611
12.5.1 Inter modulationdistortion(IMD) andharmonic
distortion(HMD) 611
12.5.2 Compressionpoint 615
12.5.3 Large-signal networkanalysis 616
12.5.4 Load- andsource-pull measurements 619
12.5.5 Hot S-parameters 622
12.6 Modulatedmeasurements 623
12.6.1 Crest factor andCCDF 624
12.6.2 Adjacent channel power ratio(ACPR) 625
12.6.3 Noisepower ratio(NPR) 630
12.6.4 Error vector magnitude(EVM) andconstellation
diagram 630
12.6.5 AM/AM andAM/PM measurements 632
12.6.6 Memoryeffects 632
Contents xiii
12.6.7 Pulsedmeasurements 633
12.6.8 Bit error ratio(BER) andsymbol error ratio(SER) 635
12.7 Noisemeasurements 636
12.7.1 Amplier noisefactor andnoisegure 637
12.7.2 Noiseguremeasurement 637
12.7.3 Noiseparameters 640
12.8 Conclusions 641
References 642
About theauthors 644
Index 651
Contributors
Mustafa Akkul
ASELSAN A.S.
Inder Bahl
CobhamSensor Systems
Wolfgang B osch
Graz Universityof Technology
Wayne Burger
FreescaleSemiconductor
Steve Cripps
Cardiff University
Rob Davis
RFMD
Chris Dragon
FreescaleSemiconductor
Dominic FitzPatrick
PoweRFul Microwave
Michael Hiebel
Rohde& Schwarz GmbH& Co. KG.
Stephen Maas
AWR, Inc.
Mali Mahalingam
FreescaleSemiconductor
Daniel P. Myer
CommunicationPower Corporation
(CPC)
Bill Roesch
TriQuint Semiconductor
R.J. Trew
NorthCarolinaStateUniversity
Preface
In 1989, I was responsible for organizing a workshop at the European Microwave
ConferenceonHigh-PowerSolidStateAmpliers. Thisworkshopprovedpopularandso
ArtechHouseaskedmetopersuadethespeakerstoturntheirmaterial intoaformsuitable
for publication, theresult was thebook entitled High-Power GaAs FET Ampliers
of whichI was editor andacoauthor. That book is of coursenot just out of print but
also largely out of date. This book adopts the same philosophy as the previous one
withchaptersondevicetechnology, amplier design, CAD, thermal design, reliability,
measurements, andapplications butwithacompletelydifferentsetof authorsandwith
everychapter completelyre-writtentobringthecontent uptodate.
Thepolitical, economic andtechnical landscapehas changedalmost beyondrecog-
nitionintheinterveningtwodecades. Inthe1980s most RF andmicrowaveengineers
wereworkinginmilitaryelectronics, defensespendingwaslargelyresponsibleforall the
technical advances, andtherewerenomobilephones! Comparethat withthesituation
nowwherethereareprobably just as many RF and microwaveengineers working on
commercial applications as therearein military electronics, commercial applications
oftendrivetechnical advances, andmost householdswill havenot just onebut several
mobilephones andit is themobilephoneindustry that has largely beenresponsible
for this shift toward commercial applications. However, there is one consequence of
this sea-changeintheindustrial andtechnical environment whichhas hadaprofound
knock-on effect when it comes to writing a book such as this. Now the commercial
pressuresof shortest possibletimetomarket andminimumcost, etc. aresointensethat
anyprospectiveauthor workinginthiseldhastobepreparedtocommit endlesshours
of their ownrather thantheir employerstimetothetask. I wanttopubliclyacknowledge
my deepdebt of gratitudeto all theauthors inthis book for makingthat commitment
andhencemakingthisbookpossible.
J ohnWalker
TheHandbook isacomprehensivereferencefor RF andmicrowavepower ampliers.
It includesboththeoryandpracticeaswell asavarietyof different applications. Often
overlookedsupportingtopicssuchasCAD, thermal design, andreliabilityaretreatedin
depth. J ohnWalker has put together anoutstandingteamof authors, eachof whomis
well qualiedto address his topic. Finally, I liketheway it is organizedwithseparate
chapters for three types of RF-power transistors (silicon, GaAs, and GaN/SiC) and
separatechaptersfor ampliersof differentfrequencytypes(HF/VHF/UHF, microwave,
andIC).
Fritz Raab, GreenMountainRadioResearchCompany
J ohnhassuccessfullybrought together, inonebook, thecurrent knowledgefromworld
experts actively involved with thecharacterisation and modelling of devices together
withthosedevelopinganddesigningRF andmicrowavepower ampliers. Thetimely
publicationof thisbookwill serveasauseful referencesourcefor engineersworkingin
boththecommercial andmilitarymarket sectors.
SteveNightingale, CobhamTechnical Services
1 Silicon LDMOS and VDMOS
transistors
Physics, design, and technology
Wayne Burger and Chris Dragon
Freescale Semiconductor
1.1 Technology overview
1.1.1 Introduction/history
Power ampliersareat thecoreof nearly all high-power (i.e., >5W) RF applications.
Theapplication spaceincludes cellular phonebasestation transceiver systems, pulsed
radar, ISM (industrial, scientic, medical), avionics, digital television broadcast, etc.
This diverse and evolving RF power amplier landscape dictates thestrategy for the
design, fabrication, andoptimizationof multiplegenerationsof RF power devices. The
RF power transistor mustsatisfyabroadandoftenconictingsetof applicationrequire-
ments, includingbut not limitedtopower, linearity, efciency, gain, reliability, thermal
management, bandwidth, ruggedness, digital predistortion (DPD) linearizability, and
cost effectiveness. The amplier architecture has also evolved to adapt to the ever-
changingsystemrequirements, most recentlywiththewidespreadadoptionof Doherty
amplierstoboost back-off efciencyinlinear applications. Thesearchitectural evolu-
tions createopportunities for further renements intheRF power transistor to extract
peakperformancefromthearchitecture.
The various major market segments of the RF power market tend to embrace a
dominant devicetechnologythat meetsabroadrangeof theserequirementsuntil anew
technologyemergestooffer amorecompellingsolution. Throughthelate1970s, silicon
bipolar transistorswerethepreferredRF power devicetechnology[12]. Therelatively
low frequencies and amplier requirements of the era were compatible with silicon
bipolar transistor technology, which was capableof providing arobust, cost-effective
solution. Thebipolartransistorshadadequategainandefciency, couldbereadilyscaled
to achievethedesired power levels, and offered linearity that was consistent with the
modest requirements of that era. On the other hand, power gain was relatively poor,
packages with isolated anges were expensive, thermal runaway due to the negative
temperaturecoefcienthadtobecarefullymanaged(usuallyattheexpenseof degraded
performancebecauseof theneedtoincorporateballast resistors), andtheevolvingand
increasinglymorestringentlinearityandefciencyrequirementswerebecomingdifcult
todesignintothetransistors.
The limitations of the silicon bipolar transistor eventually created an opening for
a new generation of transistor technology that offered superior performance without
2 Silicon LDMOS and VDMOS transistors
theselimitations. Theearly 1980s witnessed theemergenceof doublediffused MOS
(DMOS) transistors that were superior to silicon bipolar transistors for many high-
power RF amplier applications[34]. A rangeof factorscontributedtothisimproved
performance, starting with the improved frequency response inherent to a majority
carrierdevicecomparedtotheminoritycarriertransportinthebipolartransistor. Second,
theDMOS transistor structurelends itself to highbreakdownvoltagedesigns without
seriouslycompromisingfrequencyperformance, openingupthepossibilityof increasing
thepower supplyvoltage, loweringthepower supplycost, andsimplifyingthedesignof
ever higher power devices. Another keyadvantageisthat MOSFETsarenot susceptible
tothermal runaway, duetothepositivecoefcient of thermal resistance[5]. Theability
todesignDMOStransistorswithhighlinear efciencyhasalsoemergedasakeyfactor
intheir widespreaddeployment. Thesetopicswill beexploredingreater detail later in
thischapter.
DMOS transistor structureand fabrication technology diverged into two main sub-
groups dependingonthedirectionof current ow, lateral DMOS andvertical DMOS
transistors(LDMOSandVDMOS, respectively) [611]. Eachof thesevariantshastheir
strengthsandweaknesses, andeachhaslargelysucceededinndingappropriatemarket
segmentswithinwhichtoourish. Thedopingproleinthechannel regionof bothtran-
sistorsisformedthroughtheoverlapof lateral diffusionproles, butLDMOSmaintains
thedrainregionandcurrent owlaterallynear thesurfacewhereit canbeeasilymodi-
edandoptimized, makingitmoreattractivewherelinear efciencyandhigh-frequency
operationareimportant. VDMOS, ontheother hand, canachieveexcellent power den-
sity (i.e., extremely lowR
DSon
/area) sincethelargedraindrift regionneededtosustain
highbreakdownvoltagesextendsverticallybelowthesurface. Thissamestructuretends
to limit the scaling of the gate structure, detracting fromthe high-frequency perfor-
mance. This makes it thelogical choicefor applications that requirevery high-power
densityat relativelylowfrequencies. Comparisonsbetweenthesetwotechnologieswill
beexploredthroughout thischapter.
1.2 LDMOS and VDMOS construction
1.2.1 LDMOS
Figure1.1showsapictureof apackagedhigh-power LDMOS transistor, aviewof the
internal construction, andahigher magnicationimageof theLDMOS die. Figure1.2
showsacross-sectionof astandardLDMOSdie.LDMOSdiearen-channel enhancement
modeMOSFETs. TheLDMOS transistor has along, lightly-dopedn-typedrift region
(hereafterreferredtoasthen-driftregion) betweenthedraincontactandthegate/channel
of thedevice. TheLDMOStransistor hasthen-driftregionorientedlaterallyreferenced
to the silicon surface, the origin of the L in LDMOS. The drain supply voltage to
rst order determinesthelengthanddopinglevel inthen-drift region. LDMOSdevices
optimizedfor handsetsmayhaveann-driftlengthof lessthan0.5m, whileanLDMOS
devicedesignedtooperateat50V inanindustrial applicationmayrequireadriftregion
1.2 LDMOS and VDMOS construction 3
(a)
Figure 1.1a 2.1GHz, 170WLDMOSsingle-endedpart inanair cavitypackage.
(b)
5
0
0

m
i
l
Array of
bonding-wires
Gate lead
Flange
MOS capacitors
Transistors
Ceramic
substrate
Embedded
capacitor
Drain lead
Figure 1.1b High-power LDMOSdevicewithlidremovedillustratingtheLDMOSbuilding
blocks, MOSCAPs, andextensivewirebondarraysintheinput andoutput matchingnetworks.
4 Silicon LDMOS and VDMOS transistors
(c)
Gate Lead
Drain Lead
ESD Protection
Figure 1.1c Typical layout of a50WLDMOSbuildingblockdesignedfor 2GHz operation.
Gate
gate oxide
oxide
metal strap
Drain
n
+
drain n-drift region n
+
source
p-type epitaxy
p
+
substrate
Source
Channel
PHV region
p
+
sinker
Figure 1.2 LDMOScross-sectionillustratingkeyfeatures, includingtopsidegateanddrain
connectionsandabacksidesource.
56mlong. Thevastmajorityof cellular infrastructurebasestationsaredesignedwith
asupplyvoltageof 2832V. Whenthetransistoristurnedon, thedriftregionsimplyacts
asavoltagevariableresistorandcreatesavoltagedropsuchthatthepotential inthedrain
regionbelowthegateissignicantlylessthantheappliedDC biasinorder topreserve
the integrity of the gate oxide and ensure that HCI (hot carrier injection) is limited.
Most LDMOSdesignsalsoleverageatechniquetermedRESURF REducedSURface
Fields[12], whichreliesuponarapidtwo-dimensional expansioninthedepletionregion
widthwithincreasingdrainbiasthatkeepsthepeakelectriceldbelowthecritical eld
for impact ionization, without compromisingthelowdrainbiasR
DSon
of thetransistor;
this techniqueenables very highbreakdownvoltages whilemaintainingthelowR
DSon
necessary to achievehigh-power density. Unless statedotherwise, references to power
1.2 LDMOS and VDMOS construction 5
p-type
substrate
n
+
source
n
+
drain
gate
VD = 0 V
5 V
10 V
20 V
50 V
n-region
Figure 1.3 Depletionregionboundariesfor V
DS
voltagesof 0, 5, 10, 20, and50V inanLDMOS
device.
densityrefertoW/mmgateperiphery; withthisdenition, high-powerdensitycorrelates
withimprovedperformancefor most guresof merit. Thenatureof thereactivecircuit
elementsinanRF transistor enablesthepeakdrainvoltagetoreachapproximatelytwice
thedrainsupply voltageV
dd
duringclass AB operation, andevenhigher duringother
modes of operation [13]. The ability to withstand these peak voltages explains why
datasheetsfor transistorsdesignedfor 32V ClassAB operationtypicallyspecify65V
minimumfor drain-to-sourcebreakdownvoltage, BV
DSS
.
Thelightly dopedn-drift regionintheLDMOS device, alongwiththelightly doped
p-epi region, aredesignedtodepleteasthedrainvoltageincreases, inalignmentwiththe
RESURF principle. Theepi depth/dopingaswell asthen-driftsdepth/doping/extension
must beoptimizedsuchthat thepeakelectriceldacrossthisdepletionregiondoesnot
exceedcritical avalanchebreakdownlevelsduringtheapplicationsRF voltageswings.
Figure 1.3 illustrates through simulation how the depletion region edge progresses
throughthen-drift regionasthedrainbiasvoltageisincreasedfrom1V to65V, with
thegatebiasedatatypical voltageforClassABoperation. Sincethisregionisthelargest
parasitic resistancewithin thetransistor, it also determines thesaturation current and
hencepower density. Keeping this resistanceas lowas possiblewhilemaintaining an
appropriatebreakdownvoltageandHCI reliabilityisacritical partof thedesigntradeoff
madeintheLDMOStransistor designprocess. Proprietarytechniquesareemployedto
increasethepowerdensitywithoutcompromisingBV
DSS
orHCI. Thesethreeparameters
(BV
DSS
, HCI, R
DSon
) denetheboundary withinwhichthetransistor drainstructureis
optimized. The lightly doped p-type epitaxial layer is also important to achieve low
drain to source capacitance, C
ds
, which is important to achieve good high-frequency
performance.
Thegateof theLDMOStransistor ismostcommonlycomposedof astackof polysil-
iconandasilicide(e.g., WSi, CoSi) [1415]. WhileaDC current will not owinthe
gateof aMOSFET, displacement current fromtheAC waveformwill owthroughthe
gatecapacitance, resultinginanundesirablevoltagedropacross thewidthof thegate
6 Silicon LDMOS and VDMOS transistors
n
+
drain
n
+
source
n

drift
region
1E16
1E17
1E18
1E19
1E20
1E15
p-type lateral
channel diffusion
N
e
t

D
o
p
a
n
t

C
o
n
c

(
c
m

3
)
Figure 1.4 Lateral dopingprolealongthesurfaceof anLDMOSdevice.
nger. Thesilicidelowersthegateresistancebyat least anorder of magnitudeover that
of highlydopedpolysilicon. Inthecaseof WSi thiscanrangefrom10O/sqtolessthan
1 O/sq, depending on thickness. If thegateresistanceis too high, thepower gain of
thedevicewill suffer. Thegatelengthandgateoxidethicknessarekey indetermining
thefrequency responseof thetransistor (i.e., f
t
, theunity current gainfrequency of the
transistor). Thinner gateoxidesandshorter gatelengthsresultinahigher f
t
. Inaddition,
athinner gateoxideresultsinahigher devicetransconductance(g
m
), butnotnecessarily
higher RF power gain. This is becausethethinner gateoxidealso increases theinput
capacitanceof thedevicewhichcanlower gain. Thisisanother examplewheredesign
tradeoffsmust beconsidered.
Theasymmetrical p-channel regionof thedeviceisoneof thedistinguishingfeatures
that differentiates theDMOS transistor fromthestandardMOSFET. For theLDMOS
transistor, this regionis createdby usingthegateto self-alignamoderatedosep-type
implant (referredtoasthePHV implant) tothesourceedgeof thegateof thetransistor.
A subsequentfurnaceanneal isusedtolaterallydiffuse(theDinDMOS) thisimplant
into the channel. The source-side structure is completed by the self-aligned implant
andsubsequent diffusionof theheavily dopedn-typesource/drainimplant. Figure1.4
presentsthesimulatedprolefromthesourcetothedraincontact alongthesurfaceof
thetransistor, illustratingthefourdistinctregionsof thedevice(n

source, PHV, n-drift,


andn

drain). TheresultisaMOSFET withanonuniformchannel dopingprole, with


thesourcesidemoreheavily doped than thedrain side. Oneadvantageof this is that
thedopant gradient generatesitsownelectric eldwhichprovidesasmall boost tothe
overall currenttransportof thedevice[16]. Moreimportantly, thisdesignallowsthelarge
supplyvoltagesdescribedearlier tobeappliedwithout sufferingpunch-through. Asthe
1.2 LDMOS and VDMOS construction 7
drainvoltageisincreased, thedepletionregionwill spreadaway fromthenpjunction
formedbytheintersectionof then-drift andPHV/p-epi regions. If that depletionregion
weretoreachthesourcesideof thedevice, then

sourcetoPHV junctionbarrier would


beloweredresultinginadramatic increaseinthesupply of electrons injectedinto the
channel andswept tothedrainterminal by theappliedelectriceld. Thisphenomenon
is referredto as punch-through, andresults inaloss of control of thedraincurrent by
thegatevoltage. Sincethedepletionregionwidthisinverselyproportional tothedoping
density, thegrowthof thedepletionregionintothePHV slowsconsiderablyasit moves
towards themoreheavily dopedsourcesideof thechannel inanLDMOS device(see
Figure1.3). Thispreservesthehigh-voltagecapabilityof thetransistor.
Thesourceof thetransistor isuniqueinanRF LDMOSdevicebecauseitgetsshorted
to the body of the transistor. The body cannot be biased separately fromthe source.
This is doneso that theback of thewafer can beused as thegrounded sourcein the
application. Makingelectrical groundconnectiontothebackof thedieobviatestheneed
for sourcewirestobepresenttomakeatop-sideconnection. Byeliminatingthetopside
sourcebond wires, alargeamount of sourceinductanceis eliminated, increasing the
gainof thetransistor. Tomakethis backsidesourcepossible, then

sourceis shorted
toaheavilydopedp-typeregioncalledthep

sinker bymetal 1(typicallyanaluminum


alloy). This metal is not contacted by a bond wire for biasing and simply acts as a
means to short the pn junction between the two regions. The p

sinker is implanted
veryearlyintheprocessandisthermallydiffuseduntil it meetsthep

substratedoping
whichis gradually diffusingupwardduringthis thermal cycle. Thep-epi must not be
entirely consumedby thesubstrateup-diffusionbecauseof thebreakdownvoltageand
capacitanceconstraints describedearlier. A balancebetweenkeepingalow-resistance
paththroughthep

sinker intothep

substrateandretainingamplelightlydopedp-epi
for breakdownandlowC
ds
must bestruck. Thewafer is thenthinnedthroughaback-
grindprocess(tothicknessesinthe26milsrange) andback-metal isdepositedonthe
wafer backsidesothat agood, low-resistancecontact canbemadebetweenthedieand
package.
There are two components of the device design that are located above the silicon
surface: the eld plate and the drain metallization. The eld plate provides an extra
degreeof freedomwithinthen-drift optimizationtradeoff describedearlier. Byplacing
a grounded conductor (i.e., the eld plate) close to the surface of the n-drift region,
the eld plate can perturb the depletion region and electric elds such that a higher
dopingand/or shorter extensioncanbeusedfor then-drift regionfor agivenamount
of breakdown voltage and HCI. In other words, the parasitic drain resistance of the
device can be lowered, the RF power density of the device can be increased, and
the HCI levels in the device can be reduced if the eld plate is designed correctly.
Figure 1.5 is a simulation of the subsurface electric eld for a device both with and
without a grounded eld plate, fromwhich the peak electric eld can be seen to be
dramatically reducedfor thedevicewithaeldplate. Inaddition, sincethiseldplate
isgrounded, itcanactasashieldbetweenthedrainmetalsandthegateof thetransistor,
reducing the feedback capacitance C
gd
. The drain metallization must be designed to
meet theapplications electromigration requirements. RF power devices aretypically
8 Silicon LDMOS and VDMOS transistors
n
+
source
channel
and n

drift
with shield
4.0e+05
2.0e+05
0.0e+00
without shield
L
a
t
e
r
a
l

E
-
F
i
e
l
d

M
a
g
n
i
t
u
d
e

(
V
/
c
m
)
Figure 1.5 Comparisonof thelateral electriceldmagnitudewithandwithout aeldplateshield.
designed to operateat ajunction temperatureup to 200

C at relatively high current


densities. A typical devicedesigntarget might bea100-year electromigrationmedian
timetofailure(MTTF) atratedpower and200

C. Thisrequiresaveryrobustmetalliza-
tion, andistypicallysatisedwithathickaluminumor goldtopmetal withdimensions
(thicknessandlinewidth) that areappropriatetokeepthecurrent densitylowenoughto
meet theMTTF goals.
1.2.2 VDMOS
TheVDMOS transistor (Figure1.6) shares many of thedevicedesignandoperational
considerations describedfor theLDMOS transistor. Themost signicant differenceis
that the body/substrate of the VDMOS transistor is n-type rather than p-type, and it
serves as thedrainof theVDMOS transistor whereas thebody/substrateis thesource
for theLDMOS device. Then-drift regionis alightly dopedn-typeepitaxial layer on
topof aheavily dopedn-typesubstrate; theVDMOS epi thicknessistheequivalent of
then-drift extension in theLDMOS device. This region is also theprimary source
of parasitic resistanceintheVDMOS devicebut it extendsdowntowardsthebackside
of thedierather thanremainingat thesurface. This designallows theepi thickness to
beadjustedtoachievethetarget breakdownvoltage. For veryhighbreakdownvoltages
inthe200V regime, thisvertical designismoreappropriatethanthelateral designof
theLDMOStransistor. VDMOStransistorssuitablefor RF operationatdrainbiaslevels
inexcess of 100V arenowonthemarket [1718], whereas 50V is thehighest drain
voltageoperational ratingonanLDMOStransistor availabletoday[1921]. Increasing
thedrainvoltageisthelogical pathway todevelophigh-power partswithuser-friendly
impedancelevels. This has ledtoadivergenceinthemarket wherethesetechnologies
1.2 LDMOS and VDMOS construction 9
n-type epitaxy
Channel
Source metal
overlay
Gate
Source
oxide
Gate
n
+
substrate
p
+
diffusion
n
+
source n
+
source
Drain
Figure 1.6 VDMOScross-sectionillustratingkeyfeatures. UnliketheLDMOSstructure, thegate
andsourceareonthetopsidewhilethedrainisonthebacksideof thestructure. Adaptedfrom
reference[25].
competeagainst eachother, withLDMOS tendingto havethehighest values of gain,
efciency, andoperatingfrequency, whiletheVDMOScanachievehigher power levels
at higher drainbiasvalues, but at lower frequencies.
Whilethevertical drift regiondesignenableshigher drainvoltageratingsandpower
capability, which are signicant advantages for certain applications, this drift region
design is not amenable to the incorporation of eld plates; the performance gains
achievedbyLDMOSfor thepast half dozenyearswereenabledbytheincorporationof
eldplates to allowfor aggressivereductions inR
DSon
andincreases inpower density
withoutcompromisingreliabilityor breakdownvoltage. Thevertical driftregiondesign
alsoleadstothebacksideof thedevicebeingthedrainratherthansource/groundterminal
(theLDMOS transistor brings thesourceto thedevicebackside). Sincethetransistor
mountingangeis mechanically andelectrically connectedto thePA heat sink andto
ground, this introduces complexity into the packaging environment for the VDMOS
devicecomparedtotheLDMOS transistor. Finally, thetransitionof current owfrom
lateral tovertical inducescurrent crowdingthat tendstolimit performancecomparedto
theLDMOSpurelylateral transport [22].
10 Silicon LDMOS and VDMOS transistors
0.45
0.40
0.35
0.30
0.25
I
D
S

(
A
)
0.20
0.15
0.10
0.05
0.00
0 10 20 30 40
7.0 V
6.0 V
5.4 V
5.0 V
4.4 V
4.0 V
3.4 V
3.0 V
VGS = 2.0 V 0 V
VDS (V)
50 60 70 80
Figure 1.7 I
DS
-V
DS
familyof curvesfor variousV
GS
values.
1.3 Device physics
1.3.1 Current transport
DMOS devices behave largely the same as standard three-terminal n-channel MOS
devices withregardto transistor operation. Thecurrent-voltageresponsecanbechar-
acterizedashavingcutoff, linear, andsaturationregimesof operation(seeFigure1.7).
Currentequationsfor thelinear andsaturationregionsof operationcanbeapproximated
by equations (1.1) and(1.2), respectively [23], whereI
D
is thedraincurrent,
S
is the
electronsurfacemobility, C
ox
isthegateoxidecapacitanceper unit area, Wisthetotal
gatewidth, L is theeffectivegatelength, and V
G
, V
T
, and V
D
arethegate, threshold,
anddrainvoltage, respectively. Duetothegradeddopingprolewithinthechannel of
thedevice, thereis anadditional electric-eldinduceddrift current component which
is not present in standard MOSFETs, providing an additional boost to the apparent
mobility andg
m
. Notethat for small drainvoltages, theV
D
2
termcanbedroppedfrom
equation(1.1), whichthenreducestothefamiliar linear relationshipbetweenI
D
andV
D
.
I
D
=

s
C
ox
W
L
_
(V
G
V
T
)V
D

1
2
V
2
D
_
(1.1)
I
D
=

s
C
ox
W
2L
(V
G
V
T
)
2
(1.2)
ItisworthnotingthatDMOSdevicesascommonlydesignedfor RF operationcannot
beusedas four terminal devices (i.e., gate, drain, source, andbody). InbothLDMOS
1.3 Device physics 11
Gate Drain
Source
Figure 1.8 Illustrationof thecurrent owintheLDMOSstructure. Thecurrent owislateral
acrossthedrainandchannel, andisthenshuntedtothesourceconnectionat thebacksideof the
wafer.
andVDMOSdevices, thebodyof thedeviceisusedasthesourceor drain, respectively.
In both cases this eliminates the need for a top-side contact for all three terminals
of the device (i.e., gate, source, drain). In the case of LDMOS, only the gate and
drain havetop-sidecontacts allowing for thesourceto remain alow-resistance, low-
inductanceconnection(i.e.,wirebondsarereplacedbydiffusionsthatelectricallyconnect
thesourceto thebacksideof thewafer, which is then connected to systemground
see Figure 1.2) which is important for RF applications. VDMOS has only gate and
sourcetop-sidecontacts, whichhaslayout densicationadvantages, especiallyfor very
highvoltageoperation, aswill bediscussedinalater section. Thedrainof theVDMOS
transistorisinternallyshortedtothesubstratewhich, aspreviouslydescribed, requiresan
accommodationduringpackagingsincethewafer backsidecannot bemounteddirectly
tothepackageangeandheat sink.
The current paths for the LDMOS and VDMOS transistors are illustrated in
Figures 1.8 and 1.9, respectively, but remember that current ow is the opposite of
electronow. TheLDMOSdeviceshowscurrentbeginningatthedrainwhereapositive
voltagehas been applied and owing through thelightly doped n-drift region before
crossing the channel. The current then passes through the n

source into the metal


whichshortsthen

sourcetothep

sinker, andthenintothep

sinker. Thecurrent then


moves vertically through thesilicon and out thebacksideof thesubstrateto ground.
TheVDMOS devicehas acurrent pathwhichbegins at theback of wafer andmoves
vertically tothesurface, transitioningthroughthelightly dopeddrift regionformedby
theepitaxial layer. Itthencrossesthechannel andexitsoutof thesourcecontactterminal.
12 Silicon LDMOS and VDMOS transistors
Gate
Gate
Drain
Source
p
+
diffusion
Source metal
overlay
oxide
Figure 1.9 Illustrationof thecurrent owintheVDMOSstructure. Thecurrent owisvertical
throughthedrainregion, turninglateral acrossthechannel andintothesource.
1.3.2 Behavior of parasitic elements/models
InRF power applications, theoperational effectiveness (e.g., gain, power density, ef-
ciency, etc.) of atransistor ismostlylimitedbyitsparasiticelements. Itisinminimizing
theseelementsthat thetruechallengeof devicedesignbecomesapparent. Capacitances
andresistancesposethebiggest problems. Resistancesareaproblembecausethey not
only dissipateenergy but also limit thepeak current andhencepeak power capability,
andcontributetoanincreaseinthekneevoltageandhencedegradethepeakefciencyof
thetransistor. Parasitic resistances, althoughanecessary by-product of certainregions
of thedevice (i.e., then-drift region) to meet breakdown voltageand HCI reliability
goals, tend to degrade the overall performance of the transistor. Many variations of
thebasic DMOS structurehavebeen reported in an attempt to reduceR
DSon
without
compromisingBV
DSS
. Capacitances poseseveral problems. Themost classical impact
issimply todegradethefrequency responseof thetransistor. Equations(1.3) and(1.4)
aresimpliedequationsfor f
T
(unitycurrent gainfrequency) andf
max
(unitypower gain
frequency), respectively[24], whereC
gs
istheinput capacitance, R
out
isthereal part of
theoutput resistance, andR
in
isthereal part of theinput resistance.
f
T
=
g
m
2C
gs
(1.3)
1.3 Device physics 13
C
D
S
/
m
m

(
F
/
m
m
)
VDS (V)
0 10 20 30
1.20E-12
1.00E-12
8.00E-13
6.00E-13
4.00E-13
2.00E-13
0.00E+00
(a)
Figure 1.10a Typical drain-sourcecapacitance(C
DS
) versusvoltagecurvefor anLDMOSdevice.
(b)
6 5 4 3 2 1 0
C
G
S
/
m
m

(
F
/
m
m
)
VGS (V)
1.05E-12
1.10E-12
1.15E-12
1.20E-12
1.25E-12
1.30E-12
1.35E-12
Figure 1.10b Typical drain-sourcecapacitance(C
GS
) versusvoltagecurvefor anLDMOSdevice.
f
max
=
f
T
2

R
out
R
in
(1.4)
The other impact is that many transistor capacitances are nonlinear functions of the
junction voltage and therefore can result in a distortion of the signal being passed
throughthePA. Figure1.10presentsinput capacitanceC
gs
, output capacitanceC
ds
, and
feedback capacitanceC
gd
versus voltagecurves that arerepresentativeof anLDMOS
transistor, illustratingthesensitivity of thecapacitances to terminal voltage. Thevari-
ation of these capacitances degrades the efciency of the input and output matching
networks since the xed value passives in these networks must be designed to oper-
ateinanenvironment wherethecapacitancesbeingmatcheddependonvoltage. What
14 Silicon LDMOS and VDMOS transistors
(c)
C
D
G
/
m
m

(
F
/
m
m
)
VDG (V)
0
5.00E-14
4.00E-14
3.00E-14
2.00E-14
1.00E-14
0.00E+00
5 10 15 20 25 30 35
Figure 1.10c Typical drain-sourcecapacitance(C
GD
) versusvoltagecurvefor anLDMOSdevice.
p
+
substrate
R
S
C
GS
R
G
C
GD
R
D
C
DS
p-epi
Gate Drain
Source
p
+
n
+
n
+
n

p
Figure 1.11 KeyparasiticcapacitancesandresistancessuperimposedontheLDMOSstructure.
ThegateresistanceR
G
isactuallyperpendicular totheplaneof thedrawnstructure(i.e., intothe
page).
follows is amoredetaileddiscussiononeachof thekey parasitic elements of DMOS
transistors.
Figure1.11shows thevarious parasitic resistances andcapacitances inanLDMOS
transistor. Thedrainresistance(R
d
) islargelydominatedbythen-drift regionandmust
bedesignedtosustainappropriatelevelsof breakdownvoltagewhileminimizingHCI.
1.3 Device physics 15
good sinker linkage
poor sinker linkage
1E16
1E17
1E18
1E19
D
o
p
a
n
t

C
o
n
c

(
c
m

3
)
1E20
Figure 1.12 Comparisonof thevertical dopingprolesthroughthesinker regionof anLDMOS
devicewithandwithout goodlinkagetothesubstrate.
Thisisdiscussedinmoredetail inthenext section. Thegateresistance(R
g
) iskept low
throughtheuseof asilicidewhichsits atopthepolysilicongate. Thesilicideprovides
at least anorder of magnitudereductioningateresistanceover just polysilicon. Given
the high-power capability of these devices, total gate widths tend to be measured in
millimeters rather than microns. How this is achieved froma layout perspective is
shown in a later section. The important aspect to consider is that the RF signal is
travelingdownlongstretchesof gateandthereforeit must alsobeconsideredtoact as
atransmissionline. If R
g
getstoohigh, avoltagedropoccursalongthegatewidthand
thegainof thedevicebecomespoor. Finally, R
s
isdrivenprimarilybythesinker region,
thelink to thep

substrate, thep

substrateresistance, andvarious smaller resistances


associatedwiththedieattachandmetal packageange. If onewereto takeavertical
look at thedopant proleseenthroughthesinker tothesubstrateit wouldlook likethe
solidlineinFigure1.12. A failuretoformalow-resistancelink betweenthep

sinker
andthesubstrateisillustratedbythedashedlineinFigure1.12, whichwill degradethe
RF performanceof thetransistor.
The capacitances in the LDMOS device typically have both xed and nonlinear
components. Beginning with the drain-to-source capacitance C
ds
, a typical C
ds
CV
curveisplottedinFigure1.10a. Thenonlinear natureof thecurveisduetothenonlinear
spreadingof thedepletionregionintoboththebodyandn-driftregionasthedrainvoltage
isincreased(seeFigure1.3). It isaffectedby thedopant levelsinthedeviceaswell as
theshielddesignswhichcanperturbthen-driftdepletionsif placedclosetothesurface.
Inaddition, therearexed, voltage-invariantintermetal fringingcapacitanceswithinthe
devicethat shift theentireCVcurveup. Thenonlinear natureof C
ds
canbeaproblem
sincevoltageswings will createarangeof capacitances for eachRF cycle. This leads
to distortionandcanalso becomeproblematic for specic types of PA designsuchas
16 Silicon LDMOS and VDMOS transistors
envelopetrackingthat varythedrainvoltagedynamicallytoadjust output power levels.
Another challengefromnonlinear capacitancesistheimpact of thenonlinearityonthe
matchingnetworkdesign; sincethematchingnetworkcomponentsarevoltageinvariant
(inductorsandMOScapacitors, typically), theinstantaneousimpedancetransformation
will vary across the RF cycle as the device intrinsic capacitance varies, resulting in
compromisedperformanceover most of theRF cycle. Andnally, C
ds
determines, to
rst order, the intrinsic output impedance of the transistor; for silicon transistors in
particular, thisjunctioncapacitancecanleadtoverylowimpedancesthataredifcultto
designbroadbandmatchingnetworksfor.
Thegate-to-sourcecapacitanceC
gs
inthedeviceishighlydominatedbythegateoxide
of thetransistor. Duetothenatureof all MOSFETstheC
gs
CVishighlynonlinear and
showninFigure1.10(b). Priortothedevicereachingthresholdthereisnoinversionlayer
tospanthechannel directly belowtheoxide. Thereforeadepletionregioniscreatedto
uncover chargetobalancetheappliedgatevoltage. Oncethedevicegoesintoinversion,
thereisanamplesupplyof electronsdirectlybeneaththeoxidesurfaceonwhichE-eld
linescanterminate. Thecapacitancebecomesmuchlarger sinceit nowconsistsof only
thegateoxiderather thanthegateoxideinserieswithadepletioncapacitance; theon-
stateC
gs
for anLDMOSdeviceistypicallytwotofour timeslarger thanC
ds
measuredat
28V whereasfor aVDMOSdevicetheratioiscloser tounity. Thisnonlinear behavior
of theinput capacitancewithvoltagealsocreatesproblemswithlinearityintheformof
phasedelaysfromtheinput totheoutput of thedevice.
Thegate-to-drainfeedback capacitance(C
gd
) hasthesameCVshapeasC
ds
but the
magnitudein atypical LDMOS deviceis much lower C
dg
at 28 V is typically less
than5%of C
ds
at 28V. Thenonlinear contributionstemssolely fromwherethen-drift
regionisoverlappedbythegateandisthereforemanipulatedbythen-drift doping, the
extentof thelateral diffusionof thePHV inthechannel, thegateoxide, andthevariation
indepletionregionlocations withbias. Therearealso signicant contributions to C
dg
fromintermetal fringing. Variousshielddesignshavebeenusedtoconceal thegatefrom
thedrainmetal andhencereducethefeedback capacitance. Theshieldisgroundedand
thereforeterminates E-eld lines originating with thedrain. ExcessiveC
gd
can lower
power gaininthedeviceandincreasetheinstability.
ThedescriptionsappliedtotheparasiticresistancesandcapacitancesforLDMOSalso
applytotheVDMOSstructure. Inexchangefor then-driftregionbecomingvertical and
therebyincreasingtheexibilitytodesignforbreakdownvoltagesof 100V orhigher, the
parasiticcapacitancesof theVDMOSstructuretendtobehigher thanfor theequivalent
power RF-LDMOS device. In addition, compared to LDMOS theVDMOS structure
lendsitself towardslower operational frequencies(i.e., lower gainatagivenfrequency).
Thelack of agroundedshieldstructureintheVDMOS device(seeFigure1.6) tends
toincreaseC
gd
, inadditiontonot providingtheadditional devicedesignexibilitythat
agrounded shield layer provides (i.e., thegrounded shield has enabled higher n-drift
dopingconcentrationstoincreasepower densitywithout sacricingHCI performance).
Therearefewbenignparasitic elementswhenconsideringtheperformanceof high-
power RF transistors. A robust design process based upon models that include these
parasitic elements is critical to enableoptimizationof thedesignacross abroadrange
1.3 Device physics 17
of performancemetrics. Anexcellent referencefor thecharacterizationandmodeling
of RF power devicesis[25].
1.3.3 BV
DSS
, R
DSon
, HCI boundaries
Breakdown voltage (BV
DSS
), linear regime on-resistance (R
DSon
), and HCI are three
critical parameters that aretraded off against oneanother in thepursuit of higher RF
performance. Manyaspectsof thetransistor designareconstrainedbytheseparameters
andfor themost part arecontrolledbythedrainregionof thedevice. Manipulatingthe
drainof thedeviceinvariousways(i.e., n-driftdoping, n-driftlength, shieldplacement,
anddesign) is collectively referredto as drainengineering. This sectionis devotedto
thistopic.
Breakdownvoltagebetweenthedrainandsourceof aMOSFET whilethetransistor
channel isOFF (i.e., gatevoltageiszerofor standardLDMOSandVDMOSdevices) is
referredtoasBV
DSS
. Foratypical wirelessbasestationapplicationwiththePA operating
in Class AB bias, thedrain DC supply voltagewill bein the2632 V range, but the
peak RF voltage which occurs on top of the DC bias will essentially be double this
value. ThiswouldimplyaminimumBV
DSS
requirementof 64V. For thisreasonthedata
sheets typically specify 65V minimumBV
DSS
for cellular infrastructureapplications.
Thisisachievedwiththelightly dopedn-drift regionthat isdesignedtooperateinthe
RESURF regime. Discussion of thebreakdown mechanismis required to understand
howthisworks.
The drain-source breakdown in an LDMOS or VDMOS device occurs when the
electric eldacross then-drain/p-sourcejunction (thejunction which is vulnerablein
these devices is actually between the drain and the body of the MOSFET, but recall
that thesourceandbody areshortedso thedrain-sourcevernacular remains accurate)
exceeds thecritical level required for aphenomenon known as avalanchebreakdown
to initiate. Withany p,njunctionthat is reversebiased(as is thecasewhenapositive
voltageisappliedtothen-typedrainwhilethep-typesourceisgrounded), adepletion
region extends into each sideof thejunction creating abalanceof charge. Thereare
nofree-owingelectrons inthen-typedepletionregionor free-owingholes inthep-
typedepletionregion, hencetheyaredepletedof mobilecarriers. Without thesemobile
carriers, thedopant atomswithinthesiliconlatticepresent axedcharge(i.e., positive
chargeinthen-typedepletionregionandnegativechargeinthep-typeregion). These
xedcharges set upanelectric eldacross thedepletionregions. Theintegratedxed
chargeinthedepletionregionsoneither sideof thejunctionisalwaysequal. If thedrain-
source voltage is increased, the depletion regions grow uncovering additional xed
chargewhichin-turnresultsinalarger electric eld. Howlargethedepletionregionis
dependsonthelevel of dopantinthatregion. If theregionishighlydoped, thedepletion
regionisquitesmall sinceavery small depletedareauncoversalargeamount of xed
charge(recall that thexedchargecomesfromthedopant inthelattice). If theregionis
lightly dopedtheoppositeis true: thedepletionregionmust extendalargedistanceto
exposethenecessaryxedcharge. Thisconcept isimportant inthat for agivenapplied
voltage, thepeak valueof theelectric eld that extends over along distanceis lower
18 Silicon LDMOS and VDMOS transistors
thanonewhichoccursover averynarrowregion. Itisthepeakvalueof theelectriceld
whichincitesavalanche[26].
Within thedepletion region electron-holepairs areconstantly being generated that
areswept fromthedepletionregionsbytheelectriceldcreatedbytheappliedvoltage,
resultingintheleakagecurrentinthedevice. Asthevoltageacrossthejunctionincreases,
thepeak electric eldwill eventually reachavaluewherethespontaneously generated
electron-holepairsgainsufcient energy fromtheeldtobreak electronbondsduring
collisions withthelatticeatoms, leadingto thegenerationof newelectron-holepairs.
Thisnewlyformedelectronholepairrepeatsthepattern; itiseasytoseehowtheprocess
can lead to an exponential increasein current for asufciently largeapplied voltage.
Thisprocessistermedavalanchebreakdown. Theresultant electrical curveisshownin
Figure1.7. Inthisexampleit isclear that anexponential growthincurrent isoccurring
at 72V.
Designing for high BV
DSS
is most easily achieved by using alight dopant level on
both sides of thedrainsource(body) junction. In both LDMOS and VDMOS cases,
thebody isalready lightly doped. Thedrainhowever hasmany designelementswhich
canbeadjustedtoachievethedesiredbreakdownvoltage. Themost obviousgiventhe
discussionthusfaristosimplyusealightlydopeddrain. However, if then-drainregionis
short andshallow, thenthedepletionregionwill veryquicklyconsumetheentiren-area
and hit thendrain contact area, pinning thelateral growth of thedepletion region.
This means that length and depth of then-drift region becomeadditional parameters
which must be carefully designed. The result is a two-dimensional depletion region
spread(RESURF) that doesnot occur insimpleone-dimensional junctiontheory [12].
Referring to Figure 1.3, the progression of depletion laterally fromthe channel and
verticallyfromthebodycausesareductionineldstrengthastheoverall electriceldis
nowsplitintovectorswhichareorthogonal tooneanother. A full discussionof RESURF
isbeyondthescopeof thischapter but thetypical patterninlateral electriceldacross
then-driftregionisseeninFigure1.6withtwoelectriceldpeaks: onenear thechannel
andonenear thendraincontact area. To maximizeBV
DSS
then-drift doping, depth,
andlengtharedesignedsothat thesepeaksarenearlyequal.
Another element of drain engineering design is the use of shields or eld plates
abovethen-drift region(seeFigure1.2). Theconcept behindeldplates is to provide
an additional degree of freedomto modify the eld distributions within this critical
region of the device. If a grounded conductive layer is placed close enough to the
surfaceof thedeviceit creates asurfacefor electric eldlines to terminateupon; this
structureiscommonlyreferredtoasaeldplate. Theeldplateservesseveral purposes.
Oneistoreducecapacitivecouplingbetweenthedrainandgatewhichimproveshigh-
frequency performance. It shouldbenotedthat early devices placedagroundedmetal
shieldbetweenthedrainandgatetoreducecapacitance, butfar enoughfromthesilicon
surfaceto haveminimal effect on theelectric eld distribution in thedrain. Over the
past ten years, LDMOS device design has evolved to place the eld plate closer to
the silicon surface to intentionally alter the eld distribution in the drain region. In
this regime, thecouplingbetweenthedrainandtheeldplateenhances theRESURF
behaviorinthedevice, allowingahigherdopantlevel tobeusedtoachieveagivenBV
DSS
.
1.3 Device physics 19
Thehigher dopant level increasesthepower density, improvingdeviceperformance. In
addition, thedeviceengineer canplacetheshieldonly abovetheportionof then-drift
regionthat is neededandcanalsocontrol howcloseit is by choosingthethickness of
thedielectric depositedbelowtheshield, providingadditional exibility inthedevice
design. Itisimportanttonotethattheeldplateintegrateseasilyintothelateral structure
of theLDMOSdevice; theVDMOSstructureisinherentlyincompatiblewitheldplate
structures.
Looking at a typical family of I
D
V
D
curves for various V
G
values there are two
general regionsof MOSFET operationasdiscussedearlier: linear andsaturation. Inthe
linear region of operation theMOSFET current versus voltagecurves exhibit aslope
whosereciprocal is referred to as R
DSon
. Thesteeper this slopeis then thelarger the
RF signal can swing before becoming limited by the capability of the transistor. A
lower R
DSon
valuetypically translates into higher power density and higher efciency
andisconsideredacritical designcomponent inany LDMOS or VDMOS device. The
desireistokeepR
DSon
aslowaspossible. Thelargest contributor toR
DSon
isthen-drift
regionwherethebreakdownvoltagediscussionaboveillustrates theneedfor alightly
doped(moreresistive) design. Thisisoneof thefundamental tradeoffstobemadewhen
designing an RF PA transistor, and it is of littlesurprisethat thevast majority of the
devicedesignactivity isdevotedtodrainengineeringprecisely thisparticular tradeoff.
This drove the need for shields/eld plates in LDMOS and experimentation with a
varietyof dopingtechniquesinthen-drift area. Other contributorstoR
DSon
includethe
sourceresistancecomponentsof theLDMOSandVDMOSdevicesalready coveredas
well asthechannel resistancecontributionwhichisnegligibleif designedproperly.
LDMOSdevicesrelyonthelateral diffusionof ap-typeimplanttocreatethechannel
doping prole. This results in the preferred higher doping at the source end of the
channel andlower dopingat thedrainendof thechannel (seeSection1.3). However,
if thelateral diffusionistoogreat duetoeither athermal cyclewhichistooaggressive
or agatelengthwhichis too short, thep-typedopant will reachthen-drift regionand
overcompensate. Thisresultsinthep-typedopantcounter-dopingthen-typedopantand
that area of overcompensation becomes a p-type region. If there is no n-type region
to link up to thedrain edgeof thegate(seeFigure1.2) then thesmall p-typeregion
becomesalargeparasiticresistance, R
DSon
increasesdramatically, andpower capability
is lost in thedevice. This makes controlling gatelength and lateral diffusion thermal
cyclesacritical manufacturingconcernforLDMOS. TheVDMOStransistorhassimilar
considerationsintermsof controllingthelateral diffusionof thePHV implant.
HCI in MOSFET transistors must beconsidered with respect to theimpact it will
haveinRF PA applications. HCI is thethirdmajor consideration(theother two being
BV
DSS
andR
DSon
). Thereareavarietyof metricsavailabletocharacterizeHCI, including
thresholdvoltageshift, transconductancedegradation, etc. Thetwocritical parameters
impactedby HCI for RF power devicesareshiftsinR
DSon
andbiascurrent (commonly
referred to as I
DQ
). For athorough understanding of theseeffects adiscussion of the
devicephysicsinvolvedisrequired.
Two things must be present for HCI to occur: an electric eld strong enough to
impart signicant energytothecarriersmakingthemhot andthecarriersthemselves
20 Silicon LDMOS and VDMOS transistors
VDS = 0.1 V
VDS = 28 V
0 0.5 1 1.5 2 2.5 3
1.00E+00
1.00E-01
1.00E-02
1.00E-03
1.00E+04
1.00E-05
1.00E-06
1.00E-07
1.00E-08
1.00E-09
1.00E-10
1.00E-11
I
D
S

(
A
)
VGS (V)
Figure 1.13 Sub-thresholdI
D
V
D
curvesfor anLDMOSdevice(V
D
= 0.1V, V
D
= 28V).
(i.e., electrons). IntheBV
DSS
discussiontheconcept of RESURF wasusedtoillustrate
thattherearetwoelectriceldpeakswithinthen-driftregionof anLDMOSdevice. The
electriceldpeak at thedrainedgeof thegateistheonewhichresultsinHCI if it gets
toostrong. Under normal transistor operation, electronsareowingacrossthechannel
withtheaidof alateral electriceld. Aswithavalanchebreakdown, theeldcanbecome
strongenoughthat theelectrons areacceleratedto apoint wherecollisions withother
electron-holepairs or thesiliconlatticeoccur. Whiletheeldis not strongenoughto
begintheavalancheprocess, thecarrierstravelingnear thesurfacecanget misdirected
duringacollisionandendupbeinginjectedintothegateoxide. Howdeepintotheoxide
they areinjecteddepends ontheenergy of theelectronandtheavailableenergy states
intheoxide. Onceinjectedthis electronacts as axednegativechargewhichinduces
apositivechargeinthechannel belowit. Dependingonexactly wheretheelectronis
injectedtherearetwodifferent devicedegradationmechanismswhichcanoccur.
If the electron is injected directly over the channel of the device (see Figure 1.2)
theimpact is onthebias current or I
DQ
under RF operation. Lookingat subthreshold
curvesof atypical LDMOSdevice(Figure1.13) takenwithadrainvoltageof 0.1V and
28V thereisanobservedshift inthecurves. Thethresholdvoltage(V
T
) islower when
28V isappliedtothedrain. Thisisduetoashort-channel effect withintheeld-effect
transistor (FET). At thesurfaceof thechannel alarger depletionregionextendsintothe
channel whenlargerdrainvoltagesareapplied. Thisuncoversxednegativechargeinthe
channel. Whenapositivegatevoltageisapplied, it islookingtogenerateanequivalent
1.3 Device physics 21
negativechargein thechannel. This leads to inversion as electrons arecreated at the
channel surface and the threshold voltage has been exceeded. If the larger depletion
regionhasalready createdsomenegativechargefor thegateelectric eldtoterminate
upon, thenlessinversionelectronsarerequiredtocreateacompletelyturned-onchannel.
Theresult is alower V
T
. PA applications will set theDC bias using the28V (in this
example) drainsupply by increasingthegatevoltageaboveV
T
until thedesiredI
DQ
is
reached. If HCI isoccurringduringnormal deviceoperation, electronsabovethechannel
will induceapositivechargeessentiallyreversingtheincreaseddepletionspreadcaused
by the28V. This increases V
T
andstarts tode-bias thepart (i.e., I
DQ
decreases). Over
timeasmoreelectronsareinjected, thedeviceslowlylosesitsbiasandthepart will no
longer operateas neededinthePA. If theelectronis injectedabovethen-drift region,
theinducedpositivechargesimplyincreasesR
DSon
which, asstatedearlier, will resultin
decreasedpower capability. RobustnesstoHCI must bedesignedintothetransistor and
characterizationperformedtodeneacceptablelevels.
Characterization of HCI affects is performed through stress testing at theDC bias
whichwill beappliedtothedeviceintheapplication. A typical basestationPA could
requireadrain voltageof 32 V and an I
DQ
of 4 mA/mmof total gatewidth. A drain
voltageof 32V isappliedandthenthegatevoltageisincreaseduntil the4mA/mmis
reached. A rapidassessmentof theHCI wouldentail applyingthesteadystateDCstress
tothetransistor for 1648hours sothat anextrapolationcanbemadeout to20years;
theR
DSon
andI
DQ
drift areestablishedbytakingperiodicmeasurementsthroughout the
stress period. Care should be taken to control the temperature of the device under
test (DUT) as well as theambient temperatureas V
T
is temperaturesensitiveandcan
also impact theI
DQ
readings. As described earlier, HCI into thegateoxideabovethe
channel regionreversesthedepletionregionspreadcausedbytheDCbiasdrainvoltage.
Referring to our exampleonceagain, this means that theV
T
curveat 32 V begins to
movetowardtheV
T
curveatadrainvoltageof 0.1V. Thisisaself-limitingphenomenon
whichmeansthattheinitial impacttoI
DQ
isquitelargeandthenadditional injectionhas
lessandlesseffect astimegoeson. HCI degradationcanbeestimatedasalogarithmic
responsebyplottingtheI
DQ
responseagainst thetimeof stressand(Figure1.14). Most
of thedegradationoccurs intherst fewhours andthenlevels off dramatically. Using
this log response, an estimation for the degradation out to 20 years can be made. A
well-designed transistor will keep the20-year degradation in I
DQ
below10%. This is
usually adequatefor ensuring that thePA remains within performancespecications.
R
DSon
increasesarealsotabulatedafter thestresstestingdescribedabove. Again, the20-
year responseshouldbebelow10%butalsoof importanceistheinitial 16hshiftwhich
shouldbelower than5%(preferablylower than3%). ItisimportanttonotethatHCI isa
functionof temperature, voltage, andcurrent, andthat theaboveDC testingisintended
to provideadevicewith acceptableHCI sensitivity under most operating conditions.
Thenal assessment of HCI requires testing in theactual application environment to
properlyaccount for theactual stressconditions.
Many facets of thedevicestructureimpact HCI sensitivity, includingsurfaceoxide
quality, n-drift junctionproles, shielddesign, etc. HCI mitigationstrategies typically
work against another deviceparameter (e.g., reducedn-drift dopingto lower HCI will
22 Silicon LDMOS and VDMOS transistors
0.0024
0.00238
0.00236
0.00234
0.00232
0.0023
I
D
Q

(
A
)
Time (s)
0.00228
0.00226
0.00224
0.00222
0.0022
1E+01 1E+02 1E+03 1E+04 1E+05 1E+06 1E+07
20 years
1E+08 1E+09
Figure 1.14 HCI induceddegradationof thequiescent current (I
DQ
) inanLDMOSdevice.
degradeRdsonandpotentiallyimpact BV
DSS
). ThetradeoffsbetweenBV
DSS
, R
DSon
, and
HCI arefundamental to thedesign of LDMOS and VDMOS transistors. Engineering
variouswaysof improvingthesetradeoffstoallowfor improvementsinRF performance
has driven device development in this application space for more than 10 years and
continuestoday. Extensivedevicesimulationisneededtofullyunderstandthecomplex
interactions whichareinvolvedwithany particular devicedesign. It is also important
toperiodically characterizeHCI under typical applicationconditionstoensurethat the
DC characterizationremainsrelevant initsabilitytopredict applicationHCI behavior.
1.3.4 Snapback/ruggedness
VariousRF applicationsrequirethat thedevicesbeabletowithstanddifferent levelsof
RFstress theyneedtobeconsideredruggedenoughfortheapplication. Usuallywhat
drivestheruggednessrequiredisthelevel of RF voltage/current excursionsexpectedto
beexperiencedby thetransistor. Theseexcursions arefrequently createdby mismatch
conditions that occur at theoutput of thedevice. Radar applications, for instance, use
pulsed signals which may incur transients which stress thedevice, whileapplications
such as aCO
2
laser routinely havethePA operating into what is essentially an open
circuit. Usually various voltagestanding waveratios (VSWRs) areused to stress the
devicestodeterminethelevel of ruggedness. Devicesaretestedat5:1or10:1(orhigher)
VSWRsat different levelsof input overdrivetoassessrobustness. It isalsocommonto
1.3 Device physics 23
p

type epitaxy
p
+
sinker
PHV region
n
+
source n

drift region
gate oxide
Drain
oxide
metal strap
Gate
n
+
drain
p
+
substrate
Source
Figure 1.15 LDMOScross-sectionillustratingtheparasiticnpnbipolar formedbetweenthedrain,
channel, andsourceregions.
characterizeruggednessat elevateddrainvoltageswherethedeviceismoresensitiveto
ruggednessfailures.
Therearetwodevicerelateddesignconcernswhichmustbeconsideredwhenensuring
adequatetransistorruggedness: breakdownvoltageandsnapbackcurrent. Theavalanche
breakdownconcept has already beendiscussedindetail intheprevious section. If RF
voltageswingsareallowedtoexceedthebreakdownvoltagethenthecurrent withinthe
devicerisesrapidlyandthereisariskof acatastrophicthermal failureof thetransistor.
This means that therst measureof defenseagainst ruggedness failures is designing
thepart such that thevoltageswings spend very littletimeexceeding breakdown. Of
courseonecoulddesignthepart withanextremely largeBV
DSS
to ensureahighlevel
of ruggedness but as is madeclear in theprevious section this would result in aloss
inRF performance. Ideally, thetransistor shouldhavethelowest level of BV
DSS
needed
to provide adequate ruggedness for the application. This means that at the extremes
theBV
DSS
will beexceeded, thereforethesecondaspect of ruggednessdesigninvolves
increasingthecurrent level whichcanbewithstoodwhileinbreakdown. This is most
directlylinkedtoaphenomenonknownassnapback.
Referring to Figure 1.15, there is a parasitic bipolar device within the LDMOS
structure(asimilarparasiticbipolardeviceexistswithintheVDMOSstructure indeed,
itisabyproductof typical MOSFET structures). Theemitter isthen

source, thebaseis
thebodyof thedeviceandthecollector isthedrain. Whenimpact ionizationisinitiated
andavalanchebreakdownoccurs, thereis asuddenanddramatic increaseinthelevel
of electronsandholesinthedrainregionof thedevice. Thebuilt-inelectric eldspull
24 Silicon LDMOS and VDMOS transistors
0.45
0.40
0.35
0.30
0.25
0.20
0.15
0.10
0.05
0.00
0 10 20 30 40
VGS = 2.0 V
0 V
3.0 V
3.4 V
on-state
breakdown
off-state
breakdown
4.0 V
4.4 V
5.0 V
5.4 V
6.0 V
7.0 V
50 60 70 80
VDS (V)
I
D
S

(
A
)
Figure 1.16 On-stateversusoff-statebreakdowncurves.
the electrons out of the drain of the transistor, while the holes are injected into the
baseregionof thebipolar transistor. Theholecurrent canforwardbiastheemitterbase
junction, andso moreelectrons areinjectedacross thechannel andinto thehigheld
drainregionwhichcreatesmoreholesandelectronsduetoavalanchingandcreatinga
feedback loop that can result in extremely large, localized current ows that result in
catastrophicthermal failureof thetransistor. Thisisreferredtoassnapback, andcanbe
characterizedbybothasnapbackvoltageandcurrent.
The goal of enhancing ruggedness is to prevent snapback fromoccurring by both
delaying the onset of impact ionization, and to design the transistor to minimize the
injectionof holesintothebaseof theparasiticbipolar onceimpact ionizationhasbeen
initiated. Increasingthesnapback voltagetypically entailsincreasingBV
DSS
. However,
BV
DSS
is the off-state breakdown voltage; it is equally important to increase the on-
state breakdown (see Figure 1.16). The drain region design (doping levels, shields,
etc.) dictates theonandoffstatebreakdownbehavior; designfor ruggedness becomes
another of the tradeoffs of the drain engineering process. Strategies to increase the
snapback current entail both moving thelocation of impact ionization away fromthe
baseof theparasiticbipolar transistor, anddesigningthedevicetoshunttheholecurrent
to ground, bypassing injection into thebaseof thebipolar. Figure1.17is an example
illustratingtheeffectonholecurrentbymodifyingthedrainof thedevicetoaccomplish
bothgoals(movingtheimpactionizationawayfromthebaseof thebipolar, andshunting
theholecurrent toground).
1.3 Device physics 25
Baseline Optimized
Hole current shunted
to substrate
Hole current
injected into base
Gate Drain Gate Drain
Figure 1.17 TCADsimulationof holecurrent densityfor twostructurestakenintoavalanche
breakdown. Theoneof theleft depictsabaselinedevicewiththemajorityof theholecurrent
beinginjectedintothebaseof theparasiticnpn, whiletheoptimizedstructureontheright shunts
theholecurrent tothegroundedsubstrate, preventinglatch-up.
TLP Voltage
10 0 20 30 40 50
snapback
0
0.2
0.4
0.6
0.8
1
1.2
1.4
T
L
P

C
u
r
r
e
n
t

(
A
)
Figure 1.18 Typical snapbackcurveduetoturn-onof theparasiticbipolar transistor inan
LDMOSdevice.
Characterization of snapback voltage and current is typically carried out using a
transmission line pulse generator (TLPG) system, in a similar manner to how ESD
sensitivity is characterized. The systemworks by charging up a transmission line to
successively higher voltages and then throwing a switch allowing the stored energy
on thetransmission lineto enter thetransistor. At each pulsethevoltageand current
arerecorded allowing aplotting of thesnapback curve(seeFigure1.18). SimpleDC
26 Silicon LDMOS and VDMOS transistors
measurementswill endindestructiononcethesnapbackvoltageisexceeded. TheTLPG
systemallowsvariousdesignparameterstobeinvestigatedfor their efcacy inadding
ruggednesstothedevice.Finally,thefullydesignedpartistestedasdescribedearlierwith
variouslevelsof VSWRsandoverdrives. Thisisalsoatesttodestructionwherethenal
level of survivabilityisrecorded. Itisimportanttonotethatruggednessperformanceisa
functionnot simplyof thedevicebut thecompleteoperatingenvironment (surrounding
circuit, inputwaveforms, operatingtemperature, etc.); thenal assessmentof ruggedness
performancemust beconductedinthenal applicationunder realistic operational and
stressconditions.
1.3.5 Operating voltage considerations
Althoughconsiderabletimehasbeenspent inthissectiondiscussingwaysof designing
thebreakdown voltagefor agiven device, thefocus has largely been on basestation
typedesignswhereavoltagesupplyof 2632V isused. LDMOSandVDMOSdevices,
however, can be easily adapted to the voltage supply requirements of a wide range
of applications. Theoptimumvoltagelevel tends to beproportional to theRF power
requirementsof theapplication.
In general, changing then-drift region length (laterally by layout for LDMOS and
vertically by epi thickness for VDMOS) and doping level is theeasiest way to tailor
thebreakdownvoltagetoagivensupply voltagerequirement. For lower voltageappli-
cations such as handset PAs, thevoltagecan drop as low as 3 V, whilefor broadcast
applications 50V is quickly becomingcommonplace. Other applications intheindus-
trial/scientic/medical (ISM) spaceareamenabletoevenhigheroperatingvoltages, with
VDMOSdevicesonthemarketdesignedfor 100V or higher operation(i.e., BV
DSS
over
200V). Typical n-driftregionlengthsrangefrom3mforcellularinfrastructures2832
V requirementsdowntotherangeof 0.5mforthelowvoltage, low-powerapplications,
but canbeashighas69mfor the50V applicationsandveryhighRF powers. Each
endof thisrangehasitsownset of designconcernstoconsider.
At thelow-voltageendof thespectrum, suchashort n-drift regionmakesit difcult
tomakethepartresistanttosnapback. J ustbythenatureof suchasmall driftregion, the
avalancheprocessisgoingtooccur incloseproximitytothebaseof theparasiticbipolar
transistor. Thismakestheuseof ap

regionaroundthen

sourcetolower thegainof the


bipolar transistor thatmuchmoreimportantinthesedesigns. For 50V LDMOSdesigns
thereisthechallengeof achievingbreakdownsinexcessof 100V. Longn-drift regions
coupledwithintelligent shielddesignsareneededtooptimizetheusual set of tradeoffs
(R
DSon,
HCI, ruggedness, andBV
DSS
). Butatsomepoint, thevertical breakdownbeginsto
bethelimitingfactor asopposedtothelateral breakdown. Tocircumventthislimitation,
athicker epitaxial layer must beused to extend theamount that thedepletion region
canextendverticallybeforehittingthehighlydopedp

substrate. Thelink-upbetween
thep

sinker andthesubstratemust beredesignedsincethereisnowathicker epi layer


throughwhichalow-resistancepathmust becreated. TheVDMOS devicestructureis
moreamenabletoincreasingtheoperatingvoltage. InVDMOS theepi layer thickness
anddopinglevel determinethebreakdowncharacteristics. TheLDMOS structurehas
1.4 Design/layout 27
Figure 1.19 LDMOSdiscretetransistor layout for an50Wdevicewith500munit gatewidth
(UGW).
moreexibilitytobedesignedfor veryhigh-power density(W/mmgateperiphery) with
lowparasiticcapacitanceduetothelateral structureandaccesstoshieldlayers, but this
exibilitytendstobelimitedtobreakdownvoltagesinthe100130V range. VDMOS
devices, ontheother hand, canbedesignedwithbreakdownvoltagesinexcessof 200V,
but withrelativelyhigher parasiticcapacitancelevelsthat tendtolimit thefrequencyof
operation.
1.4 Design/layout
1.4.1 Top-down nger layout
LDMOS and VDMOS devices for RF PAs deliver very largeamounts of power. It is
not uncommonfor asingletransistor dietodeliver 50W, andoftentwotofour of these
blocksarearrangedinparallel withinapackagetocreateasingledevicewhichdelivers
inexcessof 200W. Generatingthisamount of power requiresavery largegatewidth.
Singletransistor gatewidths areroutinely over 50mmandhavebeenknowntorunto
over 1m. This is anextremely largeamount of gateperiphery whichmust begivena
layoutdesignwhichisefcientandoptimizedforRF operation. Thissectionwill discuss
thevariouscritical designconcernsregardingtop-downlayoutof LDMOSandVDMOS
devices.
The layout of power transistors with very large gate periphery is designed to sat-
isfy anumber of considerations, includingthermal, aspect ratiofor stressandpackage
compatibility, andfrequencyof operation. Thesolutiontothischallengeistoarrangea
largenumber of shorter gates inparallel suchthat they operateinunisonas onetran-
sistor. This parallel arrangement is referredto as anarray of gatengers. All of these
ngerssit withinonelargeactiveareasurroundedbysometypeof eldoxideisolation.
Figure1.19shows atop-downviewof atypical LDMOS layout designedfor 50W
RF power at 2GHz. Eachgatenger is500mwideandisreferredtoastheunit gate
width(UGW) of thetransistor. Two ngers inparallel yields 1mmof gateperiphery.
Thengersarearrayedsuchthat thereissymmetryaroundthecenter of eachdrainand
eachsource. Thisleadstotwiceasmany gatengersastherearedrainngersaseach
drain(andsource) feedstwogates.
TheRF signal andbiases aregoingtobeappliedtothebondpads at theendof the
ngers. Thismeansthateachngerwill actasatransmissionlineasthesignal progresses
28 Silicon LDMOS and VDMOS transistors
Figure 1.20 Layout showinggatebusesfeedinggatetapsspacedat 100mintervalsalongthe
ngersinanLDMOSdevice.
down its length. To minimizethetransmission linelosses or phasedelays which can
result, theconcept of gatetaps is introduced. NoticeinFigure1.20that thereis agate
contact every100mattachedtoametal lineconnectedtothegatebondpad. Thisgate
metal lineor gatebus is used to carry theinput signal down thelength of thenger
with minimal transmission lineeffects dueto thehigh conductivity of thealuminum
alloy. This bus is then electrically connected to thegateitself such that each 500 m
gateis actually ve100mgates inparallel. Recall that thegateitself also typically
hasasilicideatopthepolysilicontokeeptheintrinsicgateresistancelow. Thissilicide
resistance, however, is two to threeorders of magnitudehigher in resistancethan the
metal gatebus, illustratingthenecessityof thegatebus. Other unitgatewidthsandgate
tapspacings areemployed, typically dictatedby thepower level andfrequency. Larger
andlarger UGWseventuallygeneratetransmissionlinelossesevenwithinthegatebus
whilevery small UGWs makefor very poor aspect ratio devices. Higher frequencies
will causetransmissionlinelossestoappear sooner duetotheshorter wavelengthsand
itisthereforemorecommontoseelargeUGWdevicesoperatinginthe900MHzspace
andbelowinthecellular infrastructurearena.
Finally thedevicepitchmust beconsidered. Thedrain-to-sourcepitchfor LDMOS
or sourcetogatepitchfor VDMOS of agivenlayout isthedistancebetweeneachaxis
of symmetry withinasinglenger (i.e., fromthecenter of thesourceto thecenter of
thedrainfor LDMOS, or center of gatetocenter of sourcefor VDMOS). TheLDMOS
drain region is typically kept to aminimumbecausethen

implant region needed to


1.4 Design/layout 29
makeagood drain contact is alargecontributor to theoutput capacitancewithin the
device. Therefore, theminimumn

drainis determinedby theminimumdraincontact


dimension plus enclosure rules for the n

implant. The rest of the drain contribution


to pitch is set by then-drift region requirements of thetransistor. Thesourcesideof
thedeviceallows for moreexibility andcanusually beexpandedor contractedto t
agivenpackagespaceor meet athermal requirement. Whenshrinkingthesourcearea
for LDMOS caremust betakenthat thep

sinker implant doesnot get tooclosetothe


channel of thedevice. Recall that thep

sinker undergoes anaggressivethermal drive


todiffusethedopant downthroughtheepi tomeet thep

substrate. Lateral diffusionof


thedopant isoccurringat thesametimeandtypically reachesseveral microns. Device
pitch, unit gatewidth, andgatetapspacingareall exibledesignparameters that are
adjustedbasedontheperformancerequirementsof thepart.
1.4.2 Bond pad manifolds
ToprovideanRF signal tothetransistor, wiresmuchbeconnectedfromtheleadsof the
packageto thesilicondie. Inthecaseof LDMOS thereareonly gateanddrainwires
since the source is connected through the package ange to ground. This seemingly
simple electrical connection turns out to be quite complex in the eld of RF device
design, since these elements are not merely electrical conductors but instead these
conductiveelementshavecapacitance, inductance, andtransmissionlinebehaviorsthat
arefundamental totheRF performance. Despitethedesignconsiderationsmentionedin
theprevioussection, thetransistor diestill hasalargeaspectratio. Itisnotuncommonto
havediewhichare13cmwidewithanarrayof ngersspanningmostof thelength(see
Figure1.1). Placingonegatewireinthecenter of this array will causeatransmission
lineaffecttobepresentfromthecenterngerstotheoutsidengers. Eachngerwill not
receivethesameRF stimulusandthiscanintroducenonuniformitiesindeviceoperation
duetophasedifferencesbetweentheindividual ngers. Toremedythissituation, alarge
number of wires in parallel are bonded fromthe package lead to a bond pad which
spanstheentirewidthof thedevice. Thebondpadsincur parasiticcapacitancebut this
isminimizedbyplacingthemontopof theeldoxide. Thegoal istofeedthearrayof
ngers as uniformly as possibleto maximizeperformance. This parallel arrangement
of wires introduces inductanceat theinput and output of thedeviceand this must be
incorporatedintoany matchingintendedfor thetransistor. Moreover, thiswirearray is
typically utilizedandoptimizedby designerstopresent adesirablelevel of impedance
at thepackageleadtoeasethecustomersuseof thepart.
At aner level of detail, thereis designof themetal whichconnects thebondpad
to thenger itself. Theprimary consideration in this region of thedeviceis resistive
lossesduetolargeamountsof RF currentbeingfunneledoutof eachngerintothelarge
expanseof bondpadmetal. However, designingtomeet electromigrationrequirements
typically minimizes this resistive loss (see Section 1.4.3), so this is not typically a
problem. Nevertheless, aressuchasshowninFigure1.21canbeusedtominimizethe
impact.
30 Silicon LDMOS and VDMOS transistors
Figure 1.21 Drainmetal aredesignsfor transitioningfromdrainbustodrainbondpad.
1.4.3 Metal design electromigration
Electromigration is aphenomenon which occurs in metal lines when theDC current
densitywithinthelinesbecomesexcessiveinconjunctionwithelevatedtemperatures
conditionsthatareeasilymetinRF power devices. Momentumtransfer duetocollisions
betweenelectrons andthemetal conductor atoms candisplacethemetal atoms which
leadstoresistanceincreaseandeventuallyanopencircuit under severeconditions. This
is awearout mechanismwhich occurs over thelifetimeof thepart and, as such, is a
reliability consideration. Electromigration is discussed further in Chapter 10, but this
sectiondiscusses howto designadeviceproperly suchthat adequateelectromigration
lifetimesareachieved.
To begin designing for electromigration robustness, the metal being used must be
characterized withvarious current andtemperaturestress tests. Different metal alloys
andmetal typesvarywidelyintheir electromigrationresponses. Gold, for instance, has
much higher electromigration resistance than aluminum. Aluminumalloys, typically
formed by the addition of a small percentage of copper [27] have been developed
and arein widespread usein thesemiconductor industry; AlCu alloys haveexcellent
electromigrationpropertiescomparedtopureAl. Copperisanotherconductorwithgood
electromigrationproperties. It isimportant that theelectromigrationcharacterizationof
themetal conductor usesthesameprocessingandstructures(linewidth, topography) as
theactual devicetoaccurately reect themicrostructureandstresses intheconductor.
Oncethenecessary material constants for thechosenconductor anddesignhavebeen
generated, asimpliedformof Blacks equation[28] canbeusedto beginthedesign
calculations.
MTTF =
A
J
2
e
_
E
A
KT
_
(1.5)
whereMTTF is themedian timeto failure(typically dened as a certain percentage
increasein lineresistance), A is a material constant (includes geometry effects), J is
thecurrent density, E
A
is theactivationenergy, kis Boltzmanns constant, andT is the
temperature.
1.4 Design/layout 31
Figure 1.22 Top-downillustrationof thecurrent owinanLDMOSdevice.
Oneof therst thingstonoticeabout Blacksequationisthat thelifetimeit predicts
isinverselyproportional tothesquareof thecurrentdensity. Assumingthatthematerial
propertiesof theconductor havealreadybeenoptimizedtomaximizetheMTTF perfor-
mance, thecurrentdensityisthenextparameter thatthedeviceengineer will manipulate
to improvetheMTTF. Thecurrent density is typically controlledby usingathick top
metal layer, consistent withfabricationdesignrules andthedevicestructure, stacking
metal layerstoreducethecurrentdensity, andnallybydrawingwiderandwiderlinesto
lower thecurrent densityuntil thetarget MTTF isreached. Thereareof courselimitsto
howwidethemetal layerscanbeduetoparasiticcapacitanceconsiderations, socareful
consideration of electromigration is required in thedesign of thedevice. In LDMOS
devices, thedrainlinescarry thelargest currents. A top-downviewof thecurrent ow
(Figure1.22) showsthatthereisagreaterandgreateramountof currentbeingcarriedby
thelineasitnearsitsconnectionwiththedrainbondpad. Thereforetheelectromigration
critical designpoint istheendof eachdrainnger asit entersthedrainbondpad. One
commonpracticeis to arethemetal makingit wider as it approaches theendof the
nger. This keeps thecurrent density relatively constant along thenger length. The
downsideis that extraparasitic capacitanceis generated between thedrain metal and
theunderlyingstructure(i.e., thegateandsource).
Onetechniquethat canbeusedtoenhanceelectromigrationperformanceistodesign
thehigh-current conductorssothat theyareintheso-calledbambooregime[29]. Each
metal line is comprised of metal grains (see Figure 1.23). If the metal linewidth is
kept belowthemediangrainsizethelinebegins to look likeapieceof bamboo with
thegrainboundariestraversingthelinelaterally. Electromigrationoccurspreferentially
alonggrainboundaries, sokeepingthemetal linewithinthebambooregimeresultsin
32 Silicon LDMOS and VDMOS transistors
Figure 1.23 Thegureontheleft isacross-sectionTEM throughthedrainmetal of anLDMOS
deviceshowingtheintersectionof threealuminumgrains; theimageontheright isa
contrast-enhancedoptical microscopeviewof thealuminumdrainbondpad, showingthe
distributionof grainsinthelm.
greatlyenhancedelectromigrationlifetimes. Typical grainsizescanrangefromlessthan
1mtogreater than5mdependingonthemetal depositionprocess.
Theother critical parameter tonoteinBlacksequationistemperature. Thelifetimeis
exponential withtemperature. Giventhat manyPA power transistorsrunat high-power
densities, temperaturescangetashighas200

C. Hence, thethermal performanceof the


deviceandpackageareimportant considerationsthat affect thepeak temperature. It is
commontondRF power transistorsdesignedtooccupyalarger areathanisnecessary
toreducetheareal power densityandtherebylower thejunctiontemperature. Oncethe
devicedesigniscompletedadesigncurveor calculator istypicallygeneratedandmade
availableto enablethecustomer to calculatetheMTTF for their applicationcondition
(seeFigure1.24).
1.4.4 Thermal
Given the large amount of power dissipated in LDMOS and VDMOS transistors for
PA applications, thermal heating within the device must be accounted for. Excessive
temperatures will degrade both the performance and reliability. This means that the
thermal resistanceof thepart must beengineeredtomanagetheheat generatedduring
operation. Thefactorswhichcontributetothethermal resistancearethematerialswhich
theheat must pass through as well as thecross-sectional areathrough whichtheheat
passes. LDMOSandVDMOStransistorsaremadefromsiliconwhichisgivenametal
backing(typicallygoldorasolderablemetal lm) whichisattachedeithereutecticallyor
solderedtothepackageange, whichinturnismountedtotheheat sink. Factorswhich
must beconsidered in thethermal design includelayout (increasing thesource-drain
pitchreduces thepower density), substratethickness (5075mis atypical thickness
for high-power parts), dieattachtechnology (goldeutectic beingthebest, followedby
1.4 Design/layout 33
10
100
1,000
10,000
P
out
(W)
V
dd
(V)
Drain Eff (%)
25
28
42
110 120 130 140 150 160 170 180 190 200 210
Temperature (C)
Electromigration MTTF vs. Temperature
M
T
T
F

(
Y
e
a
r
s
)
Figure 1.24 A graphof theelectromigrationMTTF vs. temperature. Thevariablesareoutput
power, drainvoltage, anddrainefciency. Theequationfor thecurveisbaseduponBlacks
equation.
solder), voidsformedduringdieattach(paradoxically, accountingfor voidscanactually
causetheoptimumsubstratethicknesstoincreasesinceitactsasaheatspreader around
the void), and ange thermal conductivity and thickness (thermal performance is an
important driver of packagetechnology).
Theheat inaDMOStransistor isgeneratedwithintheprimaryparasiticresistanceof
thedevice: then-drift region. Lookingat thetop-downviewof anLDMOS transistor
(seeFigure1.1) thereis anarray of drainregions whichareall generatingheat during
operation. Fromeachof theseregionstheheat will fanout laterallyasit movestowards
thebacksideof thewafer. Itisthereforeaverygoodapproximationtousethetotal active
tubareaas thecross-sectional areadrivingthermal resistance. Thereareexceptions to
this suchas whenadeviceis quitesmall andtheedgeeffects begintobecomealarge
34 Silicon LDMOS and VDMOS transistors
contributor to theoverall thermal resistancecross-section, but for largetransistors the
approximation is valid. This begins to play a role into how the UGW and pitch are
chosen when designing thetransistor. Choosing thelargest pitch possiblefor agiven
packageareawill yieldthebestthermal resistance. For agivenamountof gateperiphery
and a given package constraint, moving to the largest UGW that is consistent with
electromigration and performance considerations allows the source-drain pitch to be
increased, improvingthermal performance. It isapparent that thereareawiderangeof
considerations that must bemanaged during thedevicedesign process to achievethe
best balanceof performanceandreliability.
Over theyears thesetechniques haveheld increasing importanceas power density
within the device has improved. Customers are always seeking more power out of
a given package footprint, which places continued challenges on maintaining good
thermal resistance. Oneaspectof devicedesignwhichhelpsistheincreaseof efciency.
Asdeviceshavebecomemoreandmoreefcient, either throughintrinsicperformance
or throughhigh-efciencyarchitectureslikeDoherty, theheat dissipationhasimproved
for agivenamountof deliveredpower. Thus, a50Wpartwith45%efciencygenerates
signicantlylessheat thana50Wpart with35%efciency, makingthermal resistance
designamoreimportant factor for the35%efciency part (thesearetypical efciency
levelsincellular basestationsoperatedinDohertyor simpleClassAB, respectively).
It should also be mentioned that thermal properties affect the uniformity of the
transistor. Figure1.25showsaninfraredscanof atypical LDMOStransistor. Thecenter
of atransistorgenerallyrunshotterthantheedges. Thiscreatesnonuniformitywithinthe
deviceastheedgengerswill haveaslightlydifferentthresholdvoltage, etc., duetothe
heatprole. Goodthermal designpracticeswill minimizethesetemperaturevariations.
1.4.5 Operating voltage considerations
ThisbookisfocusedonRFtechnologiesforpowerlevelsexceeding1W, ortogeneralize,
noncellular handset RF power applications. For thesepowerslevelsandfor frequencies
upto3GHz, silicontechnologiesandinparticular LDMOS andVDMOS dominate.
Thereasonsarefairlysimple alow-coststructure, goodperformance(gain, efciency,
linearity), excellent reliability, and relatively straightforward scalability to powers up
to1kW. Themarket hassegmentedby applicationvoltageintothreeprimary bands:
12V, 2832V, and50V or higher. As wouldbeexpected, therangeof devicepower
levelsalsoscalewithoperatingvoltage. The12V market rangesupto70Wdevices,
the2832V market ranges upto300400W, andthe50V market includes devices
ratedat over 1kW.
The12V market applicationis primarily for landmobileapplications (re, police,
taxi, etc.). Thespecied maximumapplication voltageis typically 16 V to allow for
automotivebatterychargersoperatinginworst caseconditions. Excludinglowcost, the
mostimportantrequirementof thismarketgiventheharshenvironmental andapplication
conditions that can be encountered is ruggedness. Ruggedness considerations dictate
BV
DSS
inthe50V or higher range. Thelandmobilemarket is characterizedby slices
of spectrumthat vary by country, but in general operateat frequencies under 1GHz;
1.4 Design/layout 35
7S18125AH
T
e
m
p
e
r
a
t
u
r
e

(

C
)
D
R
A
I
N
G
A
T
E
Figure 1.25 Thermal scanfor a125WLDMOSdevice. ThePA istypicallydesignedtolimit the
maximumjunctiontemperaturebelow150

C, althoughthedevicesaretypicallyratedtooperate
upto200

C to225

C.
efciencyat thesepower levelsandfrequenciescanreachinexcessof 70%at P
1dB
for
classAB operation. Linearityrequirementsarefairlymodest comparedtocellular. The
dominant technologyfor thismarket segment isLDMOS.
The 2832 V market application is dominated by cellular infrastructure, but also
includesbroadcast, avionics, andother noncellular applications. Thecellular infrastruc-
turemarket is also dominatedby LDMOS. This market segment is very performance
competitive, requiring state-of-the-art gain, efciency, and linearity. The application
ruggednessrequirementsarelessdemandingthanfor landmobile. Cost isanimportant
consideration, solongasperformanceiscompetitive. Thecellular infrastructuremarket
hasbeenunder signicant cost pressuresfor thepast decade, whichhasdrivenpackag-
inginnovationsuchashigh-power over-moldedplastictransistorsthathavealower cost
structurethanthehistorical ceramicair-cavitypackages. TheBV
DSS
minimumfor these
voltages is typically 65 V. LDMOS products areon themarket for frequencies up to
3.8GHzforWiMAX applications; VDMOSdevicescompeteinthesubGHz, noncellular
arenawheretheir morelimitedfrequencycapabilityisnot alimitation.
The 50 V and higher markets are concentrated in the relatively broad noncellular
application spacethat includes ISM, avionics, and broadcast markets. Thesemarkets
36 Silicon LDMOS and VDMOS transistors
haveaverydiversesetof performancerequirements, withcertainapplicationsrequiring
high-pulseCWwithnolinearity requirements, whileothersdemandstringent back-off
linearitywithgoodefciency. Thesedevicesrequireexcellentruggednessperformance;
certain applications like CO
2
lasers routinely subject the transistors to open circuit
conditionsathighpowerlevels,representingatestinggroundforatransistorsruggedness
capability. This application spacetypically requires higher power transistors than are
practical with 28 V devices, with products on the market at power levels exceeding
1kW. 50V devicestendtohaveminimumBV
DSS
valuesinthe105V120V range, but
applicationswithextremeruggednessrequirementscouldhaveevenhigher breakdown
values. It isonly withinthepast several yearsthat 50V LDMOSdeviceshavebeenon
themarket [1921]. VDMOS competition is robust, particularly at lower frequencies
and high power levels. Thevertical structureof VDMOS also enables scaling of the
breakdownvoltagetoallowoperatingvoltagesof 100V [1718]. Thelateral LDMOS
structuredoesnot scaleasreadily tosupport BV
DSS
valuesof 200V or higher that are
necessarytooperateat 100V.
1.4.6 Frequency considerations: gate length, gate width, resistors
Beforedelvingintofrequencyconsiderations, it isworthwhiletoconsider theimpact of
transistor gainonefciencyandcost. Itbecomeschallengingtodesignstablepartswith
goodisolationif thegainexceeds about 25dB inasinglestagetransistor (multistage
lower power ICs havebeendesignedwithgainupto35dB [3031]). Thedesirefor
high gain is primarily cost a higher gain, high-power nal stage in the PA lineup
will requirealower power andhencelower cost driver, potentiallyfewer devicesinthe
lineup, and requireless spaceon theprinted circuit board (which also translates into
cost savings). Therearealsolineupefciencybenetswithahigh-gainnal stage. The
power-added efciency (PAE) is ametric that tracks theconversion efciency of DC
fromthepower supplyintoRF power, andisdenedbytheequation:
PAE =
P
out
P
in
P
DC
(1.6)
whereP
out
istheRF output power, P
in
istheRF input power, andP
DC
isthepower from
theDC power supply to thedevice. PAE, as thenameindicates, is ameasureof how
efcientlythedeviceconvertsDCpowertoRFpower, andhencecanbeusedtodetermine
power dissipationinthedevice. A 50%PAE devicemust dissipateanamount of power
equal to its output RF power whilea25%PAE devicedissipates threetimes as much
power asit transmits. Thehighest possiblePAE that meetsother systemrequirementsis
clearlythegoal. Bymanipulationof thevariables, PAE canalsobewrittenas:
PAE =
D
_
1
1
G
_
(1.7)
where
D
is thedrainefciency (denedas theratio of P
out
to P
DC
), andG is theRF
power gain. For again of 20 dB (afactor of 100), thePAE is within 1%of thedrain
efciency. As the gain falls below 15 dB, the PAE begins to fall rapidly, degrading
1.4 Design/layout 37
overall efciencyandincreasingoperatingcostsinadditiontorequiringmoreexpensive
techniquesandmechanical itemstomanagethedissipatedheat. Bythesametoken, there
islittleefciencymotivationforthegaintoexceed20dBfromanefciencyperspective,
althoughtherearestill cost andboardspaceconsiderations.
Simplelter theory predicts for asinglepoletransfer functionthat power gainwill
followa6dB/octaverolloff withfrequency, i.e., a20dB gainpart at 2GHz will have
26dB gainat 1GHz, 32dB gainat 500MHz, etc. A commonapproachduringdevice
design is to develop thetransistor to haveas high again as possibleat its maximum
operating frequency without compromising other parameters (i.e., reliability, rugged-
ness, etc.), and then to limit thegain increaseat thelower frequencies to maintain a
stabledeviceandcircuit. Maximizingperformanceat thehighest frequenciesof opera-
tioncausesthedevicedesigner tomigratetowardstheclassical solutionsof shorter gate
lengths, thinner gateoxides, and aggressively reducing all parasitic capacitances and
resistances. TheLDMOS structureismoreamenabletooptimizingfor highfrequency
comparedtoVDMOS, withLDMOSdominatingthecellular infrastructurefrequencies.
Excessivegain at lower frequencies can becountered by degrading theintrinsic gate
of thetransistor (longer gatelength, thicker gateoxide). Another approachthat offers
ancillary benets is to add series resistanceto thegatefeed network, which not only
decreases the gain to manageable levels but also lowers the Q of the input network,
facilitatingthedesignof broadbandmatchingnetworks.
High-power device design also requires careful optimization to the layout of the
individual ngers. Practical limits of gatewidthat frequencies of 13GHz areof the
orderof 1000m,withthemaximumgatewidthdecreasingasthefrequencyisincreased.
Excessive gate widths exacerbate distributed effects (transmission line delays, phase
shifts, etc.) andlower thegainandcanimpact efciency andlinearity. As frequencies
decreasebelow1GHz, thesedistributedeffects becomeless important andthedevice
layouttendstobedictatedbypackageconstraintsandreliabilityconsiderations, suchas
electromigration.
1.4.7 HVICs
High voltage integrated circuits (HVICs) in the context of high-power RF devices
typically refers to having at least two stages of amplication along with elements of
thematchingnetwork(e.g., inductors, capacitors, resistors) all integratedontothesame
semiconductor substrate. HVICsincellular infrastructurewererstintroducedasdriver
devices that were designed to power the nal stage of the PA lineup. The rst high-
power cellular infrastructureHVIC inproductionwas theMRFIC5001, introducedby
thesemiconductor sector of Motorola(nowFreescale) in1999. TheMRFIC5001is a
10W, 900MHz GSM driver HVIC; thisHVIC isatwo-stagedevicehaving26dB gain
at 26V, andwasbasedonthethird-generationHV3LDMOS platformfromMotorola.
Thedesignof bothdriver stageandnal stagehigh-power HVICshasourishedsince
thistime, withalmost all PA designsnowincludingHVICsinthelineup[3235].
The advantages of integration are well known, and include a dramatic reduction
in component count and board space, lower cost, and reduced overall performance
38 Silicon LDMOS and VDMOS transistors
Output
stage
Input
stage
GND VD1 NC NC NC RF in RF NC VG1 VG2 NC GND in
Figure 1.26 Assemblydrawingof a2GHz, 100WLDMOShigh-power IC. Thisisa2-stageIC,
withafullyintegratedinput andinterstagematch(input impedanceis50O), andanintegrated
shunt-L output match.
variation. ModernHVICs aretwo stagedesigns dueto thehighgainof theindividual
LDMOS stages. A traditional discrete design matching network is constructed using
high-Qinductorsandcapacitors. Theinductor isformedfromwirebondsandcanhavea
Qinthe50100range. ThematchingnetworkpassiveelementsinHVICsincludespiral
metal inductorsandintegratedseriesandshuntcapacitors. Themostchallengingpassive
tointegrateintotheLDMOSowisthespiral inductor which, duetotheheavilydoped
substrate, is limited to Q values in the510 range; integrated capacitor performance
doesnot tendtobethelimitingfactor inHVIC designs. Therelativelylowvalueof the
integratedinductorsisadequatefor thedesignof inputandinterstagematches, butistoo
lowfor theoutput stage. Wirebonds continuetobeemployedat theoutput of thenal
stageof HVICswherethemuchhigher currentsrequirethehighest possibleinductor Q
toachievetarget performancelevels.
Theperformanceof HVICshasadvancedover thepastdecade. Thishasbeenenabled
not only by theimproved performanceof theLDMOS transistor, but also by passive
component optimizationandrenementsinthedesignmethodologytoextract asmuch
performanceas possible. An exampleof thestate-of-the-art in IC design today is the
MW7IC18100N [36], which is atwo-stageIC rated at 100 W at 1.8 2.0 GHz with
30 dB gain, designed as a high-gain, high-power output device for GSM and GSM
Edgeapplications (seeFigure1.26). Another exampleof theprogress madein HVIC
performanceisfoundintheMW7IC3825N[37]. ThisICisdesignedfor 28V operation
References 39
inthe3.43.6GHzband, isratedat25WP
1dB
, andhasbeencharacterizedfor WiMAX
operation. Theseexamples illustratethesignicant progress madeinboththeprocess
technologyaswell asthedesignmethodologytoenablethedesignof high-performance,
high-power HVICs.
Summary
The application space for high-power RF transistors is broad and growing, ranging
fromthe ubiquitous cellular base station to avionics, broadcast, industrial, scientic,
medical, etc. Therequirements placedupontheRF power transistor varies depending
upontheapplicationrequirements, includingpower gain, linearity, efciency, reliability,
thermal management, bandwidth, ruggedness, linearizability and, last but certainly not
least, cost. LDMOS andVDMOS technologies dominatetheseapplications dueto an
excellent combinationof thesefactors. VDMOS is strongest at lower frequencies and
higher power levels where the vertical structure can best be leveraged into a higher
operating voltagecapableof very high power levels. LDMOS is thedominant device
technologyfor cellular infrastructurebasestationPA applications, andhasover thepast
fewyearsbeenintroducedintomarketsthat weretraditionally thedomainof VDMOS
and silicon bipolar transistors. VDMOS and LDMOS together dominate the market
for high-power PAs fromfrequencies in the low MHz range up to 4 GHz, and for
power levels that exceed1kW. Investments continuetobemadeinbothVDMOS and
LDMOStofurther improveperformanceandmeettheevolvingrequirementsof theend
applications.
Acknowledgments
The authors would like to acknowledge the support and assistance provided by our
colleaguesatFreescale,withoutwhichmuchof thischapterwouldnothavebeenpossible.
References
1. J. T. C. Chen and C. P. Snapp, Bipolar microwave linear power transistor design, IEEE
Trans. Microw. Theory. Techn., vol. MTT-27, no. 5, pp. 423430, May1979.
2. C. P. Snapp, Microwavebipolar transistor technology present andprospects, NinthEuro-
peanMicrowaveConference, Sept. 1979, pp. 312.
3. E. Fong, D. C. Pitzer, and R. J. Zeman, Power DMOS for high-frequency and switching
applications, IEEE Trans. ElectronDevices, vol. ED-27, no. 2, pp. 322330, Feb. 1980.
4. O. Ishikawa, H. Yamada, and H. Esaki, A 2.45 GHz Power LD-MOSFET with reduced
sourceinductanceby V-grooveconnections, International ElectronDeviceMeeting, 1985,
pp. 166169.
40 Silicon LDMOS and VDMOS transistors
5. J.-J. Bouny, Advantages of LDMOS in high power linear amplication, MicrowaveEng.
Europe, pp. 3740, 1997.
6. A. Wood, W. Brakensiek, C. Dragon, andW. Burger, 120watt, 2GHz, Si LDMOSRF power
transistor for PCS base station applications, IEEE MTT-S Microwave Symp. Dig., vol. 2,
pp. 707710, 1998.
7. C. Cassan, J. J ones, andO. Lembeye, A 2-stage150W2.2GHzdual pathLDMOSRF power
amplier for highefciencyapplications,IEEEMTT-SMicrowaveSymp. Dig., pp. 655658,
2008.
8. F. vanRijs, Statusandtrendsof siliconLDMOSbasestationPA technologiestogobeyond
2.5GHz applications, IEEE RadioandWirelessSymposium, 2008, pp. 6972.
9. P. H. Wilson, A novel high voltage RF vertical MOSFET for high power applications,
TenthIEEE International SymposiumonElectronDevicesfor MicrowaveandOptoelectronic
Applications, 2002, pp. 95100.
10. M.Trivedi andK.Shenai,Comparisonof RFperformanceof vertical andlateral DMOSFET,
EleventhInternational SymposiumonPower Semiconductor DevicesandICs, 1999, pp. 245
248.
11. J. Zhang, D. Sdrulla, D. Tsang, D. Frey, and G. Krausse, Design of rugged high voltage
highpower p-channel siliconMOSFET for plasmaapplications, 38thEuropeanSolidState
DeviceResearchConference, 2008, pp. 7174.
12. J. A. Appels and H. M. J. Vaes, High voltage thin layer devices (RESURF Devices),
International ElectronDeviceMeeting, vol. 25, pp. 238241, 1979.
13. F. H. Raab, F. H. Raab, P. Asbeck, S. Cripps, P. B. Kenington, Z. B. Popovic, N. Pothecary,
J. F. Sevic, and N. O. Sokal, Power ampliers and transmitters for RF and microwave,
IEEE Trans. Microw. TheoryTechn., vol. 50, no. 3, pp. 814826, 2002.
14. W. R. Burger, RecentadvancesinRF-LDMOShigh-power ICdevelopment,IEEE Interna-
tional ConferenceonIC DesignandTechnology, 2009, pp. 3538.
15. S.J.C.H.TheeuwenandH.Mollee,S-bandradarLDMOStransistors,EuropeanMicrowave
IntegratedCircuitsConference, 2009, pp. 5356.
16. W. XieandB. Li, Ananalytical currentmodel forlateral gradual dopingchannel inLDMOS,
IEEEInternational Conferenceof ElectronDevicesandSolid-StateCircuits, 2009, pp. 1619.
17. STMicroelectronics, RF power transistors HF/VHF/UHF N-channel MOSFETs,
STAC4932B datasheet, Feb. 2010RevisedAug. 2010.
18. Microsemi, RF power MOSFET n-channel enhancement mode, ARF1500datasheet, Rev.
E, Oct. 2008.
19. P. Piel, W. Burger, D. Burdeaux, and W. Brakensiek, 50 V RF LDMOS: An ideal
RF power technology for ISM, broadcast, and radar applications, 2008. [Online] Avail-
able: http://www.mwjournal.com/2008/DownloadablePDFs/FREESCALE50VLDMOS.pdf
[Accessed: 6Aug. 2010].
20. Freescale Semiconductor, RF power eld effect transistor, MRF6VP11KHR6 datasheet,
J an. 2008[RevisedApril 2010].
21. NXP, LDMOS avionics radar power transistor, BLA6H0912500 datasheet, Mar. 2009
[RevisedMay2010].
22. M. Trivedi, P. Khandelwal, andK. Shenai, Performancemodelingof RF power MOSFETs,
IEEE Trans. ElectronDevices, vol. 46, no. 8, pp. 17941802, Aug. 1999.
23. P. H. Aaen, J. A. Pl a, and J. Wood, Modeling and Characterization of RF and Microwave
Power FETs, CambridgeUniversityPress, 2007, pp. 2122.
References 41
24. P. H. Aaen, J. A. Pl a, and J. Wood, Modeling and Characterization of RF and Microwave
Power FETs, CambridgeUniversityPress, 2007, p. 32.
25. P. H. Aaen, J. A. Pl a, and J. Wood, Modeling and Characterization of RF and Microwave
Power FETs, CambridgeUniversityPress, 2007.
26. S. M. Sze, Physicsof Semiconductor Devices, NewYork, NY: J ohnWiley& Sons, 1981.
27. M. C. ShineandF. M. dHeurle, Activationenergy for electromigrationinaluminumlms
alloyedwithcopper, IBMJ. ResearchDevelop., vol. 15, no. 5, pp. 378383, 1971.
28. J. R. Black, Electromigration failuremodes in aluminummetallization for semiconductor
devices, Proc. IEEE, vol. 57, no. 9, pp. 15871594, 1969.
29. S. Vaidya, T. T. Sheng, and A. K. Sinha, Linewidth dependence of electromigration in
evaporatedAl-0.5%Cu, Appl. PhysicsLett., vol. 36, no. 6, pp. 464466, 1980.
30. G. Bouisse, High power silicon MMIC design for wireless basestations, 30th European
MicrowaveConference, 2000, pp. 13.
31. Freescale Semiconductor, RF LDMOS wideband integrated power ampliers,
MW7IC915NT1datasheet, Sept. 2009[RevisedDec. 2009].
32. G. Wang, L. Zhao, andM. Szymanowski, A Dohertyamplier for TD-SCDMA basestation
applicationsbasedonasinglepackageddual-pathintegratedLDMOSpowertransistor,IEEE
MTT-SMicrow. Symp. Dig., pp. 15121515, 2010.
33. L. Zhao, G. Bigny, andJ. J ones, A 120watt, two-stage, LDMOS power amplier IC at 1.8
GHzfor GSM/EDGE applications,IEEE MTT-SMicrow. Symp. Dig., pp. 15091512, 2008.
34. C. CassanandP. Gola, A 3.5GHz25WsiliconLDMOSRFICpower amplier for WiMAX
applications, IEEE RadioFrequencyIntegratedCircuits(RFIC) Symposium, 2007, pp. 87
90.
35. C. D. Shih, J. Sjostrom, R. Bagger, P. Andersson, Y. Yinglei, G. Ma, Q. Chen, T. Aberg, RF
LDMOS power amplier integratedcircuits for cellular wireless basestationapplications,
IEEE MTT-SMicrow. Symp. Dig., pp. 889892, 2006.
36. Freescale Semiconductor, RF LDMOS wideband integrated power ampliers,
MW7IC18100NR1datasheet, May2007[RevisedMar. 2009].
37. Freescale Semiconductor, RF LDMOS wideband integrated power ampliers,
MW7IC3825NR1datasheet, Nov. 2008.
2 GaAs FETs physics, design,
and models
Rob Davis
RFMD
2.1 Introduction
The manufacture of GalliumArsenide FET devices and integrated circuits is now a
matureindustry. TheGaAs FET was rst developedinthe1960s and1970s [1], with
the impetus to establish a manufacturing capability coming in the 1980s driven by
governmental support most notably thecomprehensiveMIMIC programmeinthe
United States. In the intervening time the GaAs FET became the default solid-state
devicefor all manner of RF andmicrowaveapplications. However, thepositionof the
GaAs FET in this arenahas not goneunchallenged. It was soon joined by theGaAs
HBT whichhasdominatedthecellular handset power amplier market. Theupper fre-
quency limit of silicon LDMOS technology has steadily increased over recent years
as its highly mature technology was further rened with the result that this technol-
ogy currently dominates high-power RF applications below 3 GHz. More recently,
galliumnitride devices join the fray. The GaN FET is a device technology of great
promise that is steadily being made available by more vendors as its reliability is
established. Initially, galliumnitride is also targeting the lower frequency bands but
iscapableof beingdevelopedfor applicationsacrossthewholemicrowavebandwidth.
For thehigher millimetre-wavefrequencies indiumphosphidetechnology has aplace.
However, GaAs FET technology is proven, competent, mature, and remains a good
choicefor many applicationsincludinghigh-frequency power andhighlinearity. GaAs
technology also has signicant cost advantages over its nonsilicon competitors. The
economies of scale that the cellular communications market has brought to GaAs
technology has revolutionized the manufacture of GaAs products and has given rise
to dramatic reductions in cost. It is in the area of continued cost reduction that the
most signicant new developments in GaAs device and associated technologies are
focused.
ThischapteraimstointroducecontemporaryGaAs-basedpowerFET technology. Itis
writtenwiththeperspectiveof theuserof thetechnologyinmind. Thematerial properties
andthepertinentdevicephysicsarereviewedandrelevantconceptsarerecappedbriey
asnecessary. Thedevicedesignissuesaredescribedfollowedbyasectiononfabrication
withparticular focusonlow-costmanufacture. Thechapter concludeswithadiscussion
of devicemodelsfor circuit design.
2.1 Introduction 43
Table 2.1 GaAs FET materials properties [2, 3]
Parameter Si GaAs Al
0.22
Ga
0.78
As In
0.2
Ga
0.8
As Units
Bandgap, Eg 1.12 1.424 1.698 1.14 eV
Conductionbandstep,
LEc(wrt AlGaAs)
0.17 0 0.31 eV
Electronmobility, (undoped) 1400 8500 3600 6900 Cm/V.s
Electronmobility, (N
d
= 3E17cm
3
) 4000 Cm/V.s
Latticeconstant 5.653 5.655 5.734

A
Breakdowneld, E
BR
310
5
410
5
(46)10
5
(24)10
5
V/cm
Thermal conductivity, 1.3 0.44 0.2 0.05 W/cm.C
2.1.1 Properties of GaAs and related compounds
GaAs and its related compounds offer inherently good electronic properties for
microwavesemiconductor devices. Key material properties of GaAs andtwocommon
partner materials AlGaAs andInGaAs aregiveninTable2.1withthecorrespond-
ing values for silicon provided for reference. The GaAs-based materials shown have
direct band-gaps and high electron mobilities. High mobility results in lower access
resistanceand rapid acceleration of channel electrons to their saturated velocity over
a short distance. These are important benets for microwave devices. Further per-
formance enhancement may be engineered by combining compatible materials with
differing band-gaps to formheterojunction devices. Suitablecombinations of materi-
als allow very effectivedevicestructures to bemanufactured that can provideahigh
degree of spatial control of the charge thereby allowing device performance to be
optimized. AlGaAs has a wider bandgap than GaAs or InGaAs material. Theresult-
ing step in the conduction band when AlGaAs is used in conjunction with GaAs or
InGaAs channel layers allows the current to be conned in the narrower band-gap
material. The step in the conduction band edge between AlGaAs and InGaAs can
be engineered to be considerably greater than that between AlGaAs and GaAs, and
therefore the former combination provides a signicantly higher degree of electron
connement.
Heterojunction devices are only possible if the desired material combinations are
sufciently compatible to allow defect free growth across the crystal interfaces. The
AlGaAscrystal hasthesameface-centeredcubicstructural formasGaAswithalattice
constant that remainsveryclosetothat of GaAsfor all fractionsof aluminumcomposi-
tion. ConsequentlyAl
x
Ga
1x
Asiscrystallographicallycompatiblefor all valuesof mole
fraction x. Unfortunately, high values of aluminumcomposition xareunattractivefor
other reasons. Therst limitingfactor istheemergenceof ahighdensityof deeplevels
calledDX centers[4] whichareformedfor x25%andtheirdensityrisessharplyfor
valuesof xabovethisvalue. For thecaseof InGaAs, highindiumfractionsaredesirable
as the conduction band offset and mobility improve with increasing indiumcontent.
InGaAsalsohasthesamecrystal formasGaAs, however theindiumatomisrelatively
largecomparedtogalliumwiththeresultthatthelatticeconstantof In
y
Ga
1y
Asincreases
44 GaAs FETs physics, design, and models
withmole-fractiony. Consequently, whenathinchannel layer of InGaAs is grownon
a GaAs or AlGaAs crystal the InGaAs layer structure pseudomorphically adopts the
templateof thehost crystal andthis gives riseto astrained(compressed) layer. Natu-
rally, thereis alimit tothis behavior andfor agiventhickness of theIn
y
Ga
1y
As layer
thereis amaximumvalueof ywhichshouldnot beexceededinorder to maintainan
acceptable degree of strain [5]. For thicknesses or mole fractions beyond the critical
limit thenthecrystal strainwill berelaxedbythegenerationof mist dislocations. For
useful AlGaAs/In
y
Ga
1y
Asdeviceswithachannel thicknessintheregionof 10nm, the
maximumuseablevalueof yisof theorder of 2022%. Theaboveissuesthereforecon-
strainthemolecular compositionsthat arepossiblefor practical AlGaAs/GaAs/InGaAs
devices and the compositions of AlGaAs and InGaAs given in Table 2.1 are chosen
to satisfy the constraints described above and are typical of those used in practical
devicestructures. Although thebulk material datagiven in thetabledoes not strictly
apply tothinor strained-layer structureswithadjacent heterojunctioninterfacesaffect-
ing electron transport, thedatashown is indicativeand useful in conveying thebasic
principles.
A further important attributefor asemiconductor for microwaveapplications is the
abilitytoengineer substrateswithveryhighelectrical resistivityinorder thatRF signals
carried by thetracks on thenished deviceshould experiencelowattenuation. GaAs
is naturally blessedinthis regardduetotherelativeeasewithwhichthematerial may
bemadeintoagoodinsulator. High-purity GaAsnaturally hasahighresistivity andis
deemedtobesemi-insulating(SI). ThehighresistivityarisesbecausetheFermi-level is
pinnedverydeepintheforbiddenbandbyanaturallyoccurringcrystal defectknownas
EL2 (ElectronLevel 2). EL2is anear midband-gapelectrontrapwhicheffectively
clampstheFermi-level sodeepthat very fewfreeelectronsor holesareavailablefor a
meaningful currentow. Thenatural resistivityof pureGaAsistypically10
6
10
7
O-cm
at roomtemperature. Substratemanufacturersfurther renethedegreeof insulationby
incorporatingvery small amountsof carbonduringthecrystal growthprocess. Carbon
is ap-typedopant inGaAs andthis is usedto counter-dopetheslightly n-typenature
of pureGaAs. Bycompensatingthehighdensityof deep-donor EL2defectswithalow
concentrationof shallowacceptorsfromthecarbondoping, theresultingresistivitycan
bene-tuned. A typical commercial SI GaAssubstrateexhibitsaresistivity>10
8
O-cm
at roomtemperature.
Of courseno semiconductor material is ideal and GaAs and its related compounds
comewithsomenatural drawbacksthatmustbeaccommodatedinthedesignof success-
ful products. Notabledisadvantages of GaAs arearelatively lowthermal conductivity
andtheabsenceof anativeoxideor similar passivant. Theformer issuelimitstheability
of GaAsdevicestodissipateheat throughthesubstratethereby makingthermal design
anareaof particular attentioninthedesignof high-power products. Thesecondissue
of imperfect passivationgivesrisetoslow-state phenomena. A number of alternative
terms areusedhereto describethemechanisms andtheir effects. Theterms: traps,
deep-levels, surface-states, anddispersion areall commonly used. They refer to
anundesirablefeatureof semiconductor deviceswherecrystallineimperfectionsresult
inelectronor holestates that areof intermediatedepthintheband-gapsuchthat they
2.1 Introduction 45
interact withthefreecarriersat noticeablelevelsbut at rateswhichareslowcompared
to the intended transistor response. The result is that, in addition to the desired fast
response, therefollows asecondary slowtail that can compromisethedeviceperfor-
manceinavarietyof ways. Effectivecontrol of dispersivephenomenainGaAsdevices
tookmanyyearstoadequatelyresolve. Bothof thesetopicsareaddressedinmoredetail
inthesectionstofollow.
2.1.2 The Schottky barrier gate and the MESFET
A classof transistor whichisverysuitablefor GaAsistheSchottky-gateFET. Thisform
of FET is anatural choicefor GaAs because, unliketheMOSFET, theSchottky-gate
FET can accommodatean imperfectly passivatedsurface. A host of devicevariations
havefollowedsincebut theprimary deviceof thefamily is theMEtal-Semiconductor
FET or MESFET. ThisisessentiallyaSchottkybarrier gatebetweentwoohmiccontacts
onalayer of n-typesemiconductor that formsaconductingchannel. A Schottkybarrier
is formed when ametal is brought into contact with asemiconductor surface. Given
asuitabledifferenceinmaterial work-functions, chargeredistributioninthesemicon-
ductor occurs whichdepletes theadjacent semiconductor regionof its mobilecarriers
(inthemanner of aone-sidedp

-njunction). Theextent of thedepletiondepthiscon-


trollableby theamount of bias across thejunction, and by this means abias applied
to the Schottky gate will modulate the available charge and hence the current in a
FET channel. The rate that the junction can control the current limits the frequency
response of the device. The limiting processes here are the RC time-constant of the
gate junction and the time for the carriers to travel along the channel. The relevant
keymaterial propertiesarethemobilityandthesaturatedvelocity, andinhigh-mobility
materialssuchastheGaAsfamily thenthesaturatedcarrier velocity isthedominating
factor.
2.1.3 The Pf
2
limit
The maximumpower obtainable froma transistor manufactured froma given semi-
conductor material is dependent on the frequency at which the device is required to
operate. Therelationshipof power withfrequency isaninverse-squarelaw, sometimes
referredto as thePf
2
= constant limit [6]. Thefactors that determinethis relation-
ship are the breakdown eld, the saturated carrier velocity, and the physical size of
thedevicefootprint. Therms power density, P

, obtainablefromasinusoidally driven
transistor with the peak current density J
max
and voltage swing of V
min
to V
max
is
givenby:
P
/
=
J
max
(V
max
V
min
)
8
(2.1)
For aFET withitsspeedlimitedbytheelectrontransit-time, travelingat thesaturated
velocity:
sat
, over characteristiclengthL, withapeakvoltagelimitedbythebreakdown
46 GaAs FETs physics, design, and models
eldE
b
, andapproximatingV
min
tozero, then:
P
/
=
J
max
E
br
L
8
(2.2)
=
J
max
E
br
:
sat

8
(2.3)
=
J
max
E
br
:
sat
16 f
T
(2.4)

1
f
T
(2.5)
wheref
T
isthecut-off frequency. ThissameanalysisisusedtodenetheJ ohnsongure
of merit for asemiconductor material J FOM [7]:
J FOM =
E
br
:
sat
2
. (2.6)
Returningtoequation(2.4), J
max
iscrudelyof theorderof 500mA/mmformostavours
of GaAspower FET irrespectiveof operatingfrequency. However, theabilityof aFET
todeliver J
max
acrosstheentiregateperiphery of adevicediminishesasthefrequency
increases. This is primarily due to de-phasing of the input signal along gate ngers
andacrossthemultigatemanifolds. Consequently, theremoteregionsof thedeviceare
drivenprogressively out of phasecomparedto theregionintheimmediatevicinity of
thegateterminal therebyresultinginanetreductionincurrentdeliveredtothedrain. In
orderforthephasingeffectstoremaininvariantthephysical devicewidthmustbescaled
inversely withthefrequency thereby givingafurther 1,f contribution. Incombination
withequation(2.5) theoverall effect onthetotal power, P, isthen:
P
1
f
2
T
. (2.7)
Clearly this is asimplication which omits ahost of other factors such as RC losses,
matchingeffectsandthermal considerations, but it doescapturethedominant limiting
processesfor awell-designedmicrowavepower FET. Validationof equation2.5isgiven
inFigure2.1whichshowstheratedbreakdownvoltagesversusprocessf
T
for avariety
of commercially availablepower FET processes of thevarieties described in thenext
section.
2.1.4 Types of GaAs FET
Four key GaAs FET variants are compared in Figure 2.2. The rst type, shown in
Figure 2.2a is the MESFET consisting of a Schottky gate controlling the current in
a simple uniformly-doped channel. The rst devices were ion-implanted structures
and this approach becamethestandard manufacturing techniquefor GaAs transistors
for a number of years. The MESFET was gradually rened with enhancements that
included optimizing the doping proles, the use of epitaxially grown layers, and the
development of recessedgatestructuresfor enhancedbreakdown. Theadvent of band-
gapengineering introducedAlGaAsasapartner material. A varietyof heterostructure
2.1 Introduction 47
30 25 20 15 10 5
0
20
40
60
80
100
BVgd V
f
T


G
H
z
0.5 m P
0.3 m P
0.25 m P
0.15 m P
0.25 m P
0.15 m P
0.25 m P
0.25 m P
0.15 m P
0.15 m P
0.5 m P
0.5 m M
0.5 m H
0.5 m P
0.5 m M
Figure 2.1 Breakdownvoltage frequencyrelationshipfor commercial power FET processes
(M: MESFET, H: HFET, P: pHEMT).
Figure 2.2 KeyGaAsFET devicetypes; (a) metal-semiconductor FET (MESFET) (b) doped-
channel heterojunctionFET (HFET); (c) high-electronmobilitytransistor (HEMT);
(d) pseudomorphichigh-electronmobilitytransistor (pHEMT).
48 GaAs FETs physics, design, and models
FET (HFET) developmentsthenfollowed. Themost straightforwardHFET, depictedin
Figure2.2b,usesawidebandgapAlGaAsspacerlayerthatspacestheGaAschannel from
thegate[810]. Thisstructureconstitutesaneffectivepowerdevicewithexcellentpower
andlinearity performance[11]. Thetransconductanceachievablefromthisstructureis
relativelymodest, however avaluableattributeisthenear constant valuewithgatebias
[8, 12, 13] that isachievablewhichisimportant for improvinglinearity.
Anumberof developmentsof theHFEThavebeeninvestigated, typicallyinvolvingthe
useof InGaPasanalternativewidebandgaplayerandwithInGaAsasthedopedchannel
layer. Reference[14] summarizesthebenetsanddrawbacksof anInGaP barrier layer
includingitsabsenceof DX centersandthat it islesslikelytosuffer surfaceoxidation.
However, it is also has aless advantageous conduction bandalignment thanAlGaAs,
anditsuseasanHFET barrier layer hasnot foundwidespreaduse.
Thefurther devicedevelopmentsdescribedherefocusonimprovementof thechannel
properties so as to enhance the frequency performance. A key development was the
AlGaAs/GaAs high-electron mobility transistor (HEMT) or modulation doped FET
(MODFET) [15]. TheseareequivalentnamesforadevicestructureshowninFigure2.2c
whichavoidsdopingthechannel directlyandinsteaddopestheadjacent AlGaAslayer.
MobileelectronsthenpopulatetheGaAschannel but, at loweldsat least, suffer much
lessscatteringasthedopingimpuritieshavebeenseparatedfromtheconductionchannel.
HEMTs of this type have been superseded by the pseudomorphic device discussed
below, but theconcept was akey stepping-stoneinthedevelopment of themicrowave
FET.
Thepseudomorphic-HEMT (pHEMT) showninFigure2.2disasignicant enhance-
ment of theHEMT that introduces thebenet of anInGaAs channel [1618]. InGaAs
is a narrow band-gap material with excellent electron transport properties. The rst
incarnation of the pHEMT was a single heterojunction device with an AlGaAs bar-
rier and charge supply-layer above the InGaAs channel. As material growth quality
improved, asecondAlGaAslayer beneaththechannel wasaddedwhichprovidesbetter
chargeconnement and hencehigher current capability. Theprincipleof modulation
doping for thedouble pHEMT is illustrated in Figure2.3 which shows theidealized
banddiagramfor apHEMT channel. However, as describedabove, InGaAs possesses
alarger latticespacingthanGaAs andAlGaAs whichlimits theindiummolefraction
to around20%. This is afairly modest indiumfractionwiththeresult that theexcep-
tionally high mobilities that arethenormin thehigher indiumcomposition channels
of thelattice-matchedIn
0.52
Al
0.48
As/In
0.53
Ga
0.47
As/InPHEMT devicesarenotachieved
in GaAs-based structures. However, it should be realized that the key device benet
achievedinAlGaAs/InGaAs devices comes not fromthefundamental mobility of the
channel material, but rather fromthe separation of the carriers in the channel from
their dopant atoms intheadjacent wideband-gapregion. Inthis respect theincreased
conduction band step introduced by the use of InGaAs for the channel layer is very
benecial. Althoughtheinnatechannel mobility is not improvedabovepureGaAs, it
is substantially improvedabovedopedGaAs andinAlGaAs/InGaAs pHEMT devices
channel mobilitiesexceeding6000cm
2
/Vsareobtained. For aMESFET withadirectly
doped channel then the achieved mobilities would typically be less than half that of
2.1 Introduction 49
+
_ _
+
AlGaAs InGaAs
AlGaAs
E
F
Doping plane Doping plane
High mobility
channel
Figure 2.3 IdealizedpHEMT banddiagramandmodulationdopingprinciple.
thepHEMT value. Thisimprovement iscomfortablysufcient toensurethat thedevice
speedof thepHEMT isnot signicantlylimitedbythechannel mobility.
The semiconductor band-diagrams that correspond to the key device types of
Figure2.2aregiveninFigure2.4. Thediagramswerecalculatedusingapublic-domain
Poisson-Schr odinger equationsolver [19] andthegureshowstheequilibriumconduc-
tionandvalencebandsolutions for thezero-bias conditiontogether withtheresulting
electronconcentration. Thefour banddiagrams highlight thedifferences inthenature
of theconnement of thechannel electrons (electrondensity n) intheregionbetween
theSchottkybarrier of thegateterminal ontheleft-handsideandthemidbandpinning
of the Fermi-level of the insulating substrate that occurs off-scale on the right-hand
side of the plots. For the MESFET of Figure 2.4a the channel charge distribution is
essentially that of thebulk semiconductor layer withaneffectivewidthmodulatedby
theextent of thedepletionof theSchottky gate. Theapplicationof negativegatebias
further increasestheenergydifferencebetweentheFermi-level andtheconductionband
andtherebyextendsthegatedepletionreducingtheavailablechargeinthechannel. For
thesituationwhereapositivebiasisappliedtothegatethenbythereverseprocessthe
depletiondepthreducesandtheMESFET channel widenstowardsthegate. Theuseof
negative, zeroandpositivegatebiasesforaMEFSET structureareshowninFigure2.5a.
TheHFET structurewithabanddiagramshowninFigure2.4bdiffersfromtheprevious
caseduetotheAlGaAs spacer layer beneaththegate, andthemobilechargefromthe
dopinginthis layer is transferredto the(also doped) channel whereit is energetically
favourabletoremain. A changetothegatebiasvoltagemodulatesthedepletionedgein
thesamemanner astheMESFET, butnowthepresenceof theheterojunctionprovidesa
constraint ontheminimumdepthof thedepletionlayer edge. AsshowninFigure2.5b,
under positivegatebiasconditionstheelectronconcentrationremainslargely conned
bytheheterojunctionbarrier andso, unliketheMESFET, theupper extent of depletion
layer edgeisconstrainedanddoesnot movesignicantlytowardsthegate. TheHEMT
50 GaAs FETs physics, design, and models
E
c
E
f
E
v
n
E
c
E
f
E
v
n
E
c
E
f
E
v
n
E
c
E
f
E
v
n
50 0 100 150 200
2.0
1.5
1.0
0.5
0.0
0.5
1.0
Depth (nm)
E
n
e
r
g
y

(
e
V
)
0.2
0.4
0.6
0.8
1.0
x10
18
n

(
c
m

3
)
50 0 100 150 200
2.0
1.5
1.0
0.5
0.0
0.5
1.0
Depth (nm)
E
n
e
r
g
y

(
e
V
)
0.2
0.4
0.6
0.8
1.0
x10
18
n

(
c
m

3
)
50 0 100 150 200
2.0
1.5
1.0
0.5
0.0
0.5
1.0
Depth (nm)
E
n
e
r
g
y

(
e
V
)
0.2
0.4
0.6
0.8
1.0
x10
18
n

(
c
m

3
)
50 0 100 150 200
2.0
1.5
1.0
0.5
0.0
0.5
1.0
Depth (nm)
E
n
e
r
g
y

(
e
V
)
0.2
0.4
0.6
0.8
1.0
x10
18
n

(
c
m

3
)
(a) (b)
(c) (d)
Figure 2.4 Zero-biasbanddiagramsandelectrondensitiesfor keyGaAsFET devicetypes;
(a) metal-semiconductor FET (MESFET); (b) doped-channel heterojunctionFET (HFET);
(c) high-electronmobilitytransistor (HEMT); (d) pseudomorphichigh-electronmobility
transistor (pHEMT).
structureof Figure2.4c has asimilar AlGaAs/GaAs heterojunction to theHFET just
discussedbutwiththedifferencethattheGaAsregionisundoped. Theband-bendingof
thejunctioncreatesasmall well that ispopulatedwithcarriersfromthedopedAlGaAs
region. Themobilityof thechannel isintendedtobethat of theintrinsicmaterial asthe
scatteringfromthedopant ionsiseliminatednowthat theyarespatiallyseparatedfrom
thepath of themobileelectrons. However, theconnement capability of this modest
well isquitelimitedandthisstructureisthereforenot effectiveasapower device. This
issueisresolvedinthepHEMT of Figure2.4dwithanInGaAschannel. Herethedeeper
conductionbandoffsetbetweenAlGaAsandInGaAsprovidesahighdegreeof conne-
ment andtheuseof adoubleheterojunctionwithdopingprovidedfromboththeupper
andlower AlGaAs barrier layers achieves ahighsheet-chargedensity. Also employed
2.2 Power device physics 51
E
c
E
f
E
v
n
E
c
E
f
E
v
n
50 0 100 150 200
2.0
1.5
1.0
0.5
0.0
0.5
1.0
Depth (nm)
E
n
e
r
g
y

(
e
V
)
0.2
0.4
0.6
0.8
1.0
x10
18
n

(
c
m

3
)
50 0 100 150 200
2.0
1.5
1.0
0.5
0.0
0.5
1.0
Depth (nm)
E
n
e
r
g
y

(
e
V
)
0.2
0.4
0.6
0.8
1.0
x10
18
n

(
c
m

3
)
(a) (b)
Figure 2.5 Effect of variationof gatebiasondevicebanddiagramsandelectrondensityfor (a)
MESFET and(b) HFET. Solidlineisthezerogatebiascondition, longdashisfor reversebias
andshort dashisfor forwardbias.
hereis theuseof deltadoping. Inconventional dopingthedopant atoms areincluded
uniformlyinthecrystal at modest concentrations. However, indelta-dopingthesilicon
dopant atoms aredepositedinacontinuous thinlayer just afewatoms deep. This has
benets to deviceoperationinthat thedopant atoms areall very closeto thechannel
ensuring maximumtransfer of electrons into thechannel theso-called modulation
efciency. A further benet is that it is easier to managetheMBE growthreactor to
dopeinthisway.
2.2 Power device physics
2.2.1 The device IV characteristic and loadline
AnidealizedIV characteristic is showninFigure2.6. Thekneevoltage, V
knee
, is the
voltagewherethecurrent saturates. Belowthispoint thedeviceisavoltagecontrolled
resistor andaboveV
knee
theDC current issaturatedandideally isindependent of drain
voltage. Themaximumcurrent I
max
istypicallydenedjust abovethekneevoltageand
isthemaximumcurrentthedevicecansupplybeforethegatejunctionbecomesforward-
biasedandstartstodrawgatecurrent. Another keyparameter for apower deviceisthe
breakdown voltageas this limits thepeak voltagethat thedeviceload-linecan swing
upto. Thepinch-off voltageV
p
isthegatevoltagerequiredtoturn-off thedraincurrent
(typicallytoathresholdvalueof order 1mA/mm).
Thedetailedbehavior of theFET IV characteristic is determinedby thecombina-
tion of theSchottky gatedepletion dependenceon thegate-channel potential and the
velocity-eldcharacteristicof thesource-drainchannel. Inrealitythisisacomplexand
interdependent 2D problem. However, for submicron gateGaAs-based devices where
theelectronvelocity saturatesunder thegateover muchof theIV spacethenauseful
52 GaAs FETs physics, design, and models
V
ds
I
ds
V
knee
V
max
I
max
I
dss
Q
A
Q
B
V
gs
> 0
V
gs
= 0
V
gs
< 0
V
gs
= V
p
Figure 2.6 Ideal FET DC IVcharacteristicwithclassA andB loadlines.
simplied description can be considered. In this model the current is determined by
thesaturatedelectronvelocityandthenumber of availablecarriers. Thefractionof the
maximumchannel current that is available is determined by the extent that the gate
depletionregionextends downinto thechannel. Animportant benet of thesaturated
velocity mode of device operation is that over the main part of IV space the drain
currentisgenerallyamorelinear functionof gatevoltage. Goodlinear behavior maybe
pictorially observedfromthefamily of I
d
V
d
curves that makeupadeviceIV graph
havingapproximateequal spacingasV
g
isvaried. Suchbehavior isunlikethelong-gate
or gradual channel caseof thetraditional J FET wheresaturation is alow-eld and
constant mobilityprocessresultinginasquare-lawdependenceof draincurrent ongate
voltage[3, 20].
It is readily apparent that a good power device has high peak current I
max
, a high
breakdowncapability, alowV
knee
andequally spacedcurves ontheIV characteristic.
Figure2.6illustrates themaximumpower class A and class B load-lines that may be
supported on theidealized IV characteristic. Theclass A loadlineis thesimplest to
understandandthegureshowstheIVlocusswingingfromthepeakcurrentvalueI
max
andminimumvoltagevalueV
knee
toamaximumvoltageat zerocurrent limitedby the
devicebreakdown. TheresultantquiescentbiaspointisQ
A
. Themaximumoutputpower
for theidealized class A situation is given by equation (2.1). Theclass B loadlineis
achievedbyreducingtheoperatingcurrenttobiaspointQ
B
. Thesameloadresistanceis
requiredandasimilar maximumpower isdeliveredbut withhigher efciencyachieved
duetoareducedDC dissipation.
Forareal devicetheIVcharacteristicdepartsfromtheideal inanumberof important
ways. Figure2.7highlightssomekeyfeaturesof amorerealisticDC IVcharacteristic
whichwouldbeobservedusingaslow-sweepcurvetracer. Thegureillustratesthermal
droop where self-heating of the device causes a reduction of electron velocity and
mobility. Thespacingof thelines of constant gatevoltageis also no longer ideal and
exhibitscompressionat thelimitsof thegatevoltagerange.
2.2 Power device physics 53
V
ds
I
ds
V
knee
BV
ds
I
max
I
dss
V
gs
> 0
V
gs
= 0
V
gs
< 0
Figure 2.7 Practical FET DC IVcharacteristicexhibitingbreakdown, thermal droop, and
transconductancecompression.
7 6 5 4 3 2 1 0
0
20
40
60
80
100
V
d
V
I
d

m
A
DC
Q
A
(6V, 0V)
Q
AB
(6V, -0.3V)
Q
B
(6V, -0.6V)
Q
A
Q
AB
Q
B
Figure 2.8 MeasuredpulsedIVcharacteristicfor 0.25mpHEMT processat classA, AB, andB
biaspoints.
2.2.2 The dynamic IV characteristic
A further departurefromtheideal characteristic occurs whenthedynamic responseis
considered. Figure2.8showstypical pulsedIVcharacteristicsthathavebeenmeasured
with a commercial system[21, 22]. A set of dynamic IV plots are overlaid onto a
conventional DC IVmeasurement. Inthepulsedcasethedeviceisbiasedat quiescent
biaspointsindicatedonthegureandshort, low-dutycyclepulsesfromthisbiaspoint
arethen used to exploretheIV planeand map out thecharacteristic. Thebias point
should be chosen to be typical of the intended operating point with the aimthat the
measureddynamic IV will replicatetheRF behavior of thedevice. As is apparent in
Figure2.8thedynamicbehavior differssignicantlyfromthestaticcase. Thedifference
54 GaAs FETs physics, design, and models
is, for themost part, duetothepresenceof slowtraps inthesemiconductor. Traps are
deep-level statesthatcancaptureandretainelectronsor holesfor extendedperiods. The
possiblecauses andlocations arenumerous [2326] andincludetraps at theun-gated
semiconductor surfaceandthesubstrateinterface, DX levelsinAlGaAs, andfreeions
inpassivatingmaterials. A conciseoverviewof thephenomenafor bothGaAsandGaN
devicesisgiveninreference[27].
Thetrapsareenergystatesthathaveenergiessufcientlydeepintothesemiconductor
forbiddenbandthatthelikelihoodof acarrierinteractingwiththestateisrelativelysmall
andwhenacarrierdoesoccupysuchastatethenafurtherlowprobabilityeventisneeded
inorder for ittobereleasedagain. Theresultisthatthelifetimeinadeep-level statecan
bequitelong, and timeconstants of microseconds to milliseconds arecommonplace.
Theimpactof thetrappedchargeinthedeepstatesisthattheassociatedeldaffectsthe
passageof thefreecarriersinthechannel thereby modifyingthedevicecharacteristic.
Becausethecaptureandreleasetimeconstantsof thetrapsareverylongcomparedtothe
periodof amicrowavesignal, theRF (carrier) signal andtrapoccupancydonot directly
interact. However, thetrapoccupancyisaffectedbythemeanbiasconditiongivingriseto
thesituationthatthedynamicIVchangesslightlyasthemeanbiaspositionchanges. A
useful waytothinkof thisisthatthereisntauniqueanddenitiveIVcharacteristicfor
aparticularFET, butratherthereisaslightlymodiedoneforeverymeanbiascondition.
A helpful physical model isthatof theeldassociatedwiththetrappedchargeactingas
aslowlyvaryingvirtual gate that modiestheeffect of thephysical gate. A particular
area of concern for trapping effects is the semiconductor surface. Without a suitable
passivant material thereareinevitably alargenumber of surfacestatespresent. Indeed,
itisworthnotingthatthedensityof availablesurfacestatesistypicallycomparablewith
theintended doping of thedevicechannel. It thereforebecomes an important device
designtasktominimizetheirimpactonthedeviceperformance. Thisisachievedbysuch
measuresaskeepingtheetchedsurfaceareatoaminimum, maximizingthedistanceof
suchareas tothechannel andtheuseof charge-screeninglayers toisolatethechannel
fromchanges to thesurfacepotential. In contemporary optimized FET structures the
trappingeffectshavebeenaddressedtoasubstantial degree. Improvedmaterialsgrowth
quality, improvedwaferprocessingtechniques, andadvancesindevicedesigntechniques
havereduced thedensity of availablestates and mitigated their impact on thedevice
response. Nevertheless, discernable slow-state effects are the normand these effects
haveanimpactthatcanlimitthedeviceperformanceandintroducedifcultiesindevice
characterizationandmodeling.
2.2.3 The consequences of trapping effects
Thephysical processes described abovegiveriseto amultitudeof observabledevice
effectstobeminimizedbythedevicedesignerandaccommodatedbythecircuitdesigner.
Theeffectsaresummarizedinthefollowingparagraphs.
Reducedoutput power: For devicesoperatingasanamplier andbiasedassuch, the
resulting equilibriumtrapping statereduces thepeak devicecurrent dueto increased
surfacedepletion and increases theeffectivekneevoltageby increasing thedynamic
2.2 Power device physics 55
(a) Gate lag (b) Drain lag
Time Time
I
d
Figure 2.9 Typical GaAsFET gateanddrainlagresponses; (a) gatelag; (b) drainlag.
channel access resistances. These modications to the device IV are illustrated in
Figure2.8andreducethemaximumoutput power that adevicecandeliver.
G
m
andg
ds
dispersion: Measurements of devicetransconductance(g
m
= dI
d
,dV
gs
)
and output conductance (g
ds
= dI
d
,dV
ds
) with frequency are observed to undergo a
transitionfromtheir DC values, andthis variationwithfrequency is calleddispersion
[25, 26, 28]. Thetransitionfrequency rangeis typically inthe1kHz to 1MHz range
with thetransconductancedecreasing fromtheDC valueand theoutput conductance
increasing fromtheDC value. Historically, dispersion measurements wereaprimary
assessment tool for devicetrapping effects beforepulsed IV assessment cameto the
fore.ForcontemporaryGaAspowerdevicestransconductancedispersionisusuallysmall
andthedispersionof theoutputconductanceisthedominantphenomena. Thisisclearly
observed in FET DC IV characteristics such as Figure2.8. Thespacing of thelines
of constant gatevoltagehas remained largely invariant indicating minimal difference
in transconductance. However the increase in the slopes for the pulsed characteristic
demonstratestheincreasedoutput conductanceexperiencedbyadynamicstimulus.
It shouldberealized, however, that thedifferencebetweentheDC anddynamic IV
slopesdoesnot indicatethat slow-stateshavecompromisedtheoutput conductancefor
theRF signal. ItismoreaccuratetoviewthedynamicIVmeasurementasrevealingthe
underlyingtrue output conductancethat is obtainablefromtheshort gatestructures
thataretypicallyemployedinGaAs-baseddevices. For theDCcase, theaforementioned
underlyingIVismodiedbychangesintheequilibriumtrapoccupationswhichevolve
withthe(slowly varying) biasvoltageinsuchamanner soastosupplement theaction
of the gate and so reduce the resulting output conductance. The mechanismcan be
visualizedsuchthat asthedrainvoltageisincreasedthentheamount of trappedcharge
in the vicinity of the gate also increases and the eld associated with the trapped
chargeactsinconcertwiththatof theSchottkygatebiastherebyhelpingtosuppressthe
increaseindraincurrent that wouldotherwiseoccur.
Gateanddrain lag: Gateanddrainlagaretermsthat describethedelayedresponse
of thedraincurrent tochangesinthegateanddrainvoltages, respectively[26, 2932].
Typical gatelaganddrainlagresponsesareillustratedinFigure2.9andshowhowthe
dominant fast responseis followed by a slow tail. Thetail can persist for timescales
56 GaAs FETs physics, design, and models
rangingfromafewmicrosecondstomillisecondsdependingonthedetail of thedevice
construction and the fabrication techniques employed. The plots relate to the same
physical test asisperformedinthepulsedIVplot of Figure2.8; however, thex-axison
theplot isnowtimerather thandrainvoltageandthetracesshowthetimeevolutionof
just onesamplepoint onthat IV plot. Withgate-lagthedrainvoltageisheldconstant
andthegatevoltageis steppedfromthequiescent valueto therequiredsamplepoint,
andwithdrainlagthegatevoltageisheldconstant andthedrainvoltageisstepped.
Memoryeffects: Inadditiontothemodicationstothedevicecharacteristicsdescribed
sofar, thedispersionmechanismalsoresultsinhysteresisinthedeviceresponse, or in
otherwordsthedevicecanexhibitamemoryof arecentlyappliedstimulus. Asdiscussed,
the trapped carrier population is a function of the mean bias condition. For a high-
frequency continuous-wavesignal wheretheperiodof theRF is short withrespect to
thetraptime-constant thenanequilibriumtrapoccupationwill beachievedandastable
dynamicdevicecharacteristicisobserved. However, for low-frequencysignalsor, more
likely, an RF carrier modulated with amodulation frequency that is comparablewith
thetraptime-constant, thenthetrapoccupationcanbeinuencedbythislow-frequency
variation. Theresult is that theRF characteristic can besubtly modied by thelower
frequency component of thesignal thereby givingadependenceontherecent history.
Of course this is a familiar problemfor all semiconductor devices even if trapping
mechanisms wereto becompletely controlled as thermal timeconstants havesimilar
consequences. The impact of trapping effects on a modulated signal is simulated in
reference[33].
I naccuracy in large-signal models: Traditional device models are based on IV
characteristicsmeasuredat DC. However, ashasbeenshown, thetrappingeffectsgive
risetodynamicIVswhicharenoticeablydifferent fromthestaticone. Thismeansthat
models whichsimply usethestatic characteristic do not accurately predict thedevice
performance. Invariably, thereal devicewill providelesspowerandexhibitlessgainthan
theDC-derivedmodel. Techniquesfor thegenerationof improvedlarge-signal models
areaddressedlater inthechapter.
I ncreasedbreakdownvoltage: Sofar thelist hasgivenaseriesof detrimental effects
that arise fromthe presence of surface states. However, they do have an important
benecial impact on breakdown voltage. As will be discussed in more detail in the
next section, theassociatedsurfacechargelocatedinparallel withthechannel has the
positive benet of assisting to spread the electric eld in the gate-drain region over
alonger distancethereby reducing thepeak eld developed and henceincreasing the
devicebreakdownvoltage.
Kink effect: The list ends with a phenomenon that has long been observed where
traces on the IV characteristic can exhibit a kink to a higher drain current as if
the gate bias was suddenly adjusted higher as the drain voltage is swept. Similar
effects have been reported in various kinds of semiconductor transistor, such as sil-
icon MOSFETs, GaAs-MESFETs, doped channel HFETs, AlGaAs/InGaAs-HEMTs,
andInAlAs/InGaAs-HEMTs[34].
Various mechanisms havebeen explored and it is clear that thereareanumber of
different kink-effect processes that may be present depending on the detailed device
2.2 Power device physics 57
G
D
S
BV
gs
BV
gd
BV
ds
Figure 2.10 Breakdownvoltagedenitions.
construction. It ispossibletoobservemechanismsthat affect theDC characteristicbut
arenot observed at RF [35], whilefor other structures they may beobserved also or
exclusively inthedynamic characteristic [34, 36]. Thepossiblemechanisms that may
be involved include eld ionization of traps where an increase in the drain voltage
induces releaseof trappedelectrons thereby allowingtheassociatedchannel depletion
to lessen [34, 37]. Other processes involvethepresenceof holechargegenerated by
impact ionization. Hereabuildupof holechargeat thesourceendof thegatecangive
riseto aparasitic bipolar effect that can causecurrent injection thereby reducing the
effectivesourceresistance[38]. It isalsopossiblethat associatedchangeinthechannel
potential reduces theeffectivepinch-off voltage[39]. A further mechanismsuggested
by 2Dsimulationisfor impact ionizationgeneratedholestointeract withandpartially
dischargesurfaceelectrontraps thereby wideningthechannel [40]. Other simulations
indicate a possible contribution fromredistribution of the 2D electric eld when the
lateral extensionof gatedepletionreachestheedgeof therecess[36].
2.2.4 Device breakdown
Thethreebreakdownconditionsgenerally quotedfor FETsaretheGate-Source, Gate-
Drain and Drain-Source breakdown voltages BV
gs
, BV
gd
, and BV
ds
, respectively, as
illustrated in Figure2.10. Thetypical denition employed is thevoltagefor which a
currentof 1mA/mmof gatewidthisobserved. BV
gs
andBV
gd
areso-calledtwo-terminal
tests(i.e., withthethirdterminal oating) and, withthenotationusedhere, arenegative.
Inpowerdevicesthegateisusuallypositionedasymmetricallytooptimizethegate-drain
breakdown value. BV
ds
is athree-terminal test with thegatebias set to asufciently
negativevaluesoastoensurethatthedeviceispinchedoff. BV
ds
ispositive. Itiscommon
for only two-terminal teststobequotedondata-sheets or inwafer acceptancecriteria.
However, the three-terminal drain-source breakdown is also an important parameter,
particularlysofor power devices, asthiscongurationcorrespondstohowthedeviceis
actuallyused. Different physical mechanismsaregenerallyobservedfor thebreakdown
processesexperiencedunder twoandthree-terminal conditionswiththeresultthat BV
ds
can be signicantly less than might be expected froma simple consideration of the
58 GaAs FETs physics, design, and models
combinationof thegate-drainbreakdownandtheappliedgatevoltage(i.e., V
gs
-BV
gd
)
[41].
2.2.5 Breakdown mechanisms and optimization
Signicant attention has been paid to theoptimization of breakdown performancein
order tooptimizeoutputpower, andbreakdownperformancefor power deviceshasbeen
developedtothepointthatpower GaAsFET devicesareusuallythermallylimitedrather
thanbeinglimitedby devicebreakdown. A number of physical processesareinvolved
intheevolutionof thebreakdownprocessanddependontheprecisedeviceconstruction
andontheoperationof thedevice. Thekeyprocessesdescribedhereare[4247]:
r
thermioniceldemission(TFE) over thereverse-biasedgatebarrier;
r
tunneling through the gate barrier which narrows as the device is heavily reverse-
biased;
r
impact ionizationinthechannel;
r
parasiticbipolar effect;
r
electriceldspreadingduetothesurfacepotential.
Theseprocessescanall operateinconcert togiveavariety of interestingbehaviors. A
typical evolutionof abreakdowneventbeginsinahigh-eldlow-currentconditionwith
increasedgate-drainleakagecausedbyeld-emissionof currentover thereverse-biased
gate-drainbarrier. Itisnormal for theeldtobesohighthatthebarrier becomesthinned
whichcausestheeld-emissiontobeenhancedbyquantum-mechanical tunneling. Ener-
geticelectronscanthenndthemselvesinjectedintothehigh-eldchannel withexcess
energy. Theelectrons will relax their energy by various means and onepossibility is
impact ionization whereelectron-holepairs arecreated caused by collisions with the
lattice. Impact ionizationisself-reinforcinginahigh-eldchannel astheelectron-hole
pairsproducedcangoontoseedother ionizationeventsinanavalanchemultiplication
process. Breakdowntendstobeafairlygradual processat rst astheappliedvoltageis
increasedandsteadily over several voltsthetotal breakdowncurrent becomesprogres-
sively dominatedby theimpact avalanchecomponent. Detailedstudies analyzing this
behavior inGaAsFETshaveusedthedifferingtemperaturedependenceof theTFE and
impact ionization processes to identify the relative contributions.
1
Thepictureso far
thenis that of energetic electrons defeatingthegatebarrier, seedingimpact ionization
andthebreakdowncurrentrunningawaywithapositivefeedbackmechanism. However,
thisisnotnecessarilyquitetheendof thestory. Onsomedevicesitispossibletoobserve
a snap-back effect where, as a certain current threshold is crossed, the device can
no-longer support thebreakdown voltageresulting in thebreakdown characteristic of
Figure2.11. Inthiseventthenthevoltagecollapsestoalowvalueandthedevicecurrent
rises markedly [48]. This process may or may not be fatal depending on the device
1
TFE has a positive temperature coefcient which is to say the current over the barrier increases with
temperature[42, 46]. Conversely, inGaAsdevices, thetemperaturedependenceof theionisationcoefcients
actsintheoppositesense.
2.2 Power device physics 59
V
d
I
d
Snap-back
Impact ionization
TFE/Tunnelling
Figure 2.11 Breakdownsnap-backcausedbyaparasiticbipolar effect.
andthecircuit. Themechanismgivingriseto thesnapback is aby-product of impact
ionization wheretheresulting equilibriumholeconcentration can induceaparasitic
bipolar effect (PBE). Oncecreated, theionized holes can followanumber of paths:
Theycanbecollectedbythegateterminal andaddtothegatecurrent, theycanescape
intothesubstrate, or they canowtothesource. A commonunderstandingof thepar-
asitic bipolar effect is that theholes collecting in thesubstrateact as aparasitic back
gateandhavetheeffect of openingthechannel fromtheback [49, 50]. However, in
aheterojunctionFET holestendtobeconnedinthechannel bythevalencebandwell
andinthis casemay not readily owinto thesourcecontact or substrate. Insteadthey
will drift to thesourceregionandanequilibriumholechargeis developedthere. This
localizedpositivechargefavoursinjectionof electronsfromthesourcecontact intothe
channel thereby inducing an increased drain current by another means [49]. Parasitic
bipolar effectsarewell knowninsilicondevices[51, 52] but appear lesssointheGaAs
community.
2.2.6 Comments on GaAs FET breakdown ratings
GaAs FET circuits are often designed to operate quite close to the transistor rated
breakdownlimitswithsafetymarginslessthanaretypicallyemployedwithother tech-
nologies. A number of factors makethis asafethingto do. Thenatureof GaAs FET
breakdownis suchthat it is typically quitegentleinits onset andresults insignicant
circuit performance reduction before device degradation is observed [43]. Also, the
typical dominant agingmechanismof devices operatingunder high-eldconditions is
ahot-electroninducedsurfacedegradation. Thesurfacedamageleads toasubsequent
increaseintrappedsurfacechargecausingincreasedspreadingof theelectric eldand
henceanincreaseinthebreakdownvoltage[53]. Thisso-calledbreakdownwalkout
providesafail-safemechanismwherethefailureprocesseffectivelyhardensthedevice
against further degradation.
60 GaAs FETs physics, design, and models
C
gd
R
d
L
d
R
g
L
g
R
i
C
gs
+
--
R
s
L
s
g
m
R
ds
C
ds
g
m
= g
m0
.e
j
Figure 2.12 CommonGaAsFET equivalent circuit network.
A further relevant phenomenon is theobservation that theRF breakdown of GaAs
FETscanbefrequently higher thantheir DC breakdowndatawouldsuggest [54]. The
literatureisnotcomprehensivebuttheperceptionof devicessafelyoperatingatvaluesnot
commensuratewiththeirDCbreakdownvaluesisacommonone. A popularexplanation
is that of avalanchedelay [55], whichcaninhibit theonset of breakdownbecausethe
periodof amicrowavesignal is typically comparablewiththecharacteristic delays of
theavalancheprocess. Anattempt toquantifytheeffect wasgivenbyShrikov[56] who
measured amodest onevolt enhancement to thedrain-sourcebreakdown. Snap-back
effects(if present) may alsobetooslowtorespondtotheRF signal whichinthat case
couldbeexpectedtogiveanapparent RF breakdownenhancement.
2.2.7 The FET equivalent circuit
Theusual small-signal equivalentcircuitnetworkusedtorepresentaGaAsFETisshown
inFigure2.12. Theprimeelementsarethevoltage-dependentdraincurrentgenerator of
transconductanceg
m0
andthegatecapacitanceC
gs
acrosswhichthecontrollingvoltage
is developed. The remaining elements are unavoidable parasitic components whose
presencedegradesthedeviceperformanceandsowhosevaluesareminimizedasmuch
aspossibleinthedevicedesignandthefabricationapproachesemployed.
Figure2.13illustrates themappingof theequivalent circuit ontothephysical struc-
ture. Theparasiticswhicharecommonlyparticularlysignicant aretheshunt feedback
capacitanceC
gd
andtheaccessresistancesR
g
, R
s
, andR
d
. Thegateandsourceresistances
R
g
andR
s
compromisegainbyreducingthefractionof theinput signal that reachesthe
intrinsicgate. ThesourceanddrainR
s
andR
d
compromiseoutput power andefciency.
Thesourceinductance, L
s
, isoftenacriticallyimportant parasiticwhich, together with
R
s
, givesrisetoseriesfeedback compromisingdevicegain. However, thevalueof L
s
is
dominatedbytheinterconnectionnetworkincludingtheviatogroundandthereforenot
shownonthecross-sectionviewof Figure2.13. Theremainingparasiticsusually have
alesser impact thoughcanstill besignicant.
2.2 Power device physics 61
G S D
R
s
n
+
n
+
Ledge Ledge
Channel
Substrate
R
g
C
gs
C
gd
C
ds
R
d
R
i
R
ds
g
m
Figure 2.13 Correspondenceof GaAsFET physical structureandequivalent circuit network.
Anareaof particular noteinthemappingof theequivalent circuit isthenetwork of
elementsusedtomodel thedepletionregion. Thedepletionregionisasingleentitythat
delineates asingleregionof space-charge. However, it is accessedby all threedevice
terminals and so in the equivalent circuit the depletion region must therefore have a
connection to the three terminals. This is achieved in the lumped model by the use
of two capacitanceelements C
gs
and C
gd
. Thegate-sourcecapacitance, C
gs
, connects
acrossthedepletionregionfromthegatemetal tochannel formingthemaincontributor
totheinput capacitance. Thegate-draincapacitance, C
gd
, connectsfromthegatemetal
acrosstothedrain-sideof thedepletionregionandformsashunt feedbackcapacitance.
Modulation of gatedepletion region edgerequires chargeto beadded to or removed
fromthedepletionregion. Inthelow-eldregionthisprocessisdielectricrelaxation[57]
modeledby theC
gs
-R
i
arrangement wherethegate-chargingresistance, R
i
, represents
thenondepletedlow-eldchannel resistanceof thechannel under thegate. Inthehigh-
eldregionthemodulationof thedepletionregionedgeislimitedbythenitesaturated
velocityof thechannel carrierslimitingtheratethatcarrierscanbesuppliedor beswept
away. Thereisthereforeatimedelaygivenbytheproduct of thelengthof thesaturated
regionandthecarrier velocitythat limitsthespeedof thisprocessandthisgivesriseto
adelayterm,, for thecurrent generator equivalent circuit element.
2.2.8 Device gain and gures of merit
ThekeygainquantitiesforamicrowaveFET areillustratedinFigure2.14whichshowsa
setof typical commonlyusedgaincurvesforapHEMTdevice. Themoststraightforward
microwavegainquantityisthepower gainina50Osystem. InFigure2.14thisisshown
asS
21
. Thiscurveshowsaone-poleresponsedominatedbythe50O sourceimpedance
and the device input capacitance. Once above the 3 dB corner frequency S
21
falls at
6dB/octave. Inorder toachieveauseful gainperformancethedevicemustbepresented
withmoreappropriateterminatingimpedances. Theremainingcurvesontheplotarethe
62 GaAs FETs physics, design, and models
log(Frequency Hz)
G
a
i
n

(
d
B
)
MSG
MAG
h
21
S
21
f
max
f
T
U
Figure 2.14 Microwavegaincurvesandguresof merit.
quantitiesgenerallyemployedtoindicatewhat performanceisachievablefromadevice
for specicterminatingconditions.
h
21
: Thehybridparameter h
21
isthecurrent gainintoashort-circuit load. Theinter-
sectionof this curvewiththeunity gainaxis is akey devicegureof merit calledthe
transitionfrequency, f
T
whichisdiscussedinmoredetail below.
Gmax: TheGmax curveis thecompositeplot of themaximumavailablegain and
maximumstablegaincurves(MAGandMSG, respectively). MAGisthegainobtained
when the input and output are both simultaneously matched for optimumgain. This
quantity may only bedetermined when thedeviceis unconditionally stable. Whereit
is possible that a combination of source and load impedances will cause the device
to oscillatethenMAG is undenedandso insteadtheMSG is plotted. MSG provides
thetheoretical gainobtainedimmediately beforeoscillationoccurs andhas aslopeof
3 dB/octave. The slope of MAG is of the order of 6 dB/octave but varies due to
the variation of the optimal termination conditions which are frequency dependent.
Figure 2.14 shows just one transition frequency between MSG and MAG. In high-
performance FETs it is quite common for there to be a further transition at higher
frequenciesbacktoMSGasthedevicebecomesconditionallystableagain. Inpractice,
Gmaxcanbethought of asthebest gainobtainablebut itsinterpretationiscomplicated
bytheconditional stabilityissue.
U: A somewhat theoretical gain quantity often favoured by device specialists is
theUnilateralized gain U [58], also known as Masons invariant gain [59]. For this
quantity thefeedback hasbeenperfectly neutralizedtogiveagainmeasurethat isfree
fromcomplicationsof theeffectsof conditional stability.
f
T
andf
max
: Twopopular guresof merit for RF devicesaref
T
andf
max
. Theyassist
inthereadyassimilationof adevicesperformanceandtoallowconvenientcomparisons
of different devices. Thetransitionfrequencyf
T
istheunitygainfrequencyof h
21
the
2.3 Device design 63
frequency for which the current gain of the device has fallen to 0 dB. f
T
is a useful
andreasonably unambiguous gureof merit that is convenient to measureandrelates
directlytotheprimaryequivalentcircuitelementsthatdeterminethedeviceRFgain. The
elementsconcernedaretheintrinsictransconductanceof thegateg
m0
andtheassociated
capacitanceC
gs
that limitstherateat whichtheinput voltagemaybevaried. Theusual
approximateexpressionfor f
T
isgiveninequation(2.8).
f
T

g
m0
2(C
gs
C
gd
)
(2.8)
A signicant weaknessof f
T
asanindicator of deviceperformanceisthat it neglects
other important parasitics, andinparticular takesnoaccount of deviceinput resistance.
This is becauseh
21
is thecurrent gainfor thecaseof aninput current generator with
innite output conductance. It is therefore quite possible for a device with a high f
T
ratingto actually havearelatively poor power gain. Clearly however, adevicechosen
for apower amplier shouldhavealowinput resistanceandhighpower gain. A gure
of merit that addresses this requirement is f
max
, theso-calledmaximumfrequency of
oscillation. This parameter is thefrequency for which thepower gains U and Gmax
havefallento0dB, asapower gainof unityistheminimumgainrequiredfor adevice
tobeabletooscillate(seeAppendix2.1andreference[60]). Theexpressionfor f
max
can
bedeterminedfor thenetwork of Figure2.12[61] andisgiveninequation(2.9) which
illustratestherelativesignicanceof thevariousparasiticcomponents.
f
max
=
f
T
2
_
(R
g
R
s
R
i
),R
ds
2 f
T
R
g
C
gd

0.5
(2.9)
A difculty with f
max
is that there is no universally adopted approach to its deter-
minationandit is commonly overestimated. This is discussedinAppendix 2.1where
recommendedmethodsfor thepractical determinationof f
T
andf
max
aredescribed.
2.3 Device design
2.3.1 Power device design
The process of optimizing a power device comprises three main steps: (a) designing
thebasic FET devicestructure, (b) designing thepower cell whereaset of gates are
assembledto formastackableunit, and(c) formingacompositedevicefromaset of
cellstoprovideadevicewiththerequiredpower for agivenrequirement.
2.3.2 FET channel and recess design
Here the task is essentially to select the FET type and gate length appropriate for
theoperatingfrequency andto optimizethecurrent density capability andbreakdown
voltagewithout unduly compromisingtheother competingspecications suchas gain
andlinearity. Keyareasof attentionaretheepi-designandthegaterecess.
64 GaAs FETs physics, design, and models
Epi-layer design: GaAs FET epitaxial layer structures vary incomplexity fromthe
simplest uniformly-doped MESFETs [62], through reasonably straightforward mul-
tilayer designs for HFETs [8], to complex many-layer quantum-well structures for
pHEMTs [63]. In uniformly-doped MESFETs the epi-layer design choices are fairly
limited. Highpower requires highcurrent whichis achievedby ahighdopingdensity
and/or athickchannel. Bothof thesefactorshavelimits. For thecaseof dopingdensity,
then as this parameter is increased the breakdown voltage falls due to the increased
electriceldthat isdeveloped. Inaddition, thesemiconductor mobilityisdegradeddue
to increasedscatteringassociatedwiththedopant atoms. Alternatively, as thechannel
thickness is increasedthen, for agivengatelength, theoutput resistancefalls andthis
cancompromisethedevices ability to deliver current into thedesiredloadresistance.
Toprevent thisproblemthegateaspect ratio(theratioof gatelengthtochannel depth)
shouldbemaintainedtobeof theorder of veor moreinorder toensureasatisfactory
output resistance. Theaspect ratioconstraint presentsnoissuesfor longer gatedevices
butfor higher frequencyapplicationsrequiringsubmicrongatelengths, thentherestric-
tiononchannel depthforceshighlevelsof channel dopingtoachievethedesiredcurrent
densitytherebyresultinginacompromisedbreakdownvoltageandundesirablechannel
mobility.
MESFETs with tailored doping designs are employed with the aimof achieving
improveddevicecharacteristics suchas linearity andnoise. Moreideal deviceperfor-
manceisachievedbyconcentratingthedopingdeeper intothedevicewiththeobjective
of achieving, for example, astep-doped or similar prole. A desirableoutcomefrom
thismeasureistointroducelessvariationindepletiondepthasthechannel ismodulated
therebyresultinginamoreconstantdevicetransconductanceandgatecapacitance. How-
ever, thisbenet comesinevitably at acost of reducedcurrent density for agivenpeak
dopingandgatelength. Suchdevices thereforetendto havelower current capabilities
thanuniformlydopedFETs.
Thelimiting casefor astep-doped proleis theHEMT which seeks to restrict the
current ow to a narrow plane at a xed depth into the semiconductor. The HEMT
achieves this while being substantially free fromthe mobility degradation associated
with increased doping densities as described abovefor theMESFET. This is because
inthecaseof theHEMT thecurrent-carryingchannel isphysically separatedfromthe
donorionsandsomuchhigherdopinglevelscanbeusedwithoutadverselyimpactingthe
mobility. GaAs-basedHEMT structurestypically achievesheet-chargedensitiesabove
1.510
12
cm
2
which, for channel depths of order 100

A, would correspond to an
equivalent bulk-dopingdensityinexcessof 110
20
cm
3
. Evenif suchabulk-doping
densitywasapractical proposition(whichit isnt asthisdensityisconsiderablygreater
than thesolubility limit of then-typesilicondopant inGaAs), themobility wouldbe
enormouslydegradedandnotbemorethanafewhundredV/cm
2
satbest. IntheHEMT
thedopinglimit nowbecomesthat for whichtheassociatedelectronscanbeeffectively
contained in theheterojunction channel. For theAlGaAs/GaAs HEMT thedifference
in band-gaps between the two materials is relatively modest with a commensurately
limited degree of charge connement. Consequently this device has a modest peak
current capability. However, as shown in Table 2.1 theAlGaAs/InGaAs pHEMT has
2.3 Device design 65
Channel
Ledge
Outer recess
Inner recess
n
+
n
+
Gate metal
Cap layer
Figure 2.15 GaAsPower FET recessstructure.
amuch moresubstantial band-gap differencewith theresult that thepHEMT device
variant allows a high current density of order 500 mA/mmto be maintained for all
practical gate-lengths.
Thegate-recessdesign: A mostsignicantadvancethatallowedtheGaAsFET tobe
developedintoauseful powerdevicewasthedevelopmentandoptimizationof thedouble
gate-recess. Theearlier deviceshadasimplesinglerecessthat wastypicallycreatedby
simply etching into the channel until the desired current was achieved. At this point
thegatemetallizationwouldbedepositedwithintherecessedregion. Thebreakdown
voltageis enhancedby increasingthewidthof therecess, thereby givinganincreased
separationbetweenthegatemetal andthedrainn

contactregionandreducingthepeak
eld. However, inpracticetheincreasedexpanseof freesurfacetypicallyresultsinpoor
deviceperformancewithsignicantlyreducedcurrent andslow-stateeffects.
Thesolutionfor this problemis thedoublerecess [6467] depictedinFigure2.15.
Herethegateisdepositedinasmall inner recessthat lieswithinalarger outer one. In
thisconstructiontheouter recessislarger onthedrainsidetoprovidethegatedepletion
with roomto extend towards the drain as the gate-drain voltage is increased thereby
reducingthepeak electricelddeveloped. Incontrast tothesinglerecessstructure, the
variationsinsurfacedepletionontheextendedetchedsurfacecausedbychangesintrap
occupationsnowusefullyattenuatedbyvirtueof increasedphysical separationfromthe
channel. This attenuation is generally further enhanced by the inclusion of moderate
levelsof n-typedopingwhichact asachargescreen.
Considerable attention has been paid to optimizing GaAs FET breakdown in the
recent past. A signicant driver hasbeencellular base-stationPAsrequiringafewhun-
dredwattsof peakpower at0.82.1GHz. For atechnologythat hithertooperatedpower
ampliers with a typical drain bias of the order of 68 V, this application provided
a signicant challenge. Initial attention focused on optimization of the design of the
epi-layers and of gate-recess structure. Figure 2.16 shows the impact of one design
66 GaAs FETs physics, design, and models
3.0 2.5 2.0 1.5 1.0 0.5 0.0
0
10
20
30
40
50
L
gd
(micron)
B
r
e
a
k
d
o
w
n

V
o
l
t
a
g
e

(
V
)
BV
dg
BV
ds
Figure 2.16 Breakdownvoltagedependenceof gate-drainvoltageseparation.
variable, namelythelengthfromthegatetotheedgeof theouter recessonthedrainside
(L
gd
), onbreakdownvoltagefor a0.5mgatepower device. Thetwo-terminal break-
downBV
gd
isseentobereadilycontrolledbythesizeof therecessbutthethree-terminal
breakdown BV
ds
exhibits amorelimited dependence. Thegureprovides areminder
that even though BV
gd
is the normally quoted breakdown voltage measurement, in
reality thedeviceoperates inathree-terminal conditionandfor apower amplier the
drain-sourcebreakdownvoltage, BV
ds
cannotbeneglected. Fortunately, inpractice, com-
mercially availabledevicepower processes areappropriately designedandthequoted
BV
gd
dataisgenerallyagoodindicator of thebreakdowncapabilityinapower amplier
conguration.
Optimizationof therecessgaveasignicantstepforwardinincreasingthebreakdown
voltagebut itsabilitytospreadtheeldislimited. Toimprovefurther agreater degree
of eldspreadingisrequired. Someattemptsweremadetoemployreducedsurfaceeld
p-layersasusedtogreat effect insiliconLDMOS[69, 70], but most focushasbeenon
theuseof eld-plateelectrodeslocatedinthegate-drainrecessarea[68].
Field-plates: As discussed above, thechargetrapped in deep-levels on thesurface
of thegaterecess provides anaturally occurringassistanceintheeldspreading. The
useof eld-plates makes it possibleto engineer amoresubstantial effect andthis has
been an areaof signicant attention for higher voltageGaAs devices. In this context
a eld-plate is an extra electrode positioned on an insulating layer in the high-eld
gate-drain recess region. Its function is to provide a controlled potential that acts to
suppressthepeakeldatthegateedge. Thesimplestconstructionisthegate-connected
2.3 Device design 67
eld-plate investigated by a number of groups [7175]. As the normal shape for a
power FET gate is a T-shape (as discussed in Section 2.3.3), the simplest formof
eld-plateconstruction is merely an extension of thetop of normal T-shaped gatein
thedirection of thedrain to formaso-called I-gate(gamma-gate). Theeld-plate
approach can be very effective in increasing the breakdown eld, and research work
achieved device operation at and beyond a drain bias voltageof 28 V. Unfortunately
however, theimpactonthedevicegainissignicant[76]. Boththegate-sourceandgate-
draincapacitancesarecompromisedmakingthetechniqueunattractivefor frequencies
aboveL band. A morerecent development has been thesource-connected eld-plate
[77]. This conguration requires a more complex manufacturing process but has the
great benet of shielding the gate-drain coupling thereby reducing C
gd
and actually
improvingGmax. Theinputcapacitanceisstill signicantlyaffectedandthedevicef
T
is
consequently compromised, however for applications suchas cellular communications
for which the technology was targeted then this additional input capacitance can be
accounted for in theinput matching circuit. Signicant effort was deployed on eld-
platetechnologyfor GaAsdevicesandwithnotablesuccess. However, theeffortswere
largelyovertakenbywidebandgaptechnologysuchasGaNwhichhasnowbecomethe
preferredtechnologyfor high-voltageRF FET devices.
2.3.3 Power cell design
Gatewidth: Power FET cellsinvariablyrequireasmuchgateperipheryaspossibleand
a key factor which inhibits increasing the width
2
of the gate nger is the impact of
thecumulativeloss and delay of thegatesignal as it travels along thegateelectrode
[7880]. ThegateelectrodeisdepictedinFigure2.17awhichshowsadiscretizedmodel
of a loaded transmission line. The gate metal is modeled by the incremental series
resistanceand inductanceelements dR
g
and dL
g
with theassociated gatecapacitance
elementsdC
g
. Thegatevoltagewaveformappliedtothegatengerpropagatesalongthe
structureandisprogressivelyattenuatedasittravelstherebyreducingtheoverall device
gain. Inadditionthenitetimeto traversethestructurefurther degrades thesignal by
introducing a progressive phase delay that adds to the overall signal degradation. In
order to improvematters attention must bepaid to thegatecross-section as theshort
gatelengthrequiredfor fast transit alongthechannel lengthwouldotherwiseresult in
areducedcross-sectional areathereby providinghighseries resistanceandinductance
alongthenger width. ThesolutionwidelyemployedasshowninFigure2.15istoform
aT-shapedgatetoimprovethepropagationalongthegatemetal whilestill maintaining
ashort gatecontact lengthfor goodgainperformance.
Power cell manifold issues: Having optimized the unit nger the next task is to
designanassemblyof unit ngerssuitablycombinedsoastoachieveanoptimal power
performance that has scaled well with respect to the innate performance of the unit
nger [7981]. Figure2.17(b) illustrates theprinciplefor anexamplenetwork of four
2
By convention, thewidth of agateis thelong dimension perpendicular to thechannel direction and the
lengthof agateisthedimensioninthedirectionof channel current ow.
68 GaAs FETs physics, design, and models
dC
g
dR
g
dL
g
dC
g
dR
g
dL
g
dC
g
dR
g
dL
g
Gate
Terminal
(a)
Gate
Drain
(b)
Figure 2.17 Power FET cell; (a) gatenger equivalent circuit; (b) gatemanifoldwithfour ngers.
ngers combined to makeamodest multinger cell and shows thengers connected
byanassemblyof short transmissionlines. Asngersareaddedthentheoverall device
gainisfurther impairedduetothesuccessivephasedelaycontributionsexperiencedby
theadditional ngers. At rst thought it might beimaginedthat this phasedifference
couldbecorrectedbytheuseof analternativelayout whichcollectedthedraincurrents
withcompensatingdelaysintheoutput circuit. However, thisneglectstheimpact of the
high capacitiveloading on theinput network by thegatecapacitanceresulting in this
network beingrelatively slowcomparedtotheoutput network. Thehighimpedanceof
thedrain sideof thedevicemeans that theoutput current contributions arecollected
withrelativelysmall phasedifferencescomparedtothecumulativephasedifferencesin
theinput that buildupfromtheinternger andalong-nger delays. Another approach
to maintainthegainwouldbeto minimizethengernger phasedelay by designing
thedevicewithngers as closetogether as possible. Unfortunately theheat generated
in power FETs is usually substantial and so thedesign freedomhereis usually quite
limited in order to maintain an acceptable channel temperature required for reliable
deviceoperation.
Common-leadinductance: Asthegateperipheryisincreasedtoachievehigher cur-
rent capabilitythentheimportanceof theinductanceof thesourceconnectionbecomes
2.3 Device design 69
(a) (b) (c)
G G G
D D
D
Figure 2.18 GaAsFET power-cell layout variants; (a) gate-sidesourceviasfor highpacking
density; (b) source-bridgefor reducedinductanceof smaller cells; (c) individuallyviadsource
stripesfor highest frequencyperformance.
increasingly signicant. Thenegativefeedback generatedby thecommon-leadinduc-
tancecanbeasignicant factor reducingthegainof thepower cell andcloseattention
tominimizingits valueis oftenrequired. A very commontopology for power FETs is
showninFigure2.18awhichprovidestwoviasper cell. Theviasarelocatedtotheside
of the device and connection to the source ngers is made by an air-bridge over the
gatemanifold. This layout is very effectivefor power cells as it allows multiplecells
tobeefcientlystackedinarowfor ahighdensityof ngers. Thedisadvantageof this
congurationisthatitresultsinarelativelylongpathfromtheviatoeachsourcenger.
Higher gaincanbeachievedwiththesource-stitched layout of Figure2.18b. Herethe
vias arelocated adjacent to therst and last unit ngers and connected to thesource
stripesbyalowinductancebridgedfeed. Theimprovementisparticularlysignicantfor
amodest numbersof gatestripes, however thevialocationdoesnot makeefcient use
of dieareafor arraysof manyngers. Figure2.18cmakesuseof recentimprovementsin
viatechnology andtheabilitytofabricatenarrowwidthslottedvias. Narrowviawidth
allowssourcengerstohavedirectlyattachedgroundviasandtheslot shapeallowsthe
amount of viawall presentedtothedevicesourceconnectiontobemaximizedkeeping
thesourceinductanceper nger toaminimum. Ingeneral, thestylefor Figure2.18ais
most efcient onsemiconductor areabut styles (b) and(c) havegainbenets that can
beattractivefor higher frequencies.
Many of the factors in the design of the power-cell are amenable to mathematical
analysisandmodeling[82]. However, thepragmaticandmost accurateapproachtothe
determinationof thescalingbehavior ismerely todesignamask set of devicevariants
that coverstherequiredset of layout styles, gatewidths, number of ngersper cell and
gatespacings, andthenfabricatethedevicesandcharacterizethem. Theresultsof such
anexercisefor a0.5mgatepower FET processareillustratedinFigures2.19aandb.
Figure2.19ashowsthef
T
of thepower cell astheunit gatewidthisvariedfor arange
of gatesper cell. Figure2.19bshowsthecorrespondingcurvesfor f
max
. Ingeneral, the
performancereductionwithunit gatewidthis drivenby thecombinationof increased
gatenger resistanceandincreasedviainductance/mmof gateperiphery. Thereduction
withnumber of gatesisdominatedbytheviainductance/mmof gateperiphery.
70 GaAs FETs physics, design, and models
500 400 300 200 100
0
5
10
15
20
25
Unit gate width (micron)
f
T

(
G
H
z
)
8 gates
10 gates
12 gates
14 gates
(a)
500 400 300 200 100
0
10
20
30
40
50
Unit gate width (micron)
f
m
a
x

(
G
H
z
)
8 gates
10 gates
12 gates
14 gates
(b)
Figure 2.19 GaAsFET power-cell performance; (a) f
T
asafunctionof unit gatewidthandnumber
of gates; (b) f
max
asafunctionof unit gatewidthandnumber of gates.
2.3 Device design 71
2.3.4 Power cell combination
Power-cells are required to be combined to forma composite high-power device. Of
course, combining has to bedonein amanner that takes into consideration thesame
gain degradation issues that arose in the design of the power cell. Furthermore, the
approachhastocontendwiththeissuethat theinput impedanceof thecell islikely to
bechallenginglysmall.
Thesimplestapproach, commonlydoneformodestfrequenciesandimpedancelevels,
istocombinetherequirednumberof cells, provideeachonewithappropriatesetsof gate
anddrainbondpads, andleavetheend-user freetocombineinthecircuit asrequired.
Theindividual cells canhavecommongateanddrainbus bars, or bewholly separate
cells depending on thesizeof thecell and theapproach used to achievesatisfactory
stability. Someexamples of thevariety of power devicelayouts used in GaAs device
technology aregiveninFigure2.20. Thedevices shownrangefrom200mmdiscrete
devicesabletoprovideoutput powersof 100Wat 2GHz tomm-wavepower cellswith
apower capabilityof 0.5W.
Thediecanbesuppliedeither packagedor asbaredie. Thelatter approachprovides
thehighest performanceas it avoids theintroductionof signicant packageparasitics
inasensitivepart of thecircuit. However, theassemblycostsarehigher andthecircuit
modulehastoprovideahigher degreeof environmental protection. Thecircuitdesigner
will facethetaskof stabilizing, matchingandcombiningthecellstoachievetherequired
power amplier performance. At thislevel thedevicecombinationcannot betreatedas
a lumped problemand is typically done with a corporate combing approach using
a distributed network [83, 84]. One to three levels of corporate combining can be
consideredwhichcombine2, 4, or8die, respectively. Unfortunatelythelossesassociated
witheachlevel of thecombinationbuildupandsothebenet diminishes. Generallyup
totwolevelsof combinationareeffectivebutgoingbeyondthreelevelsisunlikelytobe
worthwhile.
In order to achieve optimal performance froma packaged power device then it is
commontoperformat least someof theimpedancematchingandcombiningfunctions
inboard of thepackageparasitics. By includingmatchingcircuitry at thedeviceter-
minalstheimpact of thepackageisincurredat alesssensitivepart of thecircuit. This
approach is very common for high-power devices [8588], so much so that thename
internallymatchedFET (orIMFET) hasemergedasalmostadevicetypeinitsownright.
It is normal in IMFET products to combinethefunctions of prematching with power
combiningtechniquesinorder tocombinethepower of multipledevices. Anillustration
of the typical circuit topology used to achieve this is shown in Figure 2.21. Another
aspectthatcanmakelargepower diedifculttodeal withistheir propensitytooscillate.
Their largeperipherygiveshugelow-frequencygainwhichmustbeaccomodated. Even
moreproblematicistheriskof odd-modeoscillationwhichcanariseduetoloopsinthe
combiner networks. Internallymatchingprovidestheopportunitytosubstantiallyallevi-
atethisproblemfor thecustomer byincludingsuitableinternal stabilizationtechniques
withinthepackage[89]. A further optionistoprovideinternal control of theharmonic
terminatingimpedancesasrequiredfor high-efciencyamplier modes[90, 91].
72 GaAs FETs physics, design, and models
(g)
(a) (b) (c) (d)
(e)
(f)
Figure 2.20 Power FET photos: (a) 200mmmulticell L-bandpower FET; (b) 60mmpower
L-bandFET; (c) C-bandpower FET; (d) power combinationinX-bandpower MMIC;
(e) conventional power cell evaluationstructure(BCB coatedfor mechanical handling
protection); (f) sourcebridgepower cell evaluationstructure; (g) electronmicroscopeimage
of power cell (evaporatedsecondmetal).
2.3.5 Thermal design
Thermal designisacritical partof apowerFETdesignprocess. Thejunctiontemperature
mustbemaintainedwithinoperational limitsinordertoensurereliableoperation. Ohmic
contacts to GaAs areobserved to degradeat elevated temperatures, but normally the
dominant degradation mechanismis gate-sinking. Here the gate metal diffuses into
thesemiconductor thereby movingtheeffectivelocationof theSchottky junctionand
so reducingthedevicecurrent [92]. A typical requirement is to maintainthejunction
temperaturebelow 150

C in order to achieveapredicted operating lifeof 1 million


hours. Gatesinkingisdiscussedingreater detail inSection10.7.1.
Adifcultyencounteredinthisendeavoristhelevel of uncertaintyinthedetermination
of thechannel temperature. Theavailablemethodsall havesignicant potential sources
of error. Commonly availablemeasurement methods areinfra-redimaging, useof the
2.3 Device design 73
D
G
Figure 2.21 Corporatecombiningandprematchingcircuit topologyusedinIMFETs.
liquidcrystal transitiontemperature, or useof thegatejunctionasathermometer [93
99]. Infra-red imaging is relatively convenient for surface temperature measurement,
but FETs present difculties as thegate-drain areathat needs to beresolved is small
withrespect totheinfraredwavelength. Theliquidcrystal approachislimitedinthat it
canonlyindicatewhenthetransitiontemperaturethresholdiscrossedrather returninga
valuefor thepeak temperaturefor agivenoperatingcondition. Theelectrical approach
inevitably returns ameasureof theaveragetemperatureacross thedevicerather than
thepeak temperatureand, for thetraditional switchedapproachof reference[98], error
is introduced by the delay involved in switching fromthe active operating mode to
passive sensing mode. Newer measurement techniques being developed are Raman
spectroscopy [100] and scanning thermal microscopy [101]. A recent advance to the
electrical approachhas alsobeenpublishedthat uses thegatejunctionstatedirectly in
anadmirably simplemanner obviatingtheneedtoswitchthegateintoasensingmode
[99]. Inthis latter approachachangeto thebase-platetemperatureis compensatedby
anadjustmenttothedrainvoltageinorder toreturnthegatejunctionthermometer toits
original conditionbeforethebasetemperaturewasadjusted. Fromthismeasurement a
valuefor themeanthermal resistancecanbeobtained.
Analternativeapproach is to usethermal simulation. Thedetaileddevicestructure
andthethermal propertiesof thematerialsusedarewell characterizedand3Dthermal
simulation tools are comfortably able to model structures to the required degree of
problemcomplexity[102104].
Therearealsonumerousapproximatemethodsincommonusage. Typical techniques
are2Danalyticsolutionsorbasicnumerical methodslimitedtolinearthermal conductiv-
ities. Suchapproachesshouldbetreatedwithsomecaution[102] astheapproximations
involvedfrequently donot apply toGaAsFET devices, andinthecaseof power FETs
thethermal operatingwindowavailablecanprovidesignicant designconstraintswith
minimal margin for error. Table 2.2 shows the results of a series of calculations of
thermal resistancefor amicrowavepower FET that illustratesthevariationinpredicted
values for different calculationmethods andfor different levels of physical detail that
areincluded.
Itisalsoimportanttorealizethatthethereisthepotential forinaccuracywitheventhe
mostcomprehensivesimulationtool. For example, thereremainsomeunknownssuchas
thecontributionsof thermal interfaces[100, 104], andusuallysomeuncertaintyover the
preciseconstructionof thethermal problem. It isclear thenthat whatever theapproach
74 GaAs FETs physics, design, and models
Table 2.2 Comparison of peak thermal resistance calculations for a 4 120 m
GaAs FET cell with a junction temperature T
j
of 150

C on 100 m substrates
mounted with 15 m epoxy (the linear analytic cases use an empirical
approximation to include the contribution of the epoxy)
Calculationmethod
RthC/W
(T
j
150

C)
2Dlinear analytic[107] 240
2Dlinear analyticwithend-effect included 206
3Dlinear semianalytic(TXYZ) [107] 184
3Dlinear nitedifference 208
3Dnonlinear nitedifference 237
3Dnonlinear nitedifferencewithsurfacemetallization 221
3Dnonlinear semianalytic[105] 226
3Dnonlinear nitedifferencewithsurfacemetallizationand
platedvias
213
Table 2.3 Simulated thermal resistances (

C/W) for central nger (R


C
) and outer
nger (R
O
) compared against measured values (100

C liquid crystal transition
temperature) for packaged RFMD discrete FET devices [105]
Devicetype R
C
R
O
(R
C
R
O
),2 Measured
FPD1500SOT89 75 54 64.5 60
FPD1500DFN 70 51 60.5 60
FPD2250SOT89 53 37 45 48
FPD2250DFN 50 34 42 40
FPD3000SOT89 41 28 34.5 35
used thereis scopefor signicant error. A good approach then is to support thermal
simulations withexperimental evidenceof cases that canbeaccurately measured. An
exampleof this is giveninTable2.3wherethetemperaturepredictedby simulationis
comparedto100

Cliquidcrystal transitiontemperatureforaseriesof packageddiscrete


FETdevices[105]. A further, particularlydetailedcomparisonisgiveninreference[108]
whereaspecial devicewasconstructedwithonengerof apowercell arrayconnectedas
apassivethermometer. A sensibleandpragmaticwaytomitigateanyresidual systematic
error istoemploy thesametechniqueinthethermal designof product aswasusedby
thefabricationfacilityinthegenerationof thedevicelifedata.
2.4 Device fabrication
2.4.1 Overview
InthissectionGaAsdevicefabricationtechniquesaredescribedfromthestartingpointof
themanufactureof blankwaferswithsuitableactivelayersonthesurfaceof aninsulating
GaAs substrate. For aMESFET asimpledoping schemecomprising achannel layer
2.4 Device fabrication 75
accessedby ahighly dopedupper contact layer is all that is required. MESFET layers
canbecreatedeither by usingion-implantationof dopant ionsintothesubstrate, or by
epitaxial growthof layersontothesubstrate. For morecomplexdevicessuchasHFETs
andHEMTsthenepitaxial growthisrequired. Theselayersareasuitablecombinationof
GaAsandAlGaAs/InGaAspartner layersdopedasnecessary toconstruct therequired
devices. Thegrownwafersarethentransferredintoawaferprocessingfacilitywherethe
semiconductor layersarepatterned, implanted, etched, metallizedandcoatedasrequired
tofashionthedesireddevicesandcircuits.
Inthefollowingdiscussionthefocuswill beonepitaxiallygrownlayers, nowthemore
common approach for GaAs-based FET devicemanufacture. In commercial devices,
epitaxial layers aregrownby molecular beamepitaxy (MBE) or metal organic chem-
ical vapour deposition (MOCVD). In MBE, asubstrateis heated under high vacuum
and beams of theappropriateproportions of theconstituent atoms aredirected at the
substrates, condensingonthesurfaceto formvery high-quality layers of therequired
compounds. Thelayerscanbedepositedwithvery highprecisionwithlayer thickness
control downtoafewatomiclayers. After growththelayersareinspectedfor accuracy,
typically assessingthesheet chargeandlayer thicknesses, themolecular compositions
of theAlGaAs/InGaAs ternary layers and thequality of thesurface. In MOCVD the
constituent atomsaredeliveredtothesurfaceof aheatedsubstratebymeansof suitable
precursor organic gas molecules that chemically decomposeat theheatedsurfaceand
depositthedesiredatomsatthesurfacelayerbylayer. Typical sourcegasesaretrimethyl-
gallium, trimethylaluminum, trimethylindiumand arsinewith ahydrogen carrier gas.
Thecrystal composition is controlled by adjusting therelativeproportions of thegas
owover thesurface.
2.4.2 Key process steps
Uponreceipt of theepi-wafers deviceprocessingcancommence. To formthedevices
a set of process modules are developed that performfunctions such as creation of
ohmiccontacts, recessetching, Schottkygatedeposition, metal interconnectdeposition,
insulatinglmdepositionandetching,substratethinning,andviaetching.Thesemodules
employasetof processstepsthatareoptimizedtoworkinconcertandarecharacterized
andmaintainedto meet thenecessary manufacturingtolerances. Themenuof process
stepsthat aretypicallyemployedaredescribedinthefollowingparagraphs[109, 110].
Lithography: All of thewafer processingoperationsneedtobeselectivelyappliedin
controlledareas. This is achievedby lithography most commonly photolithography.
Hereasuitablephotosensitiveresistlmispatternedwithanimagethathaspreviously
beencreatedonaphotographicglassplatecalledamask. Theresistlmisspunontothe
wafer, exposedwiththerequiredimageandthenchemically developed. For so-called
positive resist theunexposedarearemainsintact therebyshieldingthecoveredregion
fromasubsequent etchingor metal depositionprocessstep. By thismeansthevarious
device features may be patterned as required. Alternatively, negative resist can be
used. This behaves intheoppositesenseso that theexposedarearemains after being
developed.
76 GaAs FETs physics, design, and models
Three types of lithography are in common usage for GaAs wafer processing. The
simplestiscontactprinting. Here, after thephotoresisthasbeenapplied, thetechniqueis
toalignthemasktoexistingfeaturespreviouslyfabricated, clampthemasktothewafer
andthenexposetheassemblytolight. Thisisalow-costapproachcapableof featuresizes
down to 0.5 mand is quitesuitablefor small-volumemanufacture. It does however
sufferfrommaskwearandregistrationaccuracyissuesacrossthewafer. Theresolutionis
fundamentallylimitedbydiffractionof theincidentlightsourceandforhigherresolution
otherapproachesarenecessary. ForGaAsFETsthehighestresolutionrequirementisfor
thegatemetal whichisusuallyof theorderof 0.5morless. Traditionally, electron-beam
lithographyhasbeenthesolutionadoptedfornegeometrygatedenitionbelow0.3m.
Herethegatepattern is created by steering an energetic electron beamto thedesired
areasandtherebyexposingasuitableresistmaterial inthoseregions. Thiseliminatesthe
optical diffractionproblem(theelectrondeBrogliewavelengthforkV electronsisbelow
0.1nm), andgivesaresolutionlimitedbythescatteringintheresist andbackscattering
fromthe wafer. For research devices E-beamgate lengths have been driven down to
0.05mor less. Incommercial devices E-beamgates aretypically availabledownto
0.15m. Thechief disadvantagesof E-beamlithographyarecomplexityandthroughput.
Theserial natureof thewritingprocessmeanstheexposuretimesarelengthy.
Thethird lithographic techniquein common usageis theoptical stepper. Herethe
patternis imagedonaportionof thewafer withrefractiveoptics. Themask is usually
enlarged, typically 5 times greater than the nal image and the pattern, or shot, is
stepped and repeated to cover the wafer. Shot sizes are typically up to the order of
2020mm
2
. Theuseof optical steppers is thedominant approach for high-volume,
high-yield processing. The precision of the stepper optics is extremely stringent and
requiresassociatedcontrol of vibrationandtemperature, compensationfor air pressure
variation coupled with precise alignment tools, stage-stepping control, and complex
focusingsystemscapableof adaptingtolensaberration.
Liftoff: Inthepatterningof metalsonGaAsdevicesmuchuseismadeof aprocedure
called liftoff. This is a different approach to that used in silicon processes where
thealuminumtracks areformedby depositingthemetal lm, applyingandpatterning
thephotoresist andthenetchingback wherethemetal is not required. Thesituationis
different for GaAs devices whichmakeuseof goldtracks andcompositemetal stacks
whicharenot readily etched. Theapproachfor thesemetalsistoapply andpatternthe
resist beforethemetal is depositedandthereforeto usetheresist to control wherethe
metal isdeposited. Whentheresist isdissolved, theunwantedmetal that wasdeposited
ontheresist lmisliftedoff andremoved. Tofacilitatethisprocesstheedgeproleof
theresistaperturesisfashionedbyvariousmeanssoastohaveanoverhangor lipand
themetal isevaporatedwithanear normal incidencetothewafer sothat acleanbreak
inthemetal lmiscreatedbytheshadowingeffect of theoverhang.
Deviceisolation: Waferswithepitaxially grownactivedevicelayersrequirethat the
individual devices onthewafer beisolatedfromeachother. This is either doneusing
mesa etching or ion implantation. With mesa etching, islands of active material are
retainedandtheregionsof interconnectingepi-layersareremovedbyanetchingprocess.
Mesaetchingis aneffectiveapproachthat avoids theneedfor expensiveimplantation
2.4 Device fabrication 77
equipment. However, it introduces undesirablesurfacerelief andintroduces increased
gateleakageat thepoint wherethegatemetal striperises upthesideof themesaand
crossestheactivelayer. Anysurfacerelief isunwantedfromaprocessingperspectiveas
it inhibits uniformphoto-resist coveragefor subsequent process stages, andsteps tend
to compromisetheintegrity of any metal tracks that haveto go over them. With ion
implantationtheactivedeviceregionsareprotectedwiththickphoto-resistandtheareas
tobeisolatedaredeliberatelydamagedbyanenergeticbeamof ionstherebyrendering
theexposedregionstohavehighresistivity.
Forion-implantedMESFETsthendeviceisolationmaynotbenecessaryasthedoping
for thedeviceactiveareascanbedoneselectivelyintheregionswhereit isrequired. In
thiscaseimplantationisservingtheoppositepurposetotheisolationcaseabove. Silicon
donor ionsareimplantedwithenergiestoachievetherequireddepthprole. Thewafer
is then heated so that thedamagedoneto thecrystal structureduring implantation is
annealedoutandthedopantionsincorporatedintothecrystal latticeinorder toactivate
themasdonors. Alternatively, ablanketdopingimplantoverthewholewafercanbeused
andtheactiveareasarethenisolatedeither withanisolationimplant or mesaetchingas
for epi-wafers.
Ohmiccontacts: Thefunctionof anohmiccontactistomakealow-resistanceelectri-
cal connectionfromthemetal trackstothesemiconductor activelayers. Ohmiccontacts
to GaAs aremadeby theuseof anickel, gold and germaniummetal stack deposited
onto aheavily dopedGaAs contact layer andannealedat approximately 400

C. The
essential purposeistoreducethethicknessandeffectiveheight of theSchottkybarrier
thatformsatmetal-semiconductorjunctionstosuchanextentthatthebehaviorisohmic.
Theexact mechanismremainssomewhat elusivebut involvesthegenerationof ahighly
doped surface layer of germaniumsubstituting for galliumin the crystal lattice. The
nickel component rst acts as awetting agent for theGeAu but it is also believed to
enhancethediffusionof germaniumintoGaAs[109].
Gate Etch: Prior to the gate formation a recess is etched into the semiconductor
material to removethehighly-doped contact material abovethechannel. Historically,
thiswasanetch-to-current activity wheretheetchratewouldbecarefully calibrated
andatimedetchwouldbeusedtotargetthedesiredrecessdepth. Subsequentverication
by testing the drain current of the etched structure would result in the wafer being
returned for a top-up etch if the measured current was too high. Such crudity was
eliminatedwiththeadvent of etch-stops wheretheetchchemistriesarechosensoas
to beselectiveto thevarious heterojunctionlayers. Theheterojunctions may therefore
beusedtostoptheetchprocessat precisedepthswithhighaccuracyand, crucially, the
accuracyismaintainedover thewholewafer. Thisadvancewaskeytothedevelopment
of high-yieldmanufactureandtheuseof largeareawafers. For example, reference[111]
demonstrates that theuseof anAlGaAs etch-stoplayer for aGaAs MESFET reduced
theprocessstandarddeviationfor Idssfrom25%to5%. Layersthat arealreadypresent
in theepi-stack for their electrical function may beemployed if appropriate[111] or
specic etch-stop layers may beadded to theepitaxy design that aretherepurely for
control of theetchprocess. Alternativededicatedetch-stoplayers areAlAs [112] and
InGaP [113].
78 GaAs FETs physics, design, and models
Therearetwo mainapproaches to GaAs etching: wet or dry. Wet etchant solu-
tions consist of an oxidizing agent to oxidizethesurfaceand asecond component to
dissolvetheoxide. CommonlyusedetchesabletoprovideetchselectivitywithAlGaAs
aredilutehydrogenperoxide/ammonia, hydrogenperoxide/citricacidandhydrogenper-
oxide/succinic acid [111, 114, 115]. Dry etching uses plasmachemistries involving a
combinationof chlorineanduorineradicalsinconcertwithenergeticionbombardment
[115, 116, 117]. Thechlorineproduces theetchingreactionandtheuorineproduces
an etch-stop reaction with aluminumdueto theformation of anonvolatilealuminum
uorideprotectivelayer onthesurface. Bothapproaches haverelativeadvantages and
disadvantages. A wet chemical etchprovidesalow-damagesurfaceandfor thisreason
isoftenpreferred. However, wetetchingisisotropicandsohaslessdimensional control.
Dryetchinghastheadvantageof gooddirectionalitygivingahighdegreeof dimensional
control, but thiscancomeatacostof somesurfacedamagefromtheionbombardment.
However, it is foundto bepossibleto tailor thedry etchrecipeso as to minimizethe
ionenergy towards theendof theetchprocess andsuitably control thedegreeof etch
damage[116]. ThereforebothwetanddryetchescanbeusedforGaAsFET gateetching
andbothareinuseincommercial processes.
Schottkygateelectrode: ThegatestructuremustmakeagoodSchottkybarriercontact
tothesemiconductor, onethatisstableover thelifeof thedeviceandthatprovidesalow
resistancealongthegatenger. TheSchottkybarrierheightislargelypinnedbysurface-
statestoabout0.7eV ratherthancontrolledbytherelationshipof thesemiconductorand
gatemetal work-functionsasnormallydescribedinintroductorytextbooks. Thereforein
principlemanymetalswill provideadequateSchottkybarriers. Inreality, considerations
suchasmetal adhesionandthermal stabilityprovidethepractical selectioncriteria. The
result isthat thereisachoiceof twoapproachestotheformationof thegateelectrode:
anevaporatedgold-basedgateor asputteredrefractorymetal approach[118, 119]. The
mostcommontechniqueistouseanevaporatedgatetypicallyusingatitanium-platinum-
gold (Ti-Pt-Au) metal stack. Herethetitaniumlayer ensures good adhesion, thegold
provideslowfeedresistanceandtheinterveningplatinumlayeractsasadiffusionbarrier
keepingthegoldsafelyfromdiffusingintothegatejunction. Thesecondapproachisthe
useof awhollyrefractorymetal approach, generallyusingtungsten-silicideor titanium
tungsten. TheTi-Pt-Auapproachis asimpler technology however refractory gates are
morethermally stable. This is advantageous not just for deviceoperationbut also for
devicefabrication. Thethermal resilienceof arefractorygateallowsthegatemetal tobe
depositedbeforetheohmic contact metal thereby makingthecritical gatelithography
mucheasier andallowingtheohmicmetal tobeself-alignedtothegate[120]. Withthe
conventional Ti-Pt-Austack theohmic contact anneal stepmust becompletedprior to
thegatemetal deposition.
For power FETsitisgenerallythecasethatinorder toobtainhighpower itisessential
to beableto operatewith as wideadevicenger as possible. A limiting factor here
is thegatemetal resistanceand so power FETs usually usesomeformof T-shaped
gate where the top of the gate metal is widened to reduce the resistance along the
stripe. Inthecaseof E-beamgatestheT isachievedby amultilevel resist approach,
typicallyemployingPMMA (polymethyl methacrylate) thermoplasticresistmaterialsin
2.4 Device fabrication 79
atypically bi- or tri-layer schemeto produceamushroom-shaped prolein theresist
comprisinganarrowstemandawider T or mushroomtop. This is typically achieved
by usinglayers of resist that differ intheir sensitivity to thedeveloper solutionandto
usethemoresensitivematerial for thedenitionof theT-top. A nal thinlayer maybe
employedto createalipfor improvedliftoff. Followingthecreationof themushroom
cross-sectionintheresist thegatemetal stack isdepositedover thewafer andtheresist
developedaway liftingoff theunwantedmetal andleavingthegatestructures behind.
Anexampleof adevelopedPMMA resist cross-sectionthat providesagoodillustration
of theapproachisgiveninreference[121]. Theresult of themultilayer approachisto
createthedesiredT shapewithminimizedgateresistanceandcapacitance.
Theuseof stepper-based lithography introduces adifferent method of forming the
gate. Heretheapproachis to formtheT-gatewiththeT-topsupportedonadielectric
layer [122124]. The gate-support layer is deposited, a T-stemis dened and etched
in this dielectric layer and theT-top is dened in photo-resist on thetop. Gatemetal
is then evaporated to formagateof therequired shapethereby giving theresistance
benetrequired. ThedrawbackrelativetotheE-beamgateprocessdescribedpreviously
is that the gate capacitance is increased slightly due to a higher degree of dielectric
loading associated with thedielectric layer supporting theT and typically, alarger
T-topoverlaparea.
Dielectriclayers: A number of dielectriclayersarerequiredfor avarietyof purposes
including protective coatings, supporting metal track cross-overs, and for the forma-
tionof integratedcapacitors. A commonly usedmaterial for GaAsprocessesissilicon
nitridedepositedusingplasma-enhancedchemical vapour deposition(PE-CVD). This
technique is compatible with the modest thermal constraints of GaAs device manu-
facture. Inthis approachsiliconnitridelms aredepositedduringaplasma-enhanced
reactionof silane, ammoniaandnitrogengases. Careful processoptimizationisrequired
for the successful deposition of device lms, with particular attention to lmstress
andplasma-induceddamage. Filmstressisasignicant factor for GaAsdevicesasthe
material ispiezo-electric[125]. Theplasmaistypicallygeneratedwitha13.56MHzRF
powersourceandtheresultinglmfromthisarrangementisstressedandtypicallytensile
innature. Control of thestressfromtensiletocompressivecanbeachievedbyanumber
of methodsincludingadjustment of gascompositionor theadditionof acomponent of
lower frequency power, typically 12MHz [125, 126]. Thelatter approachintroduces
ahighenergyion-bombardment of thegrowingsiliconnitridelmandthisresultsina
controllablechangetotheresultantstressstate. Althougheffectiveincontrollingthelm
stress, ionbombardment employedinthevicinity of aGaAs surfaceintroduces unac-
ceptabledegradationof thesurfacetherebyintroducingatradeoff of lm-stressagainst
surfacedegradation. However, devicesareusuallyfabricatedwithanumberof lmlayers
andagooddegreeof stresscontrol canbeachievedbydesigningthestackof composite
layersappropriatelysothat theoverall lmstressisacceptableandthesurfacedamage
arisingfromthenear-surfacelayersisminimal. Inorder topatternasiliconnitridelm
after deposition it must be etched and either dry or wet etching approaches may be
used. Dryetchingispreferredduetoitssuperior dimensional control. Itistypicallyper-
formedusingasulphur hexauoride(SF
6
) plasmadilutedinheliuminorder toachievea
80 GaAs FETs physics, design, and models
controllableetchrate. Wet etchingof siliconnitrideisuncommonbut canbeperformed
usingabufferedHydrouoricacidetch.
I nterconnectmetals: Usually, twolevelsof interconnectmetal arerequiredinorderto
makeconnectionsbetweendevicesandother componentsandexternal bond-pads. The
rst level metal is generally evaporatedgoldandis generally depositedto athickness
of 12m. A second level metal is needed so that onetrack may cross-over another,
for capacitor formation, and to providethicker tracks in order to carry high currents.
Second metal tracks areusually electro-plated gold onto asputtered seed layer. More
recently somemanufacturers arenowemploying evaporated secondmetal rather than
usingelectroplating[127]. Thiscanhavecost advantagesparticularlyfor highvolumes
asthehighlyuniformnishof evaporatedmetal ismoreeasilycompatiblewithautomatic
visual inspectiontools.
Backsideprocessing: GaAsRF technologyisusuallyof themicrostripvarietyrequir-
ing a ground-plane on the backside of the wafer. Connection to the ground plane is
madebythrough-wafer vias. Processingof theundersideof thewafer thereforeconsists
of thinning, viaetchingandmetal deposition. Thecompletedfront surfaceisprotected
and then temporarily adhered to a supporting carrier with a wax or photoresist. The
wafer isthengrounddowntothedesiredthickness. Forpower devicesthenal thickness
requirement is normally governed by thethermal design and is typically in therange
50120m. Through-wafer viaetchingisperformedusingdirectional dryetching. The
backsideisthenmetallizedusingelectroplatedgoldontoasputteredseedlayer.
ProcessMonitoring: Inordertoevaluatetheperformanceof eachwaferandtoprovide
dataforstatistical control of theprocess, anumberof standardizedtestcellsareincluded
oneachwafer. Thecellsarecalledprocesscontrol monitor(PCM) cellsorthecoupon.
Thenumber usedper wafer varies anddepends onthewafer size, thematurity of the
processandlocal policiesandcanvaryfromtentoahundred. ThePCM containsboth
structures to assess individual process steps andstandarddevices whichareevaluated
at variouspointsintheprocessow. Structuresareincludedtoassessthecontacts, the
efcacy of theisolation, thequality of eachof thevarious metal anddielectric layers,
and any GaAs or thin-lmresistors that might also bein theprocess. Theseand the
standarddevicecells aretypically assessedafter thegatehas beendeposited, after the
front-facehas been completed, and nally at theend of theprocess. Thedevicetests
performedwhilethedeviceisintheproductionlineconcentrateoncardinal parameters
including the pinch-off voltage, drain current for V
g
= 0 V (I
dss
), maximumdrain
current, breakdownvoltage, diodebuilt-involtageandideality, gateleakageandtheDC
transconductance. Thesearemonitoredwithaviewtoobtainingconstant feedback on
theprocess so as to keep it in control and for identifying occasional errant wafers so
that theycanbescrappedassoonaspossibletoeliminatethecostof further processing.
Uponcompletionof thewafers, astandardPCM FET structuredesignedtobesuitable
for on-wafer RF testingis usually assessedwithsomelevel of RF test. This typically
consistsof ameasurement of S-parametersat aspecicstandardbiaspoint fromwhich
anindicationof RF performanceis obtainedby extractionof f
T
, f
max
, or Gmax. Some
manufacturersalsoperformequivalent circuit extractioninorder tobeabletomonitor
keyequivalent circuit parameters.
2.4 Device fabrication 81
At theendof theproductionlineaset of themost critical parametersthat havebeen
testedarecollatedandusedinthewafer acceptancetest(WAT). For thistestadened
fractionof thePCM structureshavetobewithinthespecicationlimitsfor thewafer to
beacceptabletogoonfor visual inspectionandreleasetothecustomer.
2.4.3 Low-cost GaAs device fabrication
Therecent increaseinGaAsproductionvolumesandthecost pressuresof thecellular
handset market that havedriven that volumehaverevolutionized GaAs devicemanu-
factureandthecapabilities that canbebrought tobear. Key developments herearean
increaseof GaAswafer diameter from4
//
to6
//
andtheuseof stepper-basedlithography
withitsinherent benetsof highthroughput, uniformity andyield. Asdiscussedinthe
Schottkygateelectrodepartof Section2.4.2, dielectricallydenedgatetechniquesused
instepper-basedprocessesinevitablyhavehigher parasiticgatecapacitancethanunsup-
portedapproachesusedbye-beamprocesses. However, thereductionof performanceis
acceptablefor many applications andthebenets of lower cost andgreater uniformity
aresubstantial. Furthermore, thehigher degreeof dieencapsulation requiredinorder
toprovidethelevel of environmental protectionthat isincreasingly demandedanyway
involvesanincreasedamount of encapsulatingdielectricmaterial. Inthiscase, thefact
that dielectric-dened gate processes start with a higher degree of dielectric loading
becomeslessof anissue. Thesubstantial advantagesof 6
//
stepper-basedwafer fabrica-
tionhas thereforeledto themajor manufacturers adoptingthis approachandoffering
optically dened gatetechnologies [128130]. Theinitial useof optical steppers was
for 0.5mapplicationsandmadeuseof relativelyaffordablei-line steppers(365nm
wavelength). This technology is suitablefor devices with f
T
s of theorder of 25 GHz
andfor applicationstoXband. Morerecentlyprocesseshavebeendevelopedtobeable
to fabricatesmaller gates for higher performancedevices. Theoptions hereareto use
adeep-UV stepper or oneof anumber of gate-shrink approaches. Withadeep-UV
stepper ashorter wavelength of 248 nmis employed to directly imagegates down to
the order of 0.25 m[131]. Alternatively, or in combination, one of the gate-shrink
approaches canbeemployedtopatternthegatedimensionbelowtheresolutionof the
stepper. Techniques that havebeensuccessfully employedincludetheuseof dielectric
sidewall spacers[120], chemical shrink [132], reowedresist [133, 134] andtheuseof
phase-shiftmasktechnology[135]. Withthesetechniquesstepper-basedapproachescan
beemployedinproductionat andbelow0.15m.
2.4.4 Packaging
Packagesareusedfor easeof handingthefragiledieandfor environmental protection.
Therangeof availablepackagetypes is extensivereectingdiverserequirements that
stretchfromDCtomillimetrewave. Thepackagingsolutionsrangefromplasticmolded
structures for high-volumeapplications to hermetically sealed ceramic housings with
high-qualityintegral heatsinksfor thehighestperformanceproducts. Figure2.22shows
aselectionof packagesusedfor GaAspower devices.
82 GaAs FETs physics, design, and models
(c) (d)
(a) (b)
AS
QFN
AF
SOT89
Figure 2.22 Typical power FET packages; (a) SOT89; (b) ceramicangemount; (c) leaded
ceramicsurfacemount; (d) leadlessplasticsurfacemount (QFN).
Traditionally, the packaging route for microwave power transistors was essentially
limitedtothemetal-ceramicair-cavitypackageconsistingof analuminahousingbrazed
ontoametal angesuchastheAF packageinFigure2.22. Thisapproachprovidesgood
heat dissipationproperties, agoodRF ground, awell-controlledparasiticenvironment,
andhermeticsealingoptions. Thisapproachremainsthehighestperformancepackaging
optionbut this is achievedat asignicant cost. Not only is thepackagecost themost
expensivecomponent in apackaged power transistor part, they arealso expensiveto
assembleandtheresultingcomponents arenot amenabletohigh-volumecircuit board
manufacturingprocesses.
The high cost of conventional ceramic packaging encourages the development of
alternativetechnologies andasustainedfocus has beenonthedevelopment of plastic
packages [136]. Theuseof plastic packages introduces anumber of difculties com-
paredtoceramictechnologyincludingincreasedgroundinductance, substantial dielec-
tric loading, and thermal dissipation and expansion issues. Established over-molded
plasticpackagessuchastheSOT89styleequippedwithareasonablyheavylead-frame
areemployedfor modestpower andfrequencyapplications. Moredemandingsituations
have driven appropriate technology developments. Silicon LDMOS devices targeting
2.4 Device fabrication 83
(a)
(b)
Figure 2.23 QFN packagecross-section; (a) over-moldedpackage; (b) air-cavitypackage.
frequencies upto theorder of 2GHz havepursuedover-moldedplastic encapsulation
of devicesmountedonanintegral copper heat spreader. Suchsolutionsaresuitablefor
inexcess of 100W [137]. However, GaAs devices generally address higher frequency
andlower power applications. Surfacemount packages, suchastheASandQFNstyles
inFigure2.22aredesirablefor high-volumeassembly requirements. Leadedandlead-
less surface mount ceramic packages are well established and plastic surface mount
techniques are currently receiving much attention. Of particular note is the example
of theQFN package(QuadFlat No leads, J EDEC standardMO220[138]) of whicha
33mm12-padstyleis showninFigure2.22. This packagestandardwas originally
developedfor low-speedgeneral electronics, however its constructionis amenablefor
development for microwavefrequenciesandQFN packageshavefoundapplicationfor
arangeof microwavedevicesandMMIC circuits. StandardplasticQFNpackagessuch
asisdepictedinFigure2.23aareusedinapplicationsupto18GHz andapproximately
1W dissipation. Developments haveincludedpower variants withaheavier duty lead
frame and employing solder die attach [139, 140]. For higher frequencies the use of
alternativematerialsandconstructionsareattractiveinorder toachievelower dielectric
loading. Signicant attention has been paid to organic polymers which have supe-
rior microwave properties to plastic [141, 142]. Millimetre-wave capable approaches
have been developed using multilayer approaches with air cavities as illustrated in
Figure2.23(b) [143]. Ceramic implementations arealso pursuedfor higher frequency
applications dueto thesuperior mechanical precision of thosematerials and 40 GHz
operationhasbeendemonstrated[144].
Anactivity of signicant interest for low-cost manufacturingis theoptimal routeto
achievingacceptableenvironmental protection. Traditional ceramicpackageswereable
toprovidehighlevelsof hermeticity.Alternatively,theenvironmental protectioncouldbe
providedatthemodulelevel. However, thecontinual drivefor lower manufacturingcost
nowincreasinglyexcludessuchoptionsandrecentworkhasfocusedonthedevelopment
of hermeticlow-costpackages[143] oradequateencapsulationatthedielevel. Thelatter
objectiveis achievedby ensuringthat thenishedsemiconductor diesurvivestandard
84 GaAs FETs physics, design, and models
tests for hermeticity and are sufciently robust that the encapsulation survives the
mechanical handlingencounteredintheplastic packagingprocess. Two standardtests
herearethe85/85THBandHASTtestswhicharediscussedinmoredetail inSection
10.9.3. In the former the packaged parts must survive 1000 h at 85

C temperature,
85%humidity andtheoperatingbias. Thelatter highly acceleratedstress test aims to
replicatethesamestressinamuchshortertimeframe. ThestandardHAST conditionsof
130

C with 85%relativehumidity is achieved at approximately 18 psi overpressure.


Thestandardassumptionisthatthe1000hTHBtestisequivalentto96hof HAST based
onearlier work onsilicondevicetechnology. Thisequivalencehasbeenquestionedfor
thecaseof compoundsemiconductor devicesbyanumber of workers[145, 146] andthe
GaAs deviceindustry has foundtheroutinesatisfactory attainment of the96hHAST
requirement adifcult hurdle[147].
2.5 Models
2.5.1 Device models
Devicemodelsareemployedtosimulatedevicebehavior incircuitdesign. Theaccurate
simulation of GaAs FET power ampliers can present a number of difculties for
thepresently availablemodelingtechniques andthedegreeof success achievedvaries
considerably depending on the precise application. Available models for moderately-
sized devices (up to a few mmof gate periphery) can generally predict rst-order
parameterssatisfactorilysuchasterminal impedances, gain, powerandpowersaturation,
andgiveareasonableindicationof efciency. However, thesituationformoredemanding
requirementssuchasaccuratemodelingof verylargedevices, for thepreciseprediction
of large-signal nonlinearityandfortheimpactof sometransientphenomenasuchasself-
heatingandslow-stateeffectsondigitallymodulatedwaveformsisoftenlesssatisfactory.
2.5.2 Small-signal models
Extraction of the parameters of the equivalent circuit model of Figure 2.12 is well
established. For devices of gateperipheries up to theorder of amillimeter or so, this
model is readily extractablefromasuitableset of bias dependent S-parameters using
thedirect extraction techniqueintroduced by Dambrineet al. [148] and subsequently
further renedbynumerousauthors. Theessential techniquereliesonaset of off-state
or cold-FET biases to extract the embedding parasitic elements froma simplied
equivalent model applicableto this bias condition. Theembeddingparasitics obtained
forthissimplernetworkareassumedtobealsoappropriatefortheon-stateorhot-FET
bias condition. Theseparasitic values may thereforebeusedto de-mbed thehot-FET
data, thereby obtainingthey-parameters of theintrinsic FET equivalent circuit and, at
this point, solving for intrinsic elements is straightforward. Themodel obtained from
this process is usually acceptable for frequencies below around 10 GHz. At higher
frequenciesit isnormal tondthat theaccuracy of thereverseisolationparameter S
12
2.5 Models 85
R
s
R
i
C
gs
C
gd
C
dc
g
m
R
ds
C
ds
R
d
L
d
L
s
R
g
L
g
+
--
g
m
= g
m0
.e
j
Figure 2.24 High-frequencyGaAsFET equivalent circuit network.
becomes unacceptable. Thereasonfor thediscrepancy is duetotheinadequacy of the
equivalent circuittopology. Better tsthanthatobtainedfromdirectextractionmethods
may bereadily obtainedbut it isgenerally foundthat thisinvolvesnon-physical values
for someof theelements. Alternatively, modiedequivalent circuit topologies may be
employedwhichattempt toaddresstheadditional complexityinthefrequencyresponse
at the higher frequencies. Such factors as dipole capacitance and distributed effects
[148152] giveriseto modiedequivalent circuit models suchas that of Figure2.24.
These more complex equivalent circuit topologies dont lend themselves to a wholly
direct extractionalgorithm, however theDambrinemodel canbetakenas thestarting
point and strategies developed to deal with the additional elements in a structured
manner [153].
As mentioned above, direct extraction performs well for devices of modest size.
As thedevicesizeis increasedaboveafewmmof gateperiphery thedevicebecomes
increasinglydistributedinnature, andalsothedevicemeasurementsbecomelessreliable
duetothelowimpedancelevel thatresults. Verylowimpedancesaredifculttomeasure
accurately in a 50 O systemand the obvious solution of scaling up smaller device
measurementstoreplicateaverybigdeviceisnot straightforward. A particular issueis
that thethermal environments canbevery different [154]. However, for themost part,
atleastfor devicesthataredirectlymeasurable, thedevelopmentof small-signal models
isareliableactivity.
2.5.3 Large-signal models
Thesituationfor large-signal modelsislessstraightforward. Herethedesireistomodel
thedeviceresponsetoanarbitrarysignal. Thedifcultyof thischallengeisperhapsnot
always fully appreciated. Thenormal approachistouselarge-signal equivalent circuit
modelswhichhavebeencreatedbytransformingaset of bias-dependent linear models
into a single nonlinear one. At the heart of this approach, at least for commonplace
models, lies thequasi-static assumption whereit is assumed that theinstantaneous
86 GaAs FETs physics, design, and models
values of the equivalent circuit elements are uniquely dependent on their controlling
voltages[155]. Inother wordsthedeviceisassumedtobememory-less. Ashasalready
been discussed this actually isnt the case and both thermal effects and dispersion
effectscausethisassumptiontobeviolated. Consequently, large-signal modelsemploy
various measures in attempt to account for these effects and a range of large-signal
model formulations havebeen developed over theyears. They typically havefocused
onparticular aspects of devicebehavior andaDarwinianprocess of natural selection,
skewedbythechoicesof modelsthat thesimulator vendorshavechosentosupport has
resultedinarangeof modelscomingtothefore.
A FET model for PA applicationsshouldpossessthefollowingattributes:
1. ReplicatetheDC IVwell inorder toaccuratelyreproducethecorrect biaspoint.
2. Account for theeffectsof dispersionsothat theRF swingisaccuratelymodeled.
3. Properlyrepresent thebias-dependenceof thecapacitances.
4. Accurately reproducedifferentialsof thebiasdependent parametersaswell astheir
absolutevalues.
5. Includetheimpact of temperatureondevicecharacteristics.
6. Includetimedelaysfor high-frequencymodels.
Thecommonly availablemodelstendtohavestrengthsthat haveaddressedasubset of
theaboverequirementsandthereisnoobviousbest model. For example, theTriquint
TOMseriesof modelsintroducedaneffectiveapproachtomodel thebiasdependence
of thedrainconductance[156] whereastheAngelov/Chalmersmodel [157] isnotablein
includingthecharacteristicpeakinthetransconductancedependenceongatebiastypical
of HEMT devices. The IV characteristic is the focus of the ParkerSkellern model
[158] which has a exible functional formand well-behaved continuous derivatives.
Many models include dispersion effects with various degrees of sophistication with
the extended Angelov [159, 160] and ParkerSkellern models being comprehensive
examples. Itisnotunusual for modelstoconcentrateheavilyonthedeviceIV, however
for accurate simulation of linearity as frequencies increase then the accuracy of the
reactiveelementsisalsoimportant [161]. TheAngelov [160] andTOM3models[162]
havecomprehensivebias dependent capacitancemodels. Another development is the
(unpublished) Aurigamodel whichisafurther development of theAngelovmodel and
whichclaimsimprovedcapacitancemodelsandamodieddraincurrentequation[163].
The popular EEFET3 and EEHEMT models [164] bring together a number of these
featuresintwowidelyusedforms.
Anappreciation has grownwithtimeof theimportanceof chargeconservation for
the gate capacitance. As discussed in Section 2.2.7, the gate depletion region is a
singleentity but is accessed by all threeterminals. It is seemingly straightforward to
extend thesmall-signal model to employ two bias-dependent capacitors C
gs
(V
gs
, V
ds
)
and C
gd
(V
gs
, V
ds
) to represent the depletion reactances with independent charge or
capacitancefunctions of theremotecontrollingvoltages. However, this approachwill
generally result inanon-conservativesystemso that thetotal gatechargeis (V
gs
, V
ds
)
pathdependent. Theconsequencesof thisarethepossibility of anunintendednet gate
current [165] and, as circuit simulators are required to maintain charge-conservation
2.5 Models 87
at each node, then simulator non-convergence and spurious results can occur. Other
work hasdemonstratedthat chargeconservationisimportant for accuratepredictionof
nonlinear effects [166, 167]. Two approaches to resolvetheproblemarepossible. The
direct andconceptuallystraightforwardapproachistoconstruct themodel intermsof a
singlegatechargeentitythat isafunctionof thelocal variablesV
gs
andV
gd
. Thisisthe
approachusedinthewidelyavailableTOM3model [162]. Thechargefunctioncannotbe
directlymeasuredandmust beinferredfromthesmall-signal C
gs
andC
gd
capacitances.
The resulting model is fundamentally and unequivocally charge-conservative [167].
Alternatively, the charge may be separated into independent functions Q
gs
(V
gs
, V
ds
)
andQ
gd
(V
gs
, V
ds
). To achievechargeconservationtheseelements must beaugmented
by additional chargecontrol elements called trans-capacitances which arerequired in
order toproperly account for thecontributions tothepartitionedreactivecurrents that
arise fromboth controlling voltages [168, 165, 169]. An example of a gate-charge
model employing separategate-sourceand gate-drain functions and employing trans-
capacitancetorestorechargeconservationis theformulationusedintheEEFET3and
EEHEMT models. The functions employed are charge-conservative in the saturation
regionof thedeviceIVandsoarevalidfor power amplier circuits. However, theuse
of smoothingfunctions inorder toforcesymmetrical behavior of thechargefunctions
aroundV
ds
= 0resultsinnon-physical (negative) drain-sourcecapacitanceinthelinear
(i.e., subknee) region[170].
Large-signal models aredevelopedby ttingthemodel equations to measureddata
by numerical optimization. Thenumber of tting parameters can beextensiveand so
toobtaingoodmodels robust methodologies arerequiredtosegment theprobleminto
parameter subsetsandtoselect goodinitial values. Themost straightforwardprocedure
istousemeasuredDC datafor theIVequationandtousebias-dependent S-parameter
data to extract the charge functions and to model the correction terms necessary to
modify thedynamic responseof theIV. Theimpact of dispersioncanbeasignicant
sourceof error for PA designandamoreaccurateapproachcanbetodirectly measure
thedynamic IV withapulsedIV measurement system[21, 22, 171, 172] andtouse
that to represent the model IV [173]. This approach provides a direct model of the
dynamic IV at thequiescent bias point of interest and avoids theneed to develop a
complex empirical correction factor. Themain drawback of this approach is that the
resultingIV model is no longer applicablefor thewholebias planebut is specic to
operationpointsinthevicinityof thequiescent point inthepulsedIVset.
Thetraditional compact devicemodels generally do areasonablejob of describing
rst-order amplier performance and adequately represent the terminal impedances
andpower saturationbehavior. However, they areusually less successful at next-level
parameters suchas linearity measures, andusually do not includesuchrenements as
self-heatingor accuratebias-dependenceof trappingphenomena. Theseshortcomings
have inspired a lot of efforts spanning many years to enhance commonly available
models.
A substantial degree of improvement was obtained by augmenting the quasi-static
modelswithcorrectivetermsfor trappingeffects. Measurestoaccomplishthisinclude
empirical methodstomodifythelarge-signal IVresponsebymeansof correctionstothe
88 GaAs FETs physics, design, and models
dynamicoutputconductance[174]. Laterdevelopmentshavebeentomakeuseof pulsed
IV datato frametheconstructionof morephysics-basedtrappingmodels [175177].
Thermal effectsarealsobeincludedinthiswork bytheuseof self-heatingtermsanda
thermal impedancemodel [178]. Thenextstageof thisapproachistoincludetheimpact
of theself-heatingontothetrappingstatebyincludingatemperaturedependencetothe
trapcorrectionterms[179, 180].
Therenementstothetrappingmodelshavesuccessivelyimprovedthestate-of-artin
thisaspectof modeling. However, anareasomewhatlessdevelopedistheprovisionof a
satisfactoryapproachforthemodelingof largeperipherydevices. A commonexperience
isthatconventional circuitmodel approachesdonotsatisfactorilyscaleaboveafewmm
of gatewidth. However, suchdevices arerequiredfor numerous applications intheL
to C bandrange. GaAs devices with100200mmof periphery arecapabledelivering
50100 W froma single die at 2 GHz but the circuit design approaches are largely
cut and try. Conventional modeling approaches are ill-equipped to cope with this
distributedproblemandanumber of newdimensions needto beaddedif models are
to beadequate. Such devices havemany ngers frequently over ahundred which
seedifferingandcoupledthermal andelectromagnetic(EM) environments. A particular
problemis alsotomodel accurately thestability of suchdevices. Thecompletemodel
for thishighly complex situationisacoupledelectro-thermal andEM model. Thereis
anemergingbodyof academicliteratureonthistopicwithrecentdevelopmentstowards
simplication and manageable computation speed. Reference [181] describes circuit
simulation softwarecoupled with ahighly efcient thermal solver. Individual ngers
of apower cell aremodeled with acompact equivalent circuit model and thengers
arethermally coupledby athermal circuit. Reference[182] specically addresses the
topic of large power devices with a similar approach that also includes coupled EM
simulation. Thelatter approachisnotablefor beingdeployableonstandardcommercial
simulators.
Another directioninmodelingaims to avoidthewholemessy business of tryingto
persuadeanequivalentcircuitmodel withelementsthatfollowprescribedbias-dependent
functionsintorepresentingthemeasureddata. Analternativeapproachinsteademploys
table-basedtechniqueswherethenonlinear dataisaccessedandinterpolatedfromlook-
up tables. The most well-known implementation of this approach is the Root model
[183] availableasaturn-keycommercial modelingsolution. Subsequent developments
of this approachmakeuseof moresophisticatedinterpolationschemes whichprovide
better simulationof nonlinearity[184, 185].
A furtherthemereceivingmuchrecentattentionhasbeentheuseof directlarge-signal
measurement [186]. Techniques explored hereincludetting conventional equivalent
circuit model parametersdirectlytoobservedlarge-signal behavior [187] andthedirect
extractionof extrinsic current andchargefunctions to describethenonlinear behavior
directly at thedeviceterminals [188]. However, theultimatelogical end-point of this
directionistoeliminateanylevel of equivalentcircuitdescriptionaltogether andinstead
to implement a wholly mathematical black-box or behavioral description of the
data. The approach that has been adopted to achieve this is based on poly-harmonic
distortion(PHD) modeling[189] whichdescribeslarge-signal behavior bymeansof an
2.5 Models 89
extensiontolinear S-parameters. Inthisschemeadditional termsareaddedtothelinear
parameters to account for harmonics and intermodulation frequency components. By
this means complex waveforms may be described. The termX-parameters has been
coined to describe the new nonlinear parameters and a commercial nonlinear vector
network analyzer capable of their measurement are available. In order to be useful
for characterizingtransistors theX-parameters must bemeasuredover theappropriate
regionof theSmithchartrequiringtheX-parametercharacterizationtobecombinedwith
aload-pull system[189, 190]. Theresultingdataset is largerequiringthedimensions
of frequency, bias, signal amplitude and impedance state all to be characterized and
recorded. However, theapproach is mathematically rigorous and has been veried to
highlevelsof compression[191].
In many ways conventional empirical models and new behavioral data models are
complementary. The former possess such benets of an innate generality, compact-
nessandscalabilitybyvirtueof theunderlyingphysicsembodiedintheir construction.
Theseareattractivequalitiesfor devicemanufacturerswhoneedtocharacterizeapro-
cess inageneral fashion. They alsomakeuseof relatively straightforwardandwidely
availabletest equipment. Black-box behavioral models offer theprospect of automatic
generationof high-accuracymodelsfor specicdevicesandoperatingconditions. This
latter pictureisattractivefor specicdesignrequirementsfocusedonparticular devices
wherethegenerationof largedatasets andalack of model scalability aremanageable
issues.
2.5.4 Load-pull
A long established, pragmatic, and reliable alternative to the nonlinear device model
is thelong-standing load-pull measurement. Herethedeviceperformanceis explored
with carefully characterized tuners and thecircuit is designed to replicatethedesired
matchingimpedances. Thisapproachhasevolvedtoemploycomputer controlledtuners
thatarenowabletoincludeeffectivecontrol of thesourceandloadharmonicimpedances
[192]. Thekey limitationof thepassivetunersprovidedby commercial vendorsisthat
thelosses arising between thetuner instrument and thedeviceunder test restricts the
maximumreectioncoefcient that canbeattainedandthisrather limitsthesizeof the
devicethat canbecharacterized. Pre-matchingcircuitry canhelpherebut theultimate
solutionis achievedby theuseof anactiveload-pull systemsuchas that describedin
reference[193] wherethereectedsignal issynthesizedasrequiredtoaccount for the
loss so as to achieve theeffective impedance as if an ideal lossless tuner wereused.
Activeload-pull systemshavebeensuccessfullydemonstratedbyanumber of workers
over manyyearsbuttheyhaveyettoachievewidespreaduseoutsideof theR&Dlabdue
toreasonsof cost andcomplexity. Inthislight it isinterestingtonotethat aremarkably
effectivebut simpleand extremely low-cost alternativeto load-pull test equipment is
availableusingnothingbutasimplelinearmodel forthedeviceoutputimpedance[194].
Experiencehasshownthat theestimatefor theoutput power matchconditionobtained
fromthis approachis consistently inexcellent agreement withload-pull measurement
andthetechniqueremainsapopular approachfor rst-cut circuit design.
90 GaAs FETs physics, design, and models
2.6 Concluding remarks
Thischapter hasreviewedGaAspower FET technology. It hascoveredmaterialsprop-
erties, devicetypesandtheir operation, keydevicephysics, andcritical aspectsof power
devicedesign. A summary of GaAs devicefabrication has been provided contrasting
establishedprocesses withnewlow-cost approaches andthechapter concludes witha
brief reviewof devicemodels.
Thewiderangeof subjectscoveredspansseveral decadesof developmentbynumerous
researchgroups andindustrial companies. It is thereforeimpossibleto fully reference
suchabodyof workandabalancehadtobestruckthatweighedrecognitionof historical
signicancewithclarity andbrevity for thecontemporary reader. A further limitation
is this authors limitedcapacity toread, digest andretainthebreadthof literature. It is
consequentlyinevitablethat omissionswill haveoccurredandfor whichit ishopedthe
relevant partieswill understand.
Acknowledgment
Theauthor wouldliketo thank theengineeringteamat theRFMD facility inNewton
Aycliffe(memberspast andpresent) whohaveall contributedtotheunderstandingthat
is containedinthesepages. Particular appreciationis expressedto MikeBrookbanks,
RichardDaviesandRobDrywhogavehelpful support inthewritingof thechapter.
Appendix 2.1
Commentsonthedeterminationof f
T
andf
max
Theh
21
functionisgenerallyverywell behavedandiseasytocalculateinanunambigu-
ousmanner. Thisshouldbeperformedfromalinear partof theh
21
versuslog. frequency
curvewheretherst poleof thefrequencyresponsedominates. For amicrowavedevice
afrequencyof around510GHz istypicallyagoodfrequencytouse. Anextrapolation
to0dB at 6dB/octavewill giveareliablevalueandthismaybesimplycalculatedthus:
f
T
= f h
21
( f ) (A1)
= f 10
h
21
dB( f ),20
(A2)
where f is the frequency of evaluation, h
21
is the magnitude of the forward hybrid
parameter and h
21
dB is its value in dB. The measurements should be properly de-
embeddedtothedevicereferenceplanefor theappropriateterminatingimpedances to
apply. A useful ruleof thumb for awell-designed deviceis that it will likely bean
appropriatechoicefor anapplicationfor frequenciesuptof
T
,2.
Thesituationforf
max
isnotasstraightforward. IntheorythereisnoissueasbothGmax
andU both cross the0dB lineat thesamefrequency andthis point uniquely denes
f
max
[60, 195]. However, in practice for microwave FETs, f
max
is usually somewhat
References 91
higher thantheupper limit of theavailabletest equipment andexaminationof thegain
curvesforfrequencieswell belowf
max
invariablysuggestsGmaxandUwill havedistinct
intercepts. Thesituation typically observed is that of Figure2.14 with U following a
well-behaved6dB/octaveroll off andgivingno hint that it will convergewithGmax.
Vendelin[60] explains howadditional terms inthefrequency responsewill ultimately
restraintheUcurve; however, ajudgmenthastobemadeonthedatathatisavailable. In
practiceoneof theU or Gmaxcurvesischosenandextrapolatedtodeterminethe0dB
intercept. Itisalsoverycommonnottospecifywhichcurvewasusedfor thisprocedure.
Manyworkerschoosea6dB/octaveextrapolationof U. For somethisisduetoabelief
that it is thecorrect oneor becauseof its apparent well-behavedslope. Others choose
Gmax and return a commendably more conservative value; however the complicated
Gmaxcurveprovidesambiguityastohowit shouldbeextrapolated. Giventheseissues
thereis agood argument not to quotef
max
at all but to provideexplicit Gmax curves
or quoteGmaxat particular frequencies. Inany event, adegreeof cautionis required
when comparing devices based on f
max
values that onehas not measured for oneself.
Shouldavaluefor f
max
berequiredanditisbeyondthefrequencyrangeof availabletest
equipmentthen, inthisauthorsopinion, areasonableapproachtoitsdeterminationisto
extrapolateGmaxat 6dB/octavefromafrequency wherethedeviceisunconditionally
stableandwithstabilityfactor, k, comfortablyaboveunitysoastobereliablyfreeof the
gain-peakingnear theMAG/MSGstabilitybreak-point.
References
1. Y. Aoki, Y. Hirano, High-power GaAs FETs, in High-power GaAs FET Ampliers,
J. L. B. Walker. Ed., ArtechHouse, 1993, pp. 43145.
2. IoffePhysico-Technical Institute, Physical propertiesof semiconductors, [Online]. Avail-
able: http://www.ioffe.ru/SVA/NSM/Semicond/.
3. S. M. Sze, Physicsof Semiconductor Devices, 2ndEdn., Wiley, 1981.
4. L. Dobaczewski, A. R. Peaker, and J. M. Langer, DX defect centres in AlGaAs, in
Properties of AluminumGalliumArsenide, S. Adachi, Edn., IET/INSPEC, 1993, pp. 278
288.
5. J.W. Matthews and A.E. Blakeslee, Defects in epitaxial multilayers, J. Crystal Growth,
vol. 27, pp. 118127, 1974.
6. J.V. DiLorenzo, W.R. Wisseman, GaAs power MESFETs: design, fabricationandperfor-
mance, IEEE Trans. Microw. TheoryTech., vol. 27, pp. 367378, 1979.
7. E.O. J ohnson, Physical limitationsonfrequencyandpower parametersof transistors,IRE
Int. Conv. Rec., 13, pp. 2734, 1965.
8. P. Sauiner, W. S. Kopp, H. Q. Tserng, Y. C. Kao, and D. D. Heston, A heterostructure
FET with 75.8% power added efciency at 10 GHz, IEEE MTT-S, Int. Symp. Digest,
pp. 635638, 1992.
9. S. Cooper, K. Anderson, K. Salman, R. Culbertson, J. Mason, D. Bryant, and P. Saunier,
8-watt high efciency X-band power amplier using AIGaAs/GaAs HFET technology,
GaAsIC Symposium, 1992, pp. 183185.
92 GaAs FETs physics, design, and models
10. I. Takenaka, K. Ishikura, H. Takahashi, K. Asano, J. Morikawa, K. Satou, K. Kishi, K.
Hasegawa, K. Tokunaga, F. Emori, andM. Kuzuhara, L/S-band140-W pushpull power
AlGaAs/GaAs HFETs for digital cellular base stations, IEEE J. Solid-State Circuits,
vol. 34, pp. 11811187, 1999.
11. M. Yang, and Y. Chan, Device linearity comparisons between doped-channel and
modulation-doped designs in pseudomorphic AlGaAs/InGaAs heterostructures, IEEE
Trans. ElectronDevices, vol. 43, pp. 1174 1180, 1996.
12. J. Morikawa, K. Asano, K. Ishikura, H. Oikawa, M. Kanamori and M. Kuzuhara, 60
W L-band power AlGaAs/GaAs heterostructure FETs, IEEE MTT-S Int. Symp. Digest,
pp. 14131416, 1997.
13. Y. C. Lin, EdwardYi Chang, H. Yamaguchi, Y. Hirayama, X. Y. Chang, andC. Y. Chang,
Device linearity comparison of uniformly doped and -doped In
0.52
Al
0.48
As/In
0.6
Ga
0.4
As
metamorphicHEMTs, IEEE ElectronDeviceLett., vol. 27, pp. 535537, 2006.
14. D. Geiger, E. Mittermeier, J. Dickmann, C. Geng, R. Winterhof, F. Scholz, and E. Kohn,
InGaP/InGaAsHFET withhighcurrentdensityandhighcut-off frequencies, IEEEElectron
DeviceLetters, vol. 16, pp. 259261, 1995.
15. T. J. Drummond, W. T. Masselink, H. Morkoc, Modulation-dopedGaAs/(Al,Ga)Ashetero-
junctioneld-effect transistors: MODFETs, Proc. IEEE, 74, pp. 773882, 1986.
16. L. D. Nguyen, L. E. Larson, U. K. Mishra, Ultra-high-speedmodulation-dopedeld-effect
transistors: atutorial review, Proc. IEEE, vol. 80, pp. 494518, 1992.
17. J.V. DiLorenzo, B. D. Laterwasser, andM. Zaitlin, IntroductiontopHEMTs,inR. L. Ross
et al. (Eds.), Proceedingsof theNATOAdvancedStudyInstituteonPseudomorphicHEMT
TechnologyandApplications, 1994, pp. 121.
18. N. Moll, M. R. HueschenandA. Fischer-Colbrie, Pulse-dopedAlGaAs/InGaAs pseudo-
morphicMODFETs, IEEE Trans. ElectronDevices, vol. 35, pp. 879886, 1988.
19. G. Snider, 1DPoisson, [Online.] Available: http://www.nd.edu/gsnider.
20. P. H. Ladbrooke, MMIC Design: GaAsFETsandHEMTs, ArtechHouse, 1989.
21. P. H. Ladbrooke, J. P. Bridge, N. J. GoodshipandD. J. Battison, Improvingunderstanding
of theRF circuit behaviour of contemporary semiconductor devicesthroughfast-sampling
I(V) curvetracer measurements, GalliumArsenideApplicationsSymposium(GAAS), 2000.
22. L. Dunleavy, W. Clausen, andT. Weller, PulsedIV for nonlinear modeling, Microw. J.,
vol. 46, pp. 6884, 2003.
23. M. Rocchi, Status of thesurfaceand bulk parasitic effects limiting theperformances of
GaAsICs, PhysicaB., vol. 129, pp. 119138, 1985.
24. S. Lo and C. Lee, Analysis of surface state effect on gate lag phenomena in GaAs
MESFETs, IEEE Trans. ElectronDevices, vol. 41, pp. 15041512, 1994.
25. P. H. Ladbrooke, andS. R. Blight, Low-eldlow-frequencydispersionof transconductance
in GaAs MESFETs with implications for other rate-dependent anomalies, IEEE Trans.
ElectronDevices, vol. 35, pp. 257267, 1988.
26. C. Canali, F. Magistrali, A. Paccagnella, M. Sangalli, C. Tedesco, and E. Zanoni, Trap-
relatedeffectsinAlGaAslGaAsHEMTs, IEE Proc., Part G, vol. 38, pp. 104108, 1991.
27. S. C. Binari, P. B. Klein, and T. E. Kazior, Trapping effects in GaN and SiC microwave
FETs, Proc. IEEE, vol. 90, pp. 10481058, 2002.
28. Y. Hasumi, N. Matsunaga, T. Oshima, andH. Kodera, Characterizationof thefrequency
dispersion of transconductance and drain conductance of GaAs MESFET, IEEE Trans.
ElectronDevices, volume50, pp. 20322038, 2003.
References 93
29. T. Izumi, T. Ohshima, M. Tsunotani andT. Kimura, Newmethodtomonitor thefrequency-
dispersion in InGaAs/AlGaAs PHEMTs, GaAs MANTECH International Conferenceon
CompoundSemiconductor Manufacturing, 2002.
30. A.F. Basile, A. Mazzanti, E. Manzini, G. Verzellesi, C. Canali, R. Pierobon, andC. Lanzieri,
Experimental andnumerical analysisof gate- anddrain-lagphenomenainAlGaAs/inGaAs
pHEMTs, IEEE International SymposiumonElectronDevices for MicrowaveandOpto-
electronicApplications(EDMO), 2002, pp. 6368.
31. G. Verzellesi, A. Mazzanti, A. F. Basile, A. Boni, E. Zanoni, andC. Canali, Experimental
andnumerical assessment of gate-lagphenomenainAlGaAsGaAs heterostructureeld-
effect transistors(FETs), IEEE Trans. ElectronDevices, vol. 50, pp. 17331740, 2003.
32. O. Pajona, C. Aupetit-BerthelemotandJ. M. Dumas, Modellingof thetraprelatedparasitic
effects inmetamorphic HEMT onGaAs substrate, EleventhInternational Symposiumon
ElectronDevicesfor MicrowaveandOptoelectronicDevices, 2003, pp. 151156.
33. F. Wang, W. D. J emison, andJ. C. M. Hwang, A GaAsMESFET transientmodel capableof
predictingtrap-inducedeffectsunder complexdigital modulation, IEEE MTT-SInt. Symp.
Dig., pp. 815818, 2001.
34. J. Haruyama, H. Negishi, Y. Nishimura, andY. Nashimoto, Substrate-relatedkink effects
withastronglight-sensitivityinAlGaAs/InGaAsPHEMT, IEEE Trans. ElectronDevices,
vol. 44, pp. 2533, 1997.
35. J. B. Kuang, P. J. Tasker, G. W. Wang, Y. K. Chen, L. F. Eastman, O. A. Aina, H. Hier, and
A. Fathimulla, Kink effect in submicrometer-gate MBE-Grown InAlAs/InGaAs/InAlAs
heterojunctionMESFETs, IEEE ElectronDeviceLett., vol. 9, pp. 630632, 1988.
36. P. H. LadbrookeandJ. P. Bridge, BenignmechanismgivingrisetokinksinGaAsMESFET
andHEMT I(V) characteristics, Electron. Lett., vol. 31, pp. 19471948, 1995.
37. J.-W. Chen, M. Thurairaj, and M. B. Das, Optimization of gate-to-drain separation in
submicron gate-length modulation doped FETs for maximumpower gain performance,
IEEE Trans. ElectronDevices, vol. 41, pp. 465475, 1994.
38. T. Suemitsu, T. Enoki, N. Sano, M. Tomizawa, and Y. Ishii, An analysis of the kink
phenomenain InAlAs/InGaAs HEMTs using two-dimensional devicesimulation, IEEE
Trans. ElectronDevices, vol. 45, pp. 23902399, 1998.
39. M. H. Somerville, A. Ernst, andJ. A. del Alamo, A physical model for thekink effect in
InAlAs/InGaAsHEMTs, IEEE Trans. ElectronDevices, vol. 47, pp. 922930, 2000.
40. A. Mazzanti, G. Verzellesi, C. Canali, G. Meneghesso, and E. Zanoni, Physics-based
explanation of kink dynamics in AlGaAs/GaAs HFETs, IEEE Electron Device Letters,
vol. 23, pp. 383385, 2002.
41. M. H. Somerville, J. A. del Alamo, andP. Saunier, Off-statebreakdowninpowerpHEMTs:
theimpact of thesource, IEEE Trans. ElectronDevices, vol. 45, pp. 18831889, 1998.
42. H. P. Li, O. L. Hartin, andM. Ray, Anupdatedtemperature-dependentbreakdowncoupling
model including both impact ionization and tunneling mechanisms for AlGaAs/InGaAs
HEMTs, IEEE Trans. ElectronDevices, vol. 49, pp. 16751678, 2002.
43. R. Menozzi, Off-statebreakdownof GaAsPHEMTs: reviewandnewdata, IEEE Trans.
DeviceandMaterialsRel., vol. 4, pp. 54 62, 2004.
44. R. J. TrewandU. K. Mishra, GatebreakdowninMESFETsandHEMTs, IEEE Electron
DeviceLett., vol. 12, pp. 524526, 1991.
45. S. R. Bahl, andJ. A. del Alamo, Physicsof breakdowninInAlAs/n

-InGaAsheterostructure
eld-effect transistors, IEEE Trans. ElectronDevices, vol. 41, pp. 22682275, 1994.
94 GaAs FETs physics, design, and models
46. J. A. del AlamoandM. H. Somerville, Breakdowninmillimeter-wavepower InP HEMTs:
acomparisonwithGaAsPHEMTs, IEEE J. Solid-StateCircuits, vol. 34, pp. 12041211,
1999.
47. M. H. Somerville, R. Blanchard, J. A. del Alamo, K. G. Duh and P. C. Chao, On-state
breakdowninpower HEMTs: measurementsandmodeling,IEEETrans. ElectronDevices,
vol. 46, pp. 10871093, 1999.
48. K. vanderZanden, D. M. M.-P. Schreurs, R. Menozzi, andM. Borgarino, Reliabilitytesting
of InP HEMTs using electrical stress methods, IEEE Trans. Electron Devices, vol. 46,
pp. 15701576, 1999.
49. A. Di Carlo, L. Rossi, P. Lugli, G. Zandler, G. Meneghesso, M. J ackson and E. Zanoni,
MonteCarlo study of thedynamic breakdown effects in HEMTs, IEEE Electron Device
Letters, vol. 21, pp. 149151, 2000.
50. A. Sleiman, A. Di Carlo, P. Lugli, G. Meneghesso, E. Zanoni, andJ. L. Thobel, Channel
thicknessdependenceof breakdowndynamicinInP-basedlattice-matchedHEMTs, IEEE
Trans. ElectronDevices, vol. 50, pp. 20092014, 2003.
51. K. Bock, C. Russ, G. Badenes, G. Groeseneken, and Inuence of well prole and gate
length on the ESD performance of a fully silicided 0.25 mCMOS technology, IEEE
Trans. Components, PackagingandManufacturingTechnol., Part C, vol. 24, pp. 286294,
1998.
52. K. G. Verhaege, M. Mergensb, C. Russb, J. ArmerbandP. J ozwiak, Novel designof driver
andESDtransistorswithsignicantlyreducedsiliconarea, MicroelectronicsRel., vol. 42,
pp. 313, 2002.
53. R Menozzi, P. Cova, C. Canali, and F. Fantini, Breakdown walkout in pseudomorphic
HEMTs, IEEE Trans. ElectronDevices, vol. 43, pp. 543546, 1996.
54. J. Verspecht, and D. Schreurs, Measuring transistor dynamic loadlines and breakdown
currents under large-signal high-frequency operatingconditions, IEEE MTT-SInt. Symp.
Dig., pp. 14951498, 1998.
55. J. P. R. David, J. E. Sitch, andM. S. Stern, GatedrainavalanchebreakdowninGaAspower
MESFETs, IEEE Trans. ElectronDevices, vol. 29, pp. 15481552, 1982.
56. M. S. Shirokov, R. E. Leoni, C. J. Wei, and J. C. M. Hwang, Breakdown effects on the
performanceandreliabilityof power MESFETs, GaAsIC Symposium, 1996, pp. 3437.
57. P. H. LadbrookeandJ. E. Carroll, Dielectric relaxationas alimit ontransistor switching
speed, Electron. Lett., vol. 32, pp. 15111513, 1996.
58. G. D. Vendelin, Design of Ampliers and Oscillators by theS-parameter Method, Wiley-
Blackwell, 1982.
59. S. J. Mason, Power gaininfeedback amplier, Trans. IRE Professional GrouponCircuit
Theory, vol. 1, pp. 2025, 1954.
60. G. D. VendelinandS.-C. Shin, Applyingf
max
, f
t
, andf
mag
for microwavetransistor designs
at microwaveandmillimeter-wavefrequencies, IEEE Microw. Mag., pp. 8490, 2007.
61. S. I. Long, A comparison of the GaAs MESFET and the AlGaAs/GaAs heterojunc-
tionbipolar transistor for power microwaveamplication, IEEE Trans. ElectronDevices,
vol. 37, pp. 12741278, 1989.
62. H. M. Macksey, GaAs power FET design, inJ. V. DiLorenzo, D. D. Khandelwal (Ed.s),
GaAsFET PrinciplesandTechnology, ArtechHouse, 1982, pp. 257276.
63. T. Grave, Pseudomorphic HEMTs: device physics and materials layer design, in Ross
etal. (Eds), PseudomorphicHEMT Technologyandapplications,Proceedingsof theNato
AdvancedStudyInstitute, 1994.
References 95
64. H.M. Macksey, Optimisationof then ledgechannel structurefor GaAs power FETs,
IEEE Trans. ElectronDevices, vol. 33, pp. 18181824, 1986.
65. J. B. Boos, and W. Kruppa, InAlAs/lnGaAs/lnP HEMTs with high breakdown voltages
usingdouble-recessgateprocess, Electron. Lett., vol. 27, pp. 19091910, 1991.
66. J. C. Huang, G. S. J ackson, S. Shaneld, A. Platzker, P. K. Saledas, andC. Weichert, An
AlGaAs/InGaAspseudomorphichighelectronmobilitytransistorwithimprovedbreakdown
voltagefor X andKu-bandpower applications,IEEE Trans. Microw. TheoryTech., vol. 41,
pp. 752759, 1993.
67. Y. Kohno, H. Matsubayashi, M. Komaru, H. Takano, O. Ishihara, andS. Mitsui, Modeling
andsuppressionof thesurfacetrapeffect ondraincurrent frequency dispersions inGaAs
MESFETs, CompoundSemiconductor IC Symposium, pp. 263266, 1994.
68. W. Marsetz, A. Hiilsmann, T. Kleindienst, S. Fischer, M. Demmler, W. Bronner, T. Fink,
K. Kohler, and M. Schlechtweg, High performance double recessed Al
0.2
Ga
0.8
As/
In
0.25
Ga
0.75
As pHEMTs for microwave power applications, European Microwave Con-
ference, 1997, pp. 10301034.
69. C.-H. Chen, and J. Skogen, Improvement of GaAs MESFET performance using sur-
faceP-layer doping (SPD) technique, IEEE Electron DeviceLett., vol. 10, pp. 352354,
1989.
70. M. Hirose, K. Matsuzawa, M. Mihara, T. Nitta, A. Kameyama, andN. Uchitomi, A lightly
dopeddeepdrainGaAs MESFET structurefor linear ampliers of personal handy-phone
systems, IEEE Trans. ElectronDevices, vol. 43, pp. 20622067, 1996.
71. Yasuko Hori, Masaaki Kuzuhara, Yuji Ando, and Masashi Mizuta, Analysis of electric
elddistributioninGaAsmetal-semiconductor eldeffecttransistorwithaeldmodulating
plate, J. Appl. Physics, vol. 87, pp. 34833487, 2000.
72. K. Matsunaga, K. Ishikura, I. Takenaka, W. Contrata, A. Wakejima, and K. Ota, A low-
distortion230W GaAs power FP-HFET operatedat 22V for cellular basestation, IEEE
Int. ElectronDeviceMeeting(IEDM), 2000, pp. 393396.
73. N. Sakura, K. Matsunaga, K. Ishikura, I. Takenaka, K. Asano, N. J wata, M. Kanamori, and
M. Kuzuhara, 100W L-bandGaAs power FP-HFET operatedat 30V, IEEE MTT-SInt.
Symp. Dig., pp. 17151718, 2000.
74. A. Wakejima, K. Ota, K. Matsunaga, W. Contrata, and M. Kuzuhara, Field-modulating
plate(FP) InGaP MESFET withhighbreakdownvoltageandlowdistortion, IEEE Radio
FrequencyIntegratedCircuitsSymp. Dig., pp. 151154, 2001.
75. K. Inoue, M. Nagahara. N. Ui, H. Haematsu, S. Sano andJ. Fukaya, A highgainL-band
GaAs FET technology for 28 V operation, IEEE MTT-S Int. Symp. Dig., pp. 821824,
2004.
76. M. Miller, Design, performanceandapplicationof highvoltageGaAsFETs, Compound
Semiconductor IC Symposium, 2005, pp. 236239.
77. H.-C. Chiu, Y.-C. Chiang, and C.-S. Wu, High breakdown voltage AlGaInP/InGaAs
quasi-enhancement-modepHEMT witheld-platetechnology,IEEEElectronDeviceLett.,
vol. 26, pp. 701703, 2005.
78. R. L. Kuvas, Equivalent circuit model of FET including distributed gate effects, IEEE
Trans. ElectronDevices, vol. 27, pp. 11931195, 1980.
79. A. Higashisaka, Y Takayama, and A high-power GaAs MESFET with experimentally
optimizedpattern, IEEE Trans. ElectronDevices, vol. 27, pp. 10251029, 1980.
80. J. P. Mondal, Distributedscalingapproachof MESFETsanditscomparisonwithlumped-
element approach, IEEE Trans. Microw. TheoryTech. vol. 37, pp. 10851090, 1989.
96 GaAs FETs physics, design, and models
81. D. Teeter, S. Bouthillette, L. Aucoin, A Platzker, C. Alfaro, andD. Bradford, Highpower,
high efciency PHEMTs for use at 8 GHz, IEEE MTT-S Int. Symp. Dig., pp. 323326,
1995.
82. F. Hasegawa, Power GaAsFETs, inJ. V. DiLorenzo, D. D. Khandelwal (Eds.), GaAsFET
PrinciplesandTechnology, ArtechHouse, 1982, pp. 219255.
83. J. L. B. Walker, Combiningtechniques, inJ. L. B. Walker (Ed.), High-Power GaAsFET
Ampliers, ArtechHouse, 1993, pp. 263313.
84. I. J. Bahl, Design of power MMlCs and power combining techniques, International
WorkshoponIntegratedNonlinear MicrowaveandMillimeterwaveCircuits, 1994, pp. 71
91.
85. H. Derewonko, M. Laviron, andJ. Lepage, X- andKu-bandinternally matchedpackaged
GaAsF.E.T., Electron. Lett., vol. 15, pp. 89, 1979.
86. K. Honjo, Y. Takayama, andA. Higashisaka, Broad-bandinternal matchingof microwave
power GaAsMESFETS, IEEE Trans. Microw. TheoryTech. vol. 27, pp. 38, 1979.
87. Z. Shichang, C. Tangsheng, L. Gang, andL. Fuxiao, 8-wattinternallymatchedGaAspower
amplier at 1616.5GHz, International ConferenceonSolid-StateandIntegratedCircuit
Technology(ICSICT), 2006.
88. K. Mori, J. Nishihara, H. Utsumi, A. Inoue, andM. Miyazaki, X-Band14Whighefciency
internally-matchedHFET, IEEE MTT-SInt. Symp. Dig., pp. 315318, 2008.
89. S. T. Fu, J. J. Komiak, L. F. Lester, K. H. G. Duh, P. M. Smith, P. C. Chao, andT. H. Yu,
C-band20watt internallymatchedGaAsbasedpseudomorphicHEMT power ampliers,
GaAsIC Symposium, 1993, pp. 355356.
90. I. Takenaka, H. Takahashi, K. Asano, J. Morikawa, K. Ishikura, M. Kanamori, M. Kuzuhara
andH.i Tsutsui, HighefciencyS-band30Wpower GaAsFETs, IEEE MTT-SInt. Symp.
Dig., pp. 14171420, 1997.
91. A. Wakejima, T. Asano, T. Hirano, M. Funabashi, andK. Matsunaga, C-bandGaAs FET
power amplierswith70-Woutput power and50%PAE for satellitecommunicationuse,
IEEE J. Solid-StateCircuits, vol. 40, pp. 20542060, 2005.
92. C. Canali, F. Castaldo, F. Fantini, D. Ogliari, L. Umena, andE. Zanoni, Gatemetallization
sinking intotheactivechannel inTilWlAumetallizedpower MESFETs, IEEE Electron
DeviceLett., vol. 7, pp. 185187, 1986.
93. T. M. Kole, A comparativestudyof thermal measurementtechniquescurrentlyavailableto
thesemiconductor industry, Proc. GaAsReliabilityWorkshop, 2000, pp. 7998.
94. P. W. Webb, Thermal imagingof electronicdeviceswithlowsurfaceemissivity,IEEProc.,
Part G, vol. 138, pp. 390400, 1991.
95. Mark N. Minot, Thermal characterizationof microwavepower FETsusingnematicliquid
crystals, IEEE MTT-SInt. Symp. Dig., pp. 495498, 1986.
96. H. Fukui, Thermal resistanceof GaAseld-effect transistors, IEEE Int. ElectronDevice
Meeting(IEDM), 1980, pp. 118121.
97. P. W. Webb, Measurement of thermal resistance using electrical methods, IEE Proc.,
Part I, vol. 134, pp. 5156, 1987.
98. AgilentTechnologies, HighFrequencyTransistor Primer PartIII Thermal Properties, Appli-
cationNote59663084E.
99. I. Angelov, andC. K arnfelt, Directextractiontechniquesforthermal resistanceof MESFET
andHEMT devices, IEEE RadioFrequencyIntegratedCircuitsSymp. Dig., pp. 351354,
2007.
References 97
100. A. Sarua, H. J i, M. Kuball, M. J. Uren, T. Martin, K. P. Hilton, andR. S. Balmer, Integrated
micro-Raman/Infraredthermography probefor monitoringof self-heatinginAlGaN/GaN
transistor structures, IEEE Trans. ElectronDevices, vol. 53, pp. 24382447, 1986.
101. J. A. Mittereder, J. A. Roussos, W. T. Anderson, andD. E. Ioannou, Quantitativemeasure-
mentof channel temperatureof GaAsdevicesfor reliablelife-timeprediction,IEEE Trans.
Rel., vol. 51, pp. 482485, 2002.
102. P. W. Webb, and I. A. D. Russell, Thermal resistance of gallium-arsenide eld-effect
transistors, IEE Proc. Part G, vol. 136, pp. 229234, 1989.
103. P. W. Webb, Thermal modeling of power microwave integrated circuits, IEEE Trans.
ElectronDevices, vol. 40, pp. 867877, 1993.
104. J. Wilson, and K. Decker, GaAs MMIC thermal modeling for channel temperatures in
accelerated lifetest xtures and microwavemodules, Proceedings of theSemiconductor
Thermal Measurement andManagement Symposium(SEMI-THERM), 1994, pp. 121128.
105. W. Batty, Analytical solutionincomplicatedvolumesfor detailedcompact thermal model
construction, EuropeanMicrowaveIntegratedCircuitsConference, 2006, pp. 316319.
106. H. F. Cooke, PrecisetechniquendsFET thermal resistance, Microwave, pp. 8587, August
1986.
107. A.G.Kokkas,Thermal analysisof multiple-layerstructures,IEEETrans.ElectronDevices,
vol. 21, pp. 674681, 1974.
108. W. Marsetz, M. Dammann, H. Kawashima, J. Rtidiger, B. Matthes, A. Hiilsmann, and
M. Schlechtweg, Inuence of layout and packaging on the temperature of GaAs Power
PHEMTs, EuropeanMicrowaveConference, 1998, pp. 439442.
109. R. Williams, ModernGaAsProcessingMethods, ArtechHouse, 1990.
110. C. Y. Chang, andF. Kai, GaAsHigh-speedDevices: Physics, TechnologyandCircuit, Wiley,
1994.
111. B. C. Schmukler, P. E. Brunemeier, W. R. Hitchens, B. D. Cantos, W. A. Strier, D. H.
Rosenblatt, and R. D. Remba, Highly selective citric buffer etch-stop process for the
manufactureof very uniformGaAs/AlGaAs FETs, GaAs IC Symposium, 1993, pp. 325
328.
112. K. Alavi, S. Ogut, P. Lyman, W. Hoke, and M. Borkowski, A highly uniform, and high
throughput, double selective pHEMT process using an all wet etch chemistry, GaAs
MANTECHInternational ConferenceonCompoundSemiconductor Manufacturing, 2002.
113. A. W. Hanson, D. Danzilio, K. Bacher, and L. Leung, A selective gate recess process
utilizingMBE-grownInGaP etch-stoplayersfor GaAs-basedFET technologies, GaAsIC
Symposium, 1998, pp. 195197.
114. D. C. Hays, C. R. Abernathy, S. J. Pearton, F. Ren, andW. S. Hobson, Wet anddry etch
selectivity for theGaAs/AlGaAs and GaAs/InGaP systems, Electrochemical Soc. Proc.,
vol. 98, no. 12, pp. 202212, 1998.
115. F. Spooner, W. Quinn, L. Hanes, S. Woolsey, K. Smith, and J. Mason, A reproducible,
highyield, robustwetetchetch-stopprocessusingorganicacid peroxidesolutions,GaAs
MANTECHInternational ConferenceonCompoundSemiconductor Manufacturing, 2004.
116. E. Y. Chang, J. M. Van Hove, andK. P. Pande, A selectivedry-etchtechniquefor GaAs
MESFET gaterecessing, IEEE Trans. ElectronDevices, vol. 35, pp. 15801584, 1988.
117. F. Ren, S. J. Pearton, C. R. Abernathy, C. S. Wu, M. Hu, C.-K. Pao, D. C. Wang, andC. P.
Wen, 0.25-pmPseudomorphic HEMTs processedwithdamage-freedry-etchgate-recess
technology, IEEE Trans. ElectronDevices, vol. 39, pp. 27012706, 1992.
98 GaAs FETs physics, design, and models
118. A. E. Geissberger, I. J. Bahl, E. L. Grifn, andR. A. Sadler, A newrefractoryself-aligned
gate technology for gaas microwave power FETs and MMICs, IEEE Trans. Electron
Devices, vol. 35, pp. 615622, 1988.
119. M. Yanagihara. Y. Ota, K. Nishii, O. Ishikawa, andA. Tamura, HighlyefcientGaAspower
MESFETswithn asymmetrical LDDstructure, ElectronicsLett., vol. 28, pp. 686687,
1992.
120. A. T. Ping, W. Liebl, G. Mahoney, S. Mahon, and O. Berger, A high-performance0.13-
mAlGaAs/InGaAspHEMT processusingsidewall spacer technology,GaAsMANTECH
International ConferenceonCompoundSemiconductor Manufacturing, 2005.
121. M.-J. Hwu, H.-C. Chiu, S.-C. Yang, andY.-J. Chan, A novel double-recessed0.2mT-gate
processfor heterostructureInGaP-InGaAsdopedchannel FET fabrication, IEEE Electron
DeviceLetters, vol. 24, pp. 381383, 2003.
122. G. M. Metze, J. F. Bass, T. T. Lee, D. Porter, H. E. Carlson, andP. E. Laux, A dielectric-
denedprocess for theformationof T-gateeld-effect transistors, IEEE Microw. Guided
WaveLett., vol. 1, pp. 198200, 1991.
123. J.-E. Muller, T. Grave, H. J. Siweris, M. K arner, A. Schafer, H. Tischer, H. Riechert, L.
Schleicher, L. Verweyen, A. Bangert, W. Kellner, and T. Meier, A GaAs HEMT MMIC
chip set for automotiveradar systems fabricated by optical stepper lithography, IEEE J.
Solid-StateCircuits, vol. 32, pp. 13421349, 1997.
124. S. K. J ones, D. J. Bazley, D. R. Brambley, P. A. Claxton, I. R. Cleverley, I. Davies,
R. A. Davies, C. Hill, W. A. Phillips, N. M. Shorrocks, M. Stott, K. Vanner, R. H. Wallis,
andD. J. Warner, Processmodellingandsimulationfor GaAsP-HEMT gateimprovement
and control, GaAs MANTECH International Conference on Compound Semiconductor
Manufacturing, 2001.
125. E. Y. Chang, G. T. Cibuzar, and K. P. Pande, Passivation of GaAs FETs with PECVD
silicon nitride lms of different stress states, IEEE Trans. Electron Devices, vol. 35,
pp. 14121418, 1988.
126. K. D. Mackenzie, B. Reelfs, M. W. DeVre, R.l Westerman, and D. J. J ohnson, Char-
acterization and optimization of low stress PECVD silicon nitride for production GaAs
manufacturing, GaAsMANTECHInternational ConferenceonCompoundSemiconductor
Manufacturing, 2004.
127. M.C. Clausen, and J. McMonagle, Advanced manufacturing techniques for next gener-
ation power FET technology, GaAs MANTECH International Conferenceon Compound
Semiconductor Manufacturing, 2005.
128. D. Fanning, L. Witkowski, J. Stidham, H.Q. Tserng, M. Muir, andP. Saunier, Dielectrically
dened optical T-Gate for high power GaAs pHEMTs, GaAs MANTECH International
ConferenceonCompoundSemiconductor Manufacturing, 2002.
129. M. F. OKeefe, J. S. Atherton, W. B osch, P. Burgess, N. I. Cameron, andC. M. Snowden,
GaAspHEMT-basedtechnologyformicrowaveapplicationsinavolumeMMICproduction
environmenton150-mmWafers,IEEETransSemicond.Manuf.,vol.16,pp.376383,2003.
130. C.-G. Yuan, Y. Y. Hsieh, T. J. Yeh, C.-H. Chen, D. W. Tu, Y.-C. Wang, J. L. S. Murad,
R. Schook, F. Bontekoe, and M. Tomesen, Production ready ultra high breakdown 6
pHEMT technology, GaAsMANTECH International ConferenceonCompoundSemicon-
ductor Manufacturing, 2005.
131. M. F. OKeefe, J. G.E. Mayock, D. M. Brookbanks, J. McMonagle, andJ. S. Atherton, Step-
per basedsub-0.25mprocessfor mm-waveapplications,GaAsMANTECHInternational
ConferenceonCompoundSemiconductor Manufacturing, 2005.
References 99
132. T. Lodhi, J. McMonagle, R. G. Davis, D. M. Brookbanks, S. Combe, M. Clausen, M. F.
OKeefe, A. Collar, and J. S. Atherton, Deep UV stepper based 0.15 mhigh power
150mmGaAspHEMT processfor millimeter waveapplications, CompoundSemiconduc-
tor IC Symposium, 2006, pp. 125128.
133. S. M. J Liu, Y. Cheng-Guan, T. D.-W. R. Wu, J. Huang, Y. Shih-Wei, W. Lai, and P. Yu,
Optical stepper based150mmGaAsPHEMT for microwaveandmillimeter-waveMMIC
applications, IEEE Int. Conf. Microwaves, Communications, AntennasandElectronicSys-
tems(COMCAS), 2008, pp. 16.
134. C.-G. Yuan, S. M. Liu, D.-W. Tu, R. Wu, J. Huang, F. Chen, andY-C. Wang, 0.15micron
optical gate6 power pHEMT technology, GaAsMANTECH International Conferenceon
CompoundSemiconductor Manufacturing, 2009.
135. K. Fujii, J. Stanback, and H. Morkner, 40 to 85 GHz power amplier MMICs using an
optical lithographybasedlowcostGaAsPHEMT,EuropeanMicrowaveIntegratedCircuits
Conference, 2009, pp. 503506.
136. V. Steel, Lowcost packagingtechniquesfor commercial GaAsIC Components, GaAsIC
Symposium, 1996, pp. 1820.
137. T. Ho, F. Santos, R. Uscola, M. Szymanowski, andS. Marshall, A 900MHz, 200Wsilicon
LDMOS power amplier using integrated passive devices in a new over-molded plastic
package, IEEE MTT-SInt. Symp. Dig., 2009, pp. 12691272.
138. FreescaleApplicationNoteAN1902(2008).
139. V. A. Chiriac, T. T. Lee, andV. Hause, Thermal performanceoptimisationof radiofrequency
packagesforwirelesscommunication,J. Electron. Packaging, vol. 126, pp. 429434, 2004.
140. S. Krishnamoorthi, K. Y. Goh, Y. R. Chong, R. Kapoor, andY. S. Sun, Thermal character-
izationof athermally enhancedQFN package, Proceedings of theElectronics Packaging
TechnologyConference, 2003, pp. 485490.
141. K. Aihara, A. C. Chen, A. V. Pham, and J. W. Roman, Development of molded liquid
crystal polymer surfacemountpackagesfor millimeter waveapplications,Topical Meeting
onElectrical Performanceof ElectronicPackaging, 2005, pp. 167170.
142. R. Wormald, S. David, G. Panaghiston, andR. J effries, A lowcost packagingsolutionfor
microwaveapplications, EuropeanMicrowaveIntegratedCircuitsConference, 2006.
143. K. Aihara, M. J. Chen, andA.-V. Pham, Development of thin-lmliquid-crystal-polymer
surface-mount packages for Ka-band applications, IEEE Trans. Microw. Theory Tech.,
vol. 56, pp. 21112117, 2008.
144. Y.-H. Suh, D. Richardson, A. Dadello, S. Mahon, and J. T. Harvey, A low-cost high
performanceGaAs MMIC packageusingair-cavity ceramic quadat non-leadedpackage
upto40GHz, GalliumArsenideApplicationsSymposium(GAAS), 2005, pp. 545548.
145. P. Ersland, H.-R. J en, andX. Yang, Lifetimeaccelerationmodel forHASTtestsof apHEMT
process, Proceedingsof theGaAsReliabilityWorkshop, 2003, pp. 36.
146. W. J. Roesch, Thermal accelerationof compoundsemiconductorsinhumidity, Reliability
of CompoundSemiconductors(ROCS) Workshop, 2005, pp. 111121.
147. A. Extance, PA makers seek module standard, Compound Semiconductor, pp. 2526.
2009.
148. G. Dambrine, A. Cappy, F. Heliodore, andE. Playez, AnewmethodfordeterminingtheFET
small-signal equivalentcircuit,IEEE Trans. Microw. TheoryTech., vol. 36, pp. 11511159,
1988.
149. R. J. Trew, and M. B. Steer, Millimetre-wave performance of state-of-the-art MESFET,
MODFET andPBT transistors, Electron. Lett., vol. 23, pp. 149151, 1987.
100 GaAs FETs physics, design, and models
150. S. Akhtar andS. Tiwari, Non-quasi-statictransientandsmall-signal two-dimensional mod-
eling of GaAs MESFETs with emphasis on distributed effects, IEEE Trans. Electron
Devices, vol. 40, pp. 21542163, 1993.
151. P. J. Tasker and J. Braunstein, New MODFET small signal circuit model required for
millimeter-waveMMIC design: extraction and validation to 120 GHz, IEEE MTT-S Int.
Symp. Dig., pp. 611614, 1995.
152. G. Crupi, D. M. M.-P. Schreurs, A. Raffo, A. Caddemi, andG. Vannini, A newmillimeter-
wavesmall-signal modelingapproachfor pHEMTsaccountingfor theoutput conductance
timedelay, IEEE Trans. Microw. TheoryTech., vol. 56, pp. 741746, 2008.
153. D. M. Brookbanks, Measurement and modelling of high performance MESFET and
HEMT devices, West GermanyIEEE MTT/AP WorkshoponMeasurement Techniquesfor
MicrowaveDeviceCharacterisationandModellling, 1990, pp. 109121.
154. R. Hajji, J. Shumaker, andE. Camargo, 28V high-power GaAsFET large-signal modeling
achievespowerandlinearityprediction,IEEEMTT-SInt. Symp. Dig., pp. 10651068, 2004.
155. C. Rauscher, Simulation of non-linear microwaveFET performanceusing aquasi-static
model, IEEE Trans. Microw. TheoryTech., vol. 27, pp. 834840, 1979.
156. A. J. McCamant, G. D. McCormack, andAnimprovedGaAsMESFET model for SPICE,
IEEE Trans. Microw. TheoryTech., vol. 38, pp. 822824, 1990.
157. I. Angelov, H. Zirath, and N. Rorsman, A new empirical nonlinear model for HEMT
and MESFET devices, IEEE Trans. Microw. Theory Tech, vol. 40, pp. 22582266,
1992.
158. A. E. Parker, andD. J. Skellern, A realisticlarge-signal MESFET model for SPICE,IEEE
Trans. Microw. TheoryTech., vol. 45, pp. 15631571, 1997.
159. I. Angelov, L. Bengtsson, andM. Garcia, Temperatureanddispersioneffect extensionsof
theChalmers nonlinear HEMT and MESFET model, IEEE Radio Frequency Integrated
CircuitsSymp. Dig., pp. 15151518, 1995.
160. I. Angelov, L. Bengtsson, and M. Garcia, Extensions of theChalmers nonlinear HEMT
andMESFET model, IEEE Trans. Microw. TheoryTech., vol. 44, pp. 16641674, 1996.
161. J. Staudinger, M. C de Baca, and R. Vaitkus, An examination of several large signal
capacitance models to predict GaAs HEMT linear power amplier performance, IEEE
RadioandWirelessConference(RAWCON) 1998, pp. 343346.
162. R. B. Hallgren, andP. H. Litzenberg, TOM3capacitancemodel: linkinglargeandsmall-
signal MESFETmodelsinSPICE,IEEETrans. Microw. TheoryTech., vol. 47, pp. 556561,
1999.
163. Y. Tajima, ProgressinRF devicemodeling: fromMESFETstoGaNPHEMTs, Advanced
DeviceModelingSymposium, 2006.
164. Aglient Technologies, ADSDocumentation, Nonlinear Devices, 2007.
165. A. D. Snider, Chargeconservationandthetranscapacitanceelement: anexposition, IEEE
Trans. Educ., vol. 38, pp. 376379, 1995.
166. D. E. Root, Chargemodelingfor FET large-signal simulationandits importancefor IP3
and ACPR in communication circuits, Symposiumon Circuits and Systems (MWSCAS),
2001, pp. 678772.
167. M. Wren and T. J. Brazil, Enhanced prediction of pHEMT nonlinear distortion using a
novel chargeconservativemodel, IEEE MTT-SInt. Symp. Dig., pp. 3134, 2004.
168. D. E. Root, and B. Hughes, Principles of nonlinear active device modeling for circuit
simulation, ARFTGConf. Dig., vol. 14, pp. 124, 1988.
169. S. A. Maas, Nonlinear MicrowaveandRF Circuits, 2ndEdn., ArtechHouse, 2003.
References 101
170. D. M. Brookbanks, Privatecommunication.
171. M. Paggi, P. H. Williams, andJ. M. Borrego, Nonlinear GaAs MESFET modelingusing
pulsed gate measurements, IEEE Trans. Microw. Theory Tech., vol. 36, pp. 15931597,
1988.
172. A. Platzker, A. Palevsky, S. Nash, W. Struble, and Y. Tajima, Characterization of GaAs
devices by a versatile pulsed IV measurement system, IEEE MTT-S Int. Symp. Dig.,
pp. 11371140, 1990.
173. W.Struble,S.L.G.Chu,M.J.Schindler,Y.Tajima,andJ.Huang,Modelingintermodulation
distortionInGaAsMESFETsusingpulsedIVcharacteristics,GaAsICSymposium, 1991,
pp. 179182.
174. J. Staudinger, M. Golio, C. Woodin, andM. C. deBaca, Considerationsfor improvingthe
accuracy of large-signal GaAs MESFET models to predict power amplier circuit perfor-
mance, IEEE J. Solid-StateCircuits, vol. 29, pp. 366374, 1994.
175. Z. Ouarch, J. M. Collantes, J. P. Teyssier, and R. Quere, Measurement based nonlinear
electrothermal modelingof GaAsFET withdynamical trappingeffects, IEEE MTT-SInt.
Symp. Dig., pp. 599602, 1998.
176. O. J ardel, F. DeGroote, T. Reveyrand, J. J acquet, C. Charbonniaud, J. Teyssier, D. Floriot,
andR. Qu er e, Anelectrothermal model for AlGaN/GaNpower HEMTsincludingtrapping
effects to improve large-signal simulation results on high VSWR, IEEE Trans. Microw.
TheoryTech., vol. 55, pp. 26602669, 2007.
177. L. S. Liu, J. G. Ma, and G. I. Ng, Electrothermal large-signal model of IIIV FETs
accountingfor frequencydispersionandchargeconservation,IEEEMTT-SInt. Symp. Dig.,
pp. 749752, 2009.
178. L. Codecasa, D. DAmore, andP. Maffezzoni, Modelingthethermal responseof semicon-
ductor devicesthroughequivalent electrical networks, IEEE Trans. CircuitsandSystems
I: Fundamental TheoryandApplications, vol. 49, pp. 11871197, 2002.
179. A. Raffo, V. Vadal` a, G. Vannini, and A. Santarelli, A newempirical model for thechar-
acterization of low-frequency dispersive effects in FET electron devices accounting for
thermal inuence on the trapping state, IEEE MTT-S Int. Symp. Dig., pp. 14211424,
2008.
180. G. Verzellesi, A. Bade, A. Mazzanti, C. Canali, G. Meneghesso, and E. Zanoni, Impact
of temperature on surface-trap-induced gate-lag effects in GaAs heterostructure FETs,
Electron. Lett., vol. 39, pp. 810811, 2003.
181. S. Luniya, W. Batty, V. Caccamesit, M. GarciaC. Christoffersen, S. Melamed, W. R. Davis,
andM. Steer, Compact electrothermal modelingof anX-bandMMIC, IEEE MTT-SInt.
Symp. Dig., pp. 651654, 2006.
182. C. M. Snowden, Coupled electrothermal and electromagnetic modeling, simulation and
design of RF and microwave power FETs, Asia Pacic Microwave Conference, 2006,
pp. 295304.
183. D. E. Root, S. Fan, andJ. Meyer, Technologyindependentlargesignal nonquasi-staticFET
models by direct construction fromautomatically characterized device data, European
MicrowaveConference, 1991, pp. 927932.
184. I. Angelov, H. Zirath, andN. Rorsman, A newempirical nonlinear model for HEMT and
MESFET devices, IEEE Trans. Microw. TheoryTech., vol. 40, pp. 22582266, 1992.
185. J. Xu, D. Gunyan, M. Iwamoto, A. Cognata, andD. E. Root, Measurement-basednon-quasi-
staticlarge-signal FET model usingarticial neural networks,IEEEMTT-SInt. Symp. Dig.,
pp. 469472, 2006.
102 GaAs FETs physics, design, and models
186. D. E. Root, J. Xu, D. Gunyan, J. Horn, andM. Iwamoto, Thelarge-signal model: theoretical
andpractical considerations, trade-offs, andtrends, IEEE MTT-SInternational Symposium
WorkshopWMB, 2009.
187. D. M. M.-P. Schreurs, J. Verspecht, S. Vandenberghe, andE. Vandamme, Straightforward
andaccuratenonlinear devicemodel parameter estimationmethodbasedonvectorial large-
signal measurements, IEEE Trans. Microw. TheoryTech., vol. 50, pp. 23152319, 2002.
188. M. C. Curr as-Francos, P. J. Tasker, M. Fern andez-Barciela, Y. Campos-Roca, andE. S anchez,
Directextractionof nonlinear FET QV functionsfromtimedomainlargesignal measure-
ments, IEEE Microw. GuidedWaveLett., vol. 10, pp. 531533, 2000.
189. J. Verspecht, and D. E. Root, Polyharmonic distortion modeling, IEEE Microw. Mag.,
vol. 7, pp. 4457, 2006.
190. H. Qi, J. Benedikt, and P. J. Tasker, Novel nonlinear model for rapid waveform-based
extraction enabling accurate high power PA design, IEEE MTT-S Int. Symp. Dig.),
pp. 20192022, 2007.
191. G. Simpson, J. Horn, D. Gunyan, and D. E. Root, Load-pull NVNA = enhanced X-
parameters for PA designs with high mismatch and technology-independent large-signal
devicemodels, ARFTGConferenceDigest, 2008.
192. C. Tsironis, A. J urenas, andW. Liu, Highlyaccurateharmonictunersfor loadpull testing,
AsiaPacicMicrowaveConference, 2001, pp. 13111314.
193. Z. Aboush, C. J ones, G. Knight, A. Sheikh, H. Lee, J. Lees, J. Benedikt, andP. J. Tasker,
Highpower activeharmonic load-pull systemfor characterizationof highpower 100watt
transistors, EuropeanMicrowaveConference, 2005.
194. S. C. Cripps, A theoryfor thepredictionof GaAsload-pull power contours, IEEE MTT-S
Int. Symp. Dig., pp. 221223, 1983.
195. M. S. Gupta, Power gaininfeedback ampliers, aclassicrevisited, IEEE Trans. Microw.
TheoryTech. vol. 40, pp. 864879, 1992.
3 Wide band gap transistors SiC and
GaN physics, design and models
R. J. Trew
ECE Department, North Carolina State University
3.1 Introduction
Althoughsolid-statetransistors havereplacedvacuumelectronics inthevast majority
of microwaveelectronic systemsover thepast 40years therevolutionisnot complete.
In particular, the areas of high RF power for microwave and millimeter-wave radar
andcommunicationstransmitter applications, theabilitytoproduceadequateRF power
levels at frequencies greater than 100 GHz, and the ability of devices to operate at
high temperatures greater than about 250

C remain dominated by microwavetubes.


Further solid-statematerial and transistor developments in theseareas areamong the
last frontiers for semiconductor electronics. In theseareas solid statetransistors have
not beenabletocompetewithvacuumtubedevices, andmostsystemsthatmustdeliver
kWtoMWpower levelsaredesignedusingvarioustypesof microwavetube.
Thecurrentstate-of-the-artformicrowavesolid-statedevicesandformicrowavetubes
is showninFigure3.1. As indicated, solid-statedevices produceRF power levels less
thanabout 100WandoperatewithreasonableRF output power tofrequenciesof about
100GHz. TheRF performancestatusshowninFigure3.1isfor singledeviceoperation,
anddoesnotnecessarilyrepresentatruecomparisonof theRFoutputpowercapabilityof
asystem. Powercombiningandphasedarraytechnologypermittheoutputsof manysolid
statetransistors to becombined, thereby producing signicantly improved RF output
power and solid statesystems can, in practice, competein terms of RF output power
with tube-based systems in some cases. Combining technology can raise microwave
RF output power into thekW range, at least through S band and into Ku band [14],
andtheoreticallytomuchhigher power levels. However, suchmultideviceconceptsare
increasingly difcult to apply as operating frequency increases and cannot extend the
upperfrequencylimitbeyondthepresentstate-of-the-art. Operationatfrequenciesabove
Xbandandupto100GHz withRF output power inthehundredsof wattsor kWrange
will requirenewsemiconductor materialsand/or transistor concepts.
Theupper frequencycapabilityof asolid-statetransistor isfundamentallydependent
uponthechargecarrier velocity inthesemiconductor material fromwhichit is fabri-
cated, andthephysical dimensionsof thedevice. Modernsemiconductormaterial growth
technologyandnelinelithographypermittransistorswithcritical dimensionslessthan
amicron(-10
6
m) tobereadilyfabricated, whichpermitstransistorswithhighcutoff
frequencies to berealized. At highelectric elds most common semiconductor mate-
rials demonstrate a saturated charge carrier velocity on the order of :
s
10
7
cm/s,
104 Wide band gap transistors SiC and GaN physics, design and models
Figure 3.1 AverageRF output power versusfrequencyfor varioussemiconductor andvacuum
electronicdevices[5].
or less. Although GaInAs-based HEMTs demonstrate good RF performance up to
approximately 300 GHz, this performance results primarily fromhigh sheet-charge
density and resulting high device current. These two factors permit transistors with
goodRF performanceat highfrequencytobeachieved. However, theRF output power
fromthesedevicesisverylow, andnotsuitablefor mostpractical applications. Standard
semiconductor devices suchas eld-effect transistors andbipolar transistors designed
for high frequency arefundamentally limited in their RF power generation capability
by lowbreakdownvoltage, whichprohibitstheir operationat thevoltagesnecessary to
generatehigh RF power. Also, relatively poor thermal conductivity makes it difcult
to engineer thedevicefor adequatethermal resistance, anddevices designedfor high
RF output power tend to operateat elevated temperature, which limits deviceperfor-
mance. Also, power devices must be designed for high current and this necessitates
devices with largecross-sectional area. This, in turn, produces lowimpedanceinputs
that aredifcult toimpedancematch, especiallyinpower-combinedschemes. All tradi-
tional semiconductor devices(i.e., thosefabricatedfromSi, GaAs, InP, etc.) arelimited
in operating temperature by relatively low barrier energy, and the electronic barriers
becomeincreasinglyleakyastemperatureincreases.
Advances in semiconductor materials engineering, device design, and fabrication
areprovidingsolutions tomany of theselimitations anddevices for high-power, high-
frequency, and high-temperatureapplications arebeing developed. Thesedevices are
expectedtondwideapplicationduetothehighreliability, small size, andpotential low
costofferedbysolid-stateelectronics. Oneverypromisingapproachisthedevelopment
of microwave transistors fabricated fromwide bandgap semiconductors, particulary
SiC, GaN, and heterostructures of theIII-Nitridesystem. Although research in these
3.2 Background 105
semiconductor materialshasbeenpursuedfor manyyears, thetechnologyfor producing
high-quality bulk SiC material for substrates has been demonstrated only in the last
fewyears, andepitaxial SiC material of sufcient quality for devicefabricationisnow
available. Bulk GaN substrates arenot yet available, althoughdevicequality GaN and
AlGaNepitaxial layerscanbegrownonsapphireandSiC substrates. A varietyof elec-
tronicdevicesfabricatedfromthesematerialshavebeendemonstratedandtheresultsare
promisingfor thedevelopment of transistorsthat canbeusedinhigh-power andhigh-
temperaturemicrowavetransmitters. Dueto highelectronvelocity (:
s
210
7
cm/s)
andhighsheet-chargedensity(n
s
10
13
cm
2
) III-Nitrideheterostructuredevicesshow
promisefor producingheterojunctionFETs(HFETs) withimprovedmm-waveRF per-
formance, potentiallyupto300GHzandabove. Atlower frequencies, ontheorder of X
bandandpossiblyashighasK band, SiCandGaN-baseddevicesshouldbecompetitive
withGaAs-basedandInP-basedtransistors for many applications [5], particularly for
improved RF output power capability. However, the higher current capability of the
GaN-basedheterostructuresover SiC-baseddevicesprovidesafundamental advantage
for higher frequencyoperationandimprovedRF output power aboveX or Kuband.
In this chapter, the physical operation, design, and modeling techniques for wide
bandgaptransistorsarepresented. Themicrowaveperformanceandstatusof transistor
development fromthewidebandgap semiconductor materials arepresented. Problem
areas that arepresently limiting deviceperformanceareindicated. It is demonstrated
that microwavepower ampliers fabricatedfrom4H-SiC MESFETs andAlGaN/GaN
HFETsofferexcellentRFpowerperformance, particularlyatelevatedtemperature. The-
oretical transistor models predict roomtemperatureRF output power on theorder of
530W/mmwithPAEapproachingthetheoretical limitsfor classA andBoperationfor
ampliers fabricatedusing4H-SiC MESFETs andAlGaN/GaN HFETs. Experimental
resultsverifythetheoretical predictions. Also, theoretical transistor modelsindicatethat
practical operationatelevatedtemperature, atleastupto500

C, ispossible. TheRFout-
putpower capabilityof devicesfabricatedfromwidebandgapsemiconductorsisalmost
an order of magnitudehigher compared with transistors fabricated fromSi or GaAs-
based materials. The wide bandgap semiconductor devices are nding application in
RF sourcesandpower ampliersfor basestationtransmittersfor cellular telephonesys-
tems, satellitetransmitters, HDTV transmitters, power modulesfor phased-arrayradars,
surveillanceandair-trafccontrol radars, wide-bandampliers, andother applications.
Thetransistors areparticularly attractivesincethey arereadily combinedfor highRF
power applications. They are also attractive for applications that require operation at
elevatedtemperaturesincetheyrequireminimal heat sinking.
3.2 Background
A variety of electronic devices for high-power andhigh-frequency applications canbe
fabricated fromSiC and GaN, and various heterostructures can be based upon these
materials, particularly GaN-basedheterostructures. Devices for power applications [6]
includePIN diodes, SchottkyBarrier Diodes, MOSFETs, BJ Ts, J FETs, thyristors, and
106 Wide band gap transistors SiC and GaN physics, design and models
various ICs fabricatedby combiningthebasic devices into complex structures. High-
frequency devices includeMESFETs, HFETs, SITs, BJ Ts and HBTs, and IMPATTs.
A variety of electronic devices, includingbothhigh-power RF andmicrowavedevices,
canbefabricatedfromnitride-basedsemiconductors. MicrowavedevicesincludeMES-
FETs, static-inductiontransistors(SITs), Heterojunctionbipolartransistors(HBTs), and
HFETs. Devicesfor high-power applicationsincludediodes, MOSFETs, MOSHFETs,
andbipolartransistors. Excellentperformancehasbeendemonstratedfromresearchand
prototypedevices, although many of thesedevices havenot found widespread useor
insertionintocommercial systems. MicrowaveAlGaN/GaNHFETsarendingapplica-
tionfor communicationsbandbasestationampliersandmicrowaveradar transmitters.
Theperformanceof SiC andGaN-basedtransistorsarereviewedinthissection.
3.2.1 SiC transistors
Bipolar transistors(BJ Ts) havebeenfabricatedinSiC. However, duetohighresistance
associatedwiththelowmobilityof p-typematerial, thebaseresistancefornpntransistors
ishigh, whichlimitsthefrequency responsefor thetransistor [7]. It isshownthat 6H-
SiC BJ Ts arelimitedinfrequency responsetoabout S band(i.e., 24GHz), but good
gain and RF output power arepossible, with RF output power on theorder of 50 W
predicted. Power-added efciency falls rapidly above about 1 GHz. The BJ T device
operationisdominatedbyminoritycarrier (electron) transit-timeacrossthep-typebase
region. Theuseof 4H-SiCresultsinimprovedperformance, anditisshownthatelectron
mobilityinthep-typebaseregioncanbeontheorder of 215cm
2
/V-sfor abaseregion
dopedwithAl toaconcentrationof N
B
= 410
18
cm
3
[8]. For thisdevice, thebase-
collectordepletionregionchargingtime,
c
, andtheparasiticchargingtime,
p
, fromthe
capacitancebetweenmetal padsandtheunderlyingcollectorregiondominatetheoverall
electrontransit timeand, therefore, thef
T
of thedevice. Thetransistor demonstrateda
peak f
T
= 4GHz at acollector voltageof V
CE
= 20V, andanemitter current density
of J
E
= 10kA/cm
2
. Theparasiticchargingtimecanbeminimizedbyimproveddevice
design and removal of theparasitic charging timeproduces apeak f
T
= 15 GHz. An
improveddevicedesignby thesameauthors [9] yieldedanf
T
= 7GHz andanf
max
=
5.2 GHz. Fabrication of the transistor on a semi-insulating substrate with resistivity
greater than 10
5
O-cmpermitted the parasitic charging time to be minimized. The
transistor wasbiasedat V
CE
= 20V, andoperatedat anemitter current densityof J
E
=
10.6kA/cm
2
. Thecalculatedmaximumavailablegain(G
max
) was18.6dB at 500MHz
and12.4dB at 1GHz.
A 4H-SiC BJ T with good gain has been reported by Huang and Cooper [10]. This
transistor utilized athermal oxidation procedure, similar to that employed in 4H-SiC
MOSFETs, to passivatethetransistor surface. Previous SiC BJ Ts suffered fromhigh
surfaceleakagecurrentsduetosurfacerecombinationvelocityintherange10
4
10
5
cm/s
[11, 12]. The passivated BJ T had a current gain = 55 and breakdown voltages of
BV
CEO
= 500V andBV
CBO
= 700V. Thecurrent gain remainedabove50withthe
current density above700 A/cm
2
. A record low on-stateresistancefor 4H-SiC BJ Ts
wasreportedby Zhanget al. [13]. The4H-SiC BJ T useda12mthick drift layer and
3.2 Background 107
producedanon-stateresistanceof 2.9mO-cm
2
, withanopen-basecollector-to-emitter
blocking voltage of V
CEO
= 757 V, and a current gain of = 18.8. The transistor
conducted acurrent of 5.4A (J
c
= 859 A/cm
2
) at aforward voltageof V
CE
= 2.5 V.
Thesameauthorspreviouslyreporteda4H-SiCBJ T thatsupportedavoltageof 9.2KV
[14]. high-power SiC BJ Tshavebeenfabricatedat UHF, and215Wof pulsedclassA
power at 450MHz has beenreported[15]. Thetransistor was biasedat V
CE
= 180V
andpulsedwitha0.1%duty cycletoavoidself-heating. Thegainwas7.5dB, andthe
RF power densitywas4.3W/mmwhennormalizedtoemitter nger length.
SITslookverypromisingfor RF power applications[16]. A SIT isbasicallyavertical
FET. Thedeviceoperates under space-charge-limited(SCL) electrontransport condi-
tions, and is very similar to a vacuumtriode in operation. The device demonstrates
lowcurrent gain(f
T
), but excellent voltagegainandhighpower gainis possible. The
lowgainprevents theSIT fromproducinggoodperformancemuchaboveC band, but
excellent UHF andS-Banddevices andampliers havebeenfabricated. Both6H and
4H-SiC havebeenused. Therst SITswerefabricatedfrom6H-SiC andadevicewith
11cmperiphery producedabout 38W at 175MHz with60%PAE [16]. The6H-SiC
SITs producedvery lowcurrent, andthelowcurrent was foundto beassociatedwith
anisotropic electrontransport behavior. Currentstravelinginadirectionparallel tothe
c-axisof a6H wafer wereabout vetimeslower thancurrentsnormal tothec-axisfor
thesamevoltage. Thisresultedinworkshiftingtotheuseof 4H-SiC, anda4H-SiCSIT
with 38 W RF output power, 9.5 dB of gain, and 45%drain efciency at 3 GHz was
developed [17, 18]. Thedevicewas operated under pulsebias and is useful for radar
applications. Further progressincludesa800WUHF SIT anda900WL-bandSIT [19].
A two-stageamplier with 1 kW RF output power using thesedevices was reported
for theHDTV market [20] and other applications. Themost recent result makes use
of ion-implantation to produceaunit cell devicethat generates 107 W output power
with 8.7 dB gain and 59% PAE under CW operation at 750 MHz [21]. The device
was biased at V
ds
= 81.8 V and I
ds
= 1.87 A. This unit cell deviceis being used in
atencell structureto producea10kW RF solidstatedriver amplier for commercial
applications.
The MESFET is a majority carrier device that can be fabricated using n-type SiC
material so that only electrons are involved in current transport [22]. The MESFET
is very attractive for fabrication of high-performance devices for use at microwave
frequencies. Therst SiC MESFETswerefabricatedfrom6H-SiC andMESFETswith
current gain-bandwidth products of f
T
= 25 GHz were reported [23]. These devices
produced3.5W(1.75W/mm) RF power with45.5%PAE at 6GHz. AlthoughtheRF
output power fromthe6H-SiCdevicesisabout threetimesthatgenerallyobtainedfrom
GaAs MESFETs, 4H-SiC has aloweldmobility about twicethat of the6H-SiC and
mostdevicedevelopment hasfocuseduponthismaterial. Early4H-SiCMESFETswith
RF output power on the order of 2.8 W/mmat 1.8 GHz [24], and 2.27 W/mmwith
65.7%for aclassB amplier werereportedat 850MHz [25]. Inlater work, a4H-SiC
MESFET withanf
max
of 42GHzwasreported[26], indicatingthatthesedevicesshould
be capable of producing excellent RF performance through X-band, and potentially
toK-band. This devicehadagatelengthof L
g
= 0.5mandproduced5.1dB gainat
108 Wide band gap transistors SiC and GaN physics, design and models
20GHz.high-powerampliershavebeenproducedandaSiCUHFtelevisionmodulehas
demonstratedgoodsignal delity at the2000WPEP level. S-band4H-SiC transistors
with over 200 W peak power have been produced for radar applications and X-band
power of over 6 W has been obtained [27]. A 4H-SiC MESFET with 42 mmof gate
periphery onasingledieproduced53W of RF power with37%PAE at 3GHz [28].
X-bandSiC MESFETshaveproduced2.5W/mmof RF power and41%PAE at 8GHz,
and 30 W RF power froma 12 mmgate device at 9.7 GHz [29], and excellent RF
power densityof 5.2W/mmat3.5GHzand4.5W/mmat10GHzwereobtained. Asthe
material quality improvedRF output power has improvedanda4H-SiC MESFET has
produced56W with53%PAE at L-band[30]. Thedevicehadaf
T
= 12GHz andan
f
max
= 17 GHz, and demonstrated minimal current drift up to 1100 h. A 4H-SiC
MESFET hasproduced20Woutput power with60%PAE inS-band[31].
A major limitationtoRF outputpower isbreakdownof thegateelectrodeonthedrain
side. Theelectriceldat thegateedgecanachieveaveryhighmagnitude, particularly
when thedeviceis biased to high drain voltageand operated with largeRF terminal
voltages consistent with high RF power drive. It has been shown that the gate can
leak current, and may demonstrate breakdown. The use of eld-plates [32] has been
demonstratedtoresult insignicantlyreducedelectriceldmagnitudeat thegateedge,
therebyreducinggateleakage. Theeld-platecanbeconnectedelectricallytothegate,
the source, or left oating. The use of the eld-plate permits higher voltages to be
applied, withimprovedRF output power. A eld-plate4H-SiC MESFET withaburied-
gatedesignproducedveryhighRF outputpower withapower densityof 7.8W/mmand
70%PAEat3GHz[33]. ThedevicewasoperatedinclassA/B. Theeld-platepermitted
adrainbias of V
ds
= 65V to beapplied. A two-stagebroadbandintegratedamplier
circuit that produced5W over 10MHz to 2.4GHz was reported[34]. Theintegrated
amplier produced 22dB gain, 37dBmoutput power and 28%PAE. Thethird-order
intercept was47dBm.
A novel FET which used a -SiC nanowire as the conducting channel has been
reported[35]. Thedeviceconsistedof aSiO
2
layer grownontopof aSi substrate. The
-SiCnanowirewaslocatedonthesurfaceof theSiO
2
betweentwometal contacts. The
Si substratewasusedasthegateelectrode, andapplicationof avaryingvoltagepermitted
control of thecurrent owingintheSiC nanowire. Nanowirediameters varyingfrom
1025 nmwith a length of 10 mmwere used. The device demonstrated good high-
temperatureperformanceandit wasconcludedthat thetransistor couldndapplication
asahigh-temperaturegassensor.
3.2.2 AlGaN/GaN transistors
The AlGaN/GaN HFET demonstrates excellent RF performance. High sheet-charge
density resulting fromhigh Al incorporation in the AlGaN layer permits high chan-
nel current to beobtained[36]. Initial HFETs werefabricatedonsapphiresubstrates,
but recent work has focused upon theuseof semi-insulating or p-typeSiC substrates
[3739]. Excellent RF performancehasbeenachievedat S-bandthroughKa-bandfre-
quencies, with the greatest RF power density obtained at S-band and up to X-band.
3.2 Background 109
Most AlGaN/GaN HFETs arefabricatedwithunintentionally dopedAlGaN andGaN
epitaxial layers. However, it isalsopossibletofabricateAlGaN/GaNHFETswithgood
RF performanceusingdopedchannel designs [40], and1.73W/mmRF output power
withgoodgainwasobtainedat8.4GHz. Thesmall signal performanceof thesedevices
demonstratedgainbandwidthproductsof f
T
=39GHzandf
max
=45GHz. Small-signal
performance with intrinsic current gain-bandwidth products up to f
T
= 106 GHz for
adevicewith agatelength of Lg = 0.15 mhas been obtained [41]. Thesedevices
producedabout4W/mmRF power and41%PAEat4GHz. VeryhighRF power density
has alsobeenobtainedand9.8W/mmRF power density with47%PAE at 8GHz has
been reported [42]. Thedevices had gatewidths of W= 2 mmand thedevices were
ip-chipmountedtoAlN substrates for improvedthermal conductance. Other devices
fabricatedusingSiC substrates producedRF power as highas 10.7W/mmat 10GHz
with40%PAE[43], withfurtherimprovementsyieldingslightlyover11W/mm. Devices
fabricatedusingAlNinterfacial layersbetweentheAlGaNandGaNproducedRFoutput
power of 8.4W/mmwithaPAE of 28%at 8GHz [44]. Theintroductionof eld-plate
technology suppresses theelectric eld at thegateedgeand permits larger drain bias
to beappliedresultinginhigher RF output power. A highRF output power density of
>30 W/mmwas reported for a eld-plate device biased at a drain voltage of 120 V
[45]. High PAE has also been reported, and an AlGaN/GaN HFET grown by MBE
ona4H-SiC substrateproduced 8.4W/mmwith67%PAE withadrainbias of 30V
[46]. Silicon has emerged as aviablesubstratematerial for AlGaN/GaN HFETs and
excellent RF performancehas been obtained. J ohnson et al. [47] reported RF output
power of 12W/mmwith52.7%PAE and15.3dB gainfor a0.7mgatelengthdevice.
The HFET was biased at 50 V and operated at 2.14 GHz. The transistor is intended
for communications band applications. Dumkaet al. report 7 W/mmwith 38%PAE
and 9.1 dB gain at 10 GHz froma AlGaN/GaN HFET fabricated on a Si(111) sub-
strate[48]. Thedevicewas biased at adrain voltageof 40 V. Reduction of thedrain
bias to 20 V resulted in a decrease in RF power to 3.9 W/mm, but an improvement
of thePAE to 52%. high-frequency Ka-band performancehas also been reported. At
18GHz Ducatteauet al. report anRF power density of 5.1W/mmwith20%PAE and
9.1 dB gain fromanitrideHFET fabricated on aSi substrate[49]. Thedevicehad a
0.25 mgatelength and acurrent gain bandwidth of f
T
= 50 GHz. An AlGaN/GaN
HFET fabricated on a SiC produced 5 W/mmwith 30.1%PAE and 5.24 dB gain at
26 GHz [50]. Leeet al. [51] report 4.13 W/mmwith 23%PAE and 7.54 dB gain at
35GHz. TheHFET wasbiasedwithadrainvoltageof 30V. TheHFET wasfabricated
onaSiC substrate. At 40GHz anRF power density of 2.8W/mm, 10%PAE, and5.1
dB gainwasobtainedfromadevicewitha0.18mgatelengthdevice[52]. Theperfor-
manceof thedevicewassensitivetofrequency, andRFoutputpowerdensityincreasedto
3.4W/mmbyreductionof theoperatingfrequencyto38GHz. Usingarecessgatedesign
anRF outputpower densityof 5.7W/mmwith45%PAE wasobtainedwithadrainbias
of 20 V [53]. Increasing the drain bias to 28 V resulted in an increase in RF output
power density to 6.9 W/mm. Palacios et al. [54] report excellent RF performance at
40GHz fromanAlGaN/GaN HFET fabricatedona4H-SiC(0001) substrate. Devices
with similar structures were fabricated using both OMCVD and MBE. The device
110 Wide band gap transistors SiC and GaN physics, design and models
produced8.6W/mmwith29%PAE andgainof about5dB. TheOMCVDgrowndevice
hadimprovedperformance, with10.5W/mm, 33%PAE, andabout6dBgain. Attempts
to improvedeviceperformanceincludenovel surfacepassivation and chargeconne-
ment. Lauandher colleagues[55] introducedasurfacepassivationtechniqueinvolving
auoride-basedplasmatreatment. Theuoride-basedplasmatreatment, alongwitha
post-gaterapidthermal annealingstep, wasfoundtoeffectively incorporatenegatively
chargeduorineionsintotheAlGaN barrier andpositively shift thethresholdvoltage.
Thetechniquewasusedtofabricateanenhancement-mode(E-mode), HFET. Shenetal.
[56] usedtheuorineplasmaprocess, alongwithadeeplyrecessedgateHFET design,
tofabricateadevicethatproduced17.8W/mmwith50%PAE and15dBgainat4GHz.
Thepassivationprocess limitedgateleakageandthereby permittedadrainvoltageof
V
ds
= 80V tobeapplied, without theuseof aeld-plate.
Thestrongpolarizationeffectsof theAlGaN/GaNstructuremaybeasourceof some
of thereliability problemsexperiencedwiththesedevices. Attemptstoinvestigatethis
includeutilizationof alternatebarriermaterialsthatarelesspolar. Onesuchstructurecan
befabricatedusingInAlN, rather thanthecommonlyemployedAlGaN. AnInAlN/GaN
HFET with a gate length of 0.7 m produced a gain-bandwidth product of f
T
=
13GHz andandf
max
= 11GHz. The2DEG was very high, withn
ss
= 410
13
cm
2
andanelectronmobility of
n
= 750cm
2
/V-s [57, 58]. AnInGaN layer was usedas
aback-barrier to improveconnement of the2DEG electrons [59]. Theconnement
improvedtheoutput resistance, andadevicewithagatelengthof 100nmproduceda
gain-bandwidthproduct of f
T
= 153GHzandanf
max
= 198GHz. Byadjustingthebias
thesamedeviceproducedanf
max
= 230GHz. A doubleheterojunctiondevicedesign
usinganInGaN notchfabricatedonasapphiresubstrateproducedRF output power of
3.4W/mmand41%PAE at 2GHz [60]. GoodRF performancehasalsobeenobtained
fromaGaN FET, fabricatedusinganovel surfacepassivationconsistingof athinAlN
layer locatedbetweentheGaNchannel andaSiNsurfacepassivation[61]. Theresulting
structureisbasicallyametal-insulator-semiconductor (MIS) FET. A devicewithagate
lengthof 60nmproducedf
T
= 107GHz andf
max
= 171GHz.
Most of theearly resultswerefor deviceswithvery narrowgatewidthstominimize
deviceheatingandthermal effects. Morerecentworkhasfocuseduponproducinghigh-
power devices andampliers suitablefor useinapplications suchas communications
basestationtransmitters. Andoetal. [62] reportedRF outputpower of 10.3Wwith47%
PAE and18dB linear gainat 2GHz. Thisresult wasfor adevicewithagatewidthof
1mm. Linear gate-widthscalingwithdraincurrent andRF output power hasalsobeen
demonstrated. A high-power integrated circuit using 8 mmof gateperiphery yielded
51W RF output power at 6GHz under pulsebias conditions [63]. A communications
bandamplier, usingAlGaN/GaN HFETs fabricatedonSiC substrates, andbiasedat
48V producedCW RF output power of 100W at 2.14GHz [64]. A C-bandamplier
usinga0.4mgatelengthand50.4mmgatewidthAlGaN/GaN HFET fabricatedon
aSiC substrateproducedRF output power of 140Wwith25%PAE. Theamplier was
operatedwithapulsebiasof 40V [65]. A pushpull transmitteramplierfor3Gwireless
basestationapplicationswasconstructedusingAlGaN/GaN HFETsfabricatedonSiC
substrates [66, 67]. At adrain bias of 50 V theamplier produced 250 W RF output
3.3 Material parameters 111
power and, usingdigital predistortionlinearization, anadjacent channel leakagepower
ratio (ACLR) of less than 50 dBc for four-carrier W-CDMA signals was obtained.
Very high RF output power was obtained fromwidegatewidth AlGaN/GaN HFETs
fabricatedonSi(111) substrates[67]. Theindividual HFETshadagatewidthof 36mm
and when operated under CDMA modulation produced 20 W RF power with adrain
efciencyof 27%whenbiasedat aV
ds
= 28V. Theamplier wasfabricatedusingtwo
of thedevices and produced amaximumRF output power of 156 W with 65%drain
efciencyat2.14GHzandnomodulation.Thesameauthorsreportfurtherimprovements
by employing asource-grounded eld plateon theHFET, and when biased at V
ds
=
60V andunder pulsedRF conditions, asaturatedRF output power of 368Wwith70%
PAE wasobtained. Widegatewidthdevicesrequireeffectivemeansfor grounding, and
alaser-assistedprocessing procedurefor fabricating viaholes was reported [68]. The
processpermitswidegatewidthdevicestobeeffectively grounded, anda20mmgate
widthdevicebiasedat V
ds
= 26V produced41.6Wwith55%PAE at 2GHz.
AmpliersfabricatedusingAlGaN/GaNHFETshaveproducedover 400Wof pulsed
power with 600 MHz of bandwidth (2.9to 3.5 GHz) and 50%PAE [69], atwo-stage
amplier has produced 58 W with 38% PAE and 15 dB gain at X-band [70], and a
MMIC amplier has produced 500 mW RF power with 17%PAE and 12 dB gain in
E-Band (7195 GHz) [71]. The nitride devices are being aggressively developed for
applicationinampliersfor S-bandcommunicationsbandbasestationtransmitters, and
asampliersfor X, Ka, andW-bandradar transmitters.
3.3 Material parameters
TheDCandRFperformancecapabilityof electronicdevicesisfundamentallydependent
upontheelectronic, thermal, andmechanical propertiesof thematerialsfromwhichthe
devicesarefabricated.Of particularimportancearethechargetransportcharacteristicsas
afunctionof electriceldfor thematerial. Eachsemiconductor hasadifferentvelocity-
eldcharacteristic, andsemiconductorsof mostinterestfor devicefabricationwill have
high carrier velocity capability. The quality of semiconductor epitaxial material has
continuallyimproved, andtheDCandRFperformanceof semiconductortransistorshave
experiencedsignicantperformanceimprovementsasaresult. A varietyof technologies
forgrowthof semiconductorepitaxial layers, suchasmolecularbeamepitaxy(MBE)and
organo-mellaticchemical vapor deposition(OM-CVD), havebeendevelopedandthese
technologies permit the growth of epitaxial layers of precise thickness and impurity
doping concentration. It is now possible to fabricate solid state devices with layer
thicknessof onlyafewangstromswithprecisedenedimpurityconcentrations, andthis
level of control permitsdeviceswithfrequencyperformancewell over 100300GHzto
befabricated.
The advantages of device fabrication fromwide bandgap semiconductors can be
seenfromacomparisonof fundamental electronictransport andmaterial parameters. A
summaryof thesemiconductor material propertiesmost important toelectronicdevice
performanceislistedinTable3.1for several semiconductors.
112 Wide band gap transistors SiC and GaN physics, design and models
Table 3.1 Material properties for several semiconductors
Material E
g
(eV)
r
(W/

K-cm) E
c
(V/cm)
Si 1.12 11.9 1.5 310
5
GaAs 1.43 12.5 0.54 410
5
InP 1.34 12.4 0.67 4.410
5
3C-SiC 2.3 9.7 4 1.810
6
4H-SiC 3.2 10.0 4 3.510
6
6H-SiC 2.86 10.0 4 3.810
6
GaN 3.4 9.5 1.3 210
6
Diamond 5.6 5.5 2030 510
6
For transistors the most important material properties for fabrication of high-
performance microwave structures include a large energy gap, E
g
(eV), a low value
of dielectric constant,
r
, high thermal conductivity, (W/

K cm), and high critical


electric eldfor breakdownE
c
(V/cm). Wideenergy bandgapgenerally translatesinto
anabilitytosupporthighinternal electriceldsbeforeelectronicbreakdownoccurs, and
alsoprovidesfor improvedradiationresistance. Most transistor fabricationhasbeenin
Si, GaAs, andInP andrelatedcompoundsandthevast majorityof all devicescommer-
cially availablearefabricatedfromthesematerials. TheSiC andGaN-basedmaterials
have energy bandgaps about two to threetimes larger than thosein theconventional
semiconductors, suchas Si, GaAs, andInP. Thedielectric constant is anindicationof
thecapacitiveloading of adeviceand affects theterminal impedance. Generally, for
solidstatedevicesalowvaluefor thesemiconductor dielectricconstant isdesired, and
this permits asolid statedeviceto belarger in areafor aspecied impedancevalue.
Increased areapermits larger RF currents and higher RF power to begenerated. The
widebandgapsemiconductorshavedielectricconstantsabout 20%lower thanthecon-
ventional materials. This, inturn, permits awidebandgapsemiconductor devicetobe
about 20%larger inareacomparedtoacomparabledevicefabricatedfromSi or GaAs
for aspecicimpedancemagnitude, andincreasedareapermitslarger RF currentsand
higher RF power tobegenerated.
Thethermal conductanceof thematerial isextremelyimportant sincethisparameter
indicates theeasewithwhichdissipatedpower canbeextractedfromthedevice. Poor
thermal conductivity resultsindeviceoperationat elevatedtemperaturewithdegraded
performance. Conventional semiconductors are, in general, poor thermal conductors,
particularly theGaAs andInP materials. Conversely, SiC is anexcellent thermal con-
ductor andGaN is about thesameas Si, thebest of theconventional semiconductors.
Diamondhasthehighest thermal conductivityof anyknownmaterial andisoftenused
tofabricateheatsinksfor semiconductor devicesthatmustoperateinhigh-power appli-
cations. Finally, thecritical electriceldfor electronicbreakdownshouldbehigh. This
parameter is an indication of thestrength of theelectric elds that can besupported
internallytothedevicebeforebreakdown. Highelectriceldspermit largeterminal RF
voltagestobesupported, andthisisnecessaryfor thegenerationof highRF power. The
critical elds for thewidebandgapmaterials areexcellent andvery high, typically an
3.3 Material parameters 113
Electric Field (kV/cm)
10 1
0.1
1
10
GaAs
AIGaN/GaN
4H-SiC
6H-SiC
Si
GaN V
e
l
o
c
i
t
y

(
x
1
0
7

c
m
/
s
)
100 1000
Figure 3.2 Electronvelocityversuselectriceldtransport characteristicsfor variousn-type
semiconductors(N
d
=10
17
cm
3
).
orderof magnitudegreaterthanfortheconventional semiconductors. Ingeneral thewide
bandgapsemiconductorshavemoreoptimumvaluesfor all theseparameterscompared
toconventional semiconductors.
Basically, acurrentisdenedasthemovementof chargeandexpressedastheproduct
betweenthechargedensity andtransport velocity. Therefore, theDC andRF currents
thatowthroughadevicearedirectlydependentuponthechargecarrier velocityversus
electriceldtransportcharacteristicsof thesemiconductor material. Generally, for high
currents andhighfrequency, highchargecarrier mobility andhighsaturationvelocity
aredesirable. A comparisonof theelectronvelocity-electric eld(:-E) characteristics
for several semiconductors isshowninFigure3.2. The:-E characteristic isdescribed
in terms of charge carrier mobility
n
, (units of cm
2
/V s) dened fromthe slope of
the:-E characteristicat lowelectriceld, andthesaturatedvelocity:
s
(unitsof cm/s),
dened when the carrier velocity becomes a constant, eld-independent magnitude,
generallyat highelectriceld. Thehighvaluefor electronmobilityof GaAs(typically,

n
5000cm
2
/V s) isthemainreasonthatFETsfabricatedfromthismaterial havesuch
excellenthighfrequencyperformance. A primarydisadvantageof fabricatingtransistors
fromSiCandGaNistherelativelylowvaluesforthechargecarriermobilities(typically,

n
200500cm
2
/V s). Ingeneral, thewidebandgapsemiconductorshaverelativelylow
mobility, butveryhighsaturationvelocity(typically, :
s
1210
7
cm/s). However, the
mobility of SiC andGaN isadequatefor transistorsdesignedfor highpower operation
[72] duetothelargeRF terminal voltagesthesetransistorscansustain. Thelowmobility
producesarelativelyhighkneevoltage(i.e., thetransitionvoltagebetweenthelinearand
saturationregions onthetransistor IV curve), but theability of thedevicetoproduce
goodRF output power andPAE inamplier circuits is not seriously compromisedby
therelativelyhighkneevoltageduetothelargeRF terminal voltages, whichareonthe
order of 1020 times themagnitudeof thekneevoltage. In practice, near ideal PAE
isobtainedfor ampliersfabricatedfromwidebandgapsemiconductor transistors, and
114 Wide band gap transistors SiC and GaN physics, design and models
10
mobility
Sheet Charge Density
100
Temperature (K)
1000
10000
2
D
E
G

M
o
b
i
l
i
t
y

(
c
m

2
/
V
-
s
)
1000
S
h
e
e
t

C
h
a
r
g
e

D
e
n
s
i
t
y

(
c
m

2
)
10
12
10
13
10
14
10
15
10
16
Figure 3.3 Electronmobilityandsheet chargedensityversustemperaturefor a2Delectrongas
fromShubnikovDeHaasmeasurements[5].
theAlGaN/GaN HFET ampliers obtainnear-ideal PAE upto X-band, andpotentially
higher.
For atypical devicedopingdensity of N
d
210
17
cm
3
, theelectronmobility for
6H- and4H-SiCareabout250cm
2
/V sand500cm
2
/V s, respectively. Thefactor of two
increaseinmobility for 4H-SiC comparedto 6H-SiC is oneof themajor reasons that
the4Hpolytypeispreferredfor deviceapplications. Theelectronsaturationvelocityin
both6H- and4H-SiC is :
s
210
7
cm/s, whichis afactor of two higher thanfor Si
(:
s
110
7
cm/s) andafactor of four higher thanfor GaAs(:
s
(0.50.6)10
7
cm/s).
Themobilityandsaturationvelocityfor the2DEGfor theAlGaN/GaN heterointerface
is very suitablefor deviceapplications. Theroomtemperaturemobility of the2DEG
is in the range of 10001500 cm
2
/V s, which is signicantly better than for SiC or
bulkGaN. Thesheet-chargedensityfor thisstructurecanbeveryhighandgreater than
n
ss
10
13
cm
2
duetopiezoelectric andspontaneous polarizationinducedeffects. The
measuredsheet-chargedensityisaboutafactorof vebetterthanisobtainedforthemore
commonly employedAlGaAs/GaAs heterostructure. Thecharacteristics for the2DEG
areshownintheShubnikov-DeHaasandHall mobilitymeasurementsinFigure3.3[5]
for an AlGaN/GaN heterostructure grown on a sapphire substrate. The measurement
over temperature indicates that the 2DEG mobility is very sensitive to temperature,
demonstrating about T
2.3
dependence. This indicates that devices fabricated from
thistypeof structurewill betemperaturesensitiveandperformancewill degraderapidly
withelevatedtemperature.
Themagnitudeof electriceldthat producessaturatedchargecarrier velocityisalso
importantsincethedevicemustbeabletodevelopthesaturationeldtoobtainmaximum
RF performanceandhigh-frequencyoperation. Thesaturationeldsfor 4H-and6H-SiC
areabout E
s
60kV/cmandE
s
200kV/cm, respectively, whicharehighrelativetothe
comparablevaluesof E
s
3kV/cmandE
s
35kV/cmfor GaAsandSi. Thesaturation
eldfor theAlGaN/GaN heterostructure2DEGislessthanfor either 6H- or 4H-SiC.
3.4 Transistor amplier operating principles 115
Network
V
s
Z
s
= R
s
+ jX
S
Z
L
= R
L
+ jX
L
I
L
V
L
P
in
P
out
Z
in Z
out
Figure 3.4 General two-port networkusedfor amplier analysis.
Holemobilities in SiC and GaN-based materials arevery low, and on theorder of
1050cm
2
/V-s, andit is very difcult to observesaturationeffects for holetransport.
Extremelylowmobilityrequiresveryhighsaturationelds, whichapproachthecritical
eldfor avalanchebreakdown. Lowmobility alsoresultsinhighvaluesfor resistance,
whichlimitsdeviceperformance. Thelowholemobility presentsseriousproblemsfor
use of p-type wide bandgap material in devices. For this reason, most devices under
development aremajoritycarrier devices, suchasFETsandstatic-inductiontransistors
that canbefabricatedusingonlyn-typesemiconductor material.
3.4 Transistor amplier operating principles
Thebasic congurationfor anamplier isshowninFigure3.4[73]. Theamplier isa
two-port network that consistsof asourcethat feedstheinput withaloadconnectedto
theoutput. Thenetworkhasgainandtherebyampliesasignal passingthroughit from
thesourcetotheload. RFpowercanonlybegeneratedfromareal source(i.e., resistance)
anddeliveredthroughanetworktoareal load(i.e., resistance). Sinceelectronicdevices
andnetworks, as well as most microwavesources andloads, also includereactanceit
is necessary to employ reactivetuningto obtainoptimumpower transfer. Conjugately
tunedoutput andloadimpedancesdeliver maximumRF output power fromthesource
totheload.
Thepower deliveredtotheload, P
L
, fromthenetworkcanbewrittenas
P
L
=
1
2
Re
_
V
L
I

=
1
2
[I
L
[
2
R
L
(3.1)
whereV
L
andI
L
arethevoltageandcurrent at theloadimpedance, andR
L
is thereal
part of theloadresistance. Thepower deliveredtotheloadcanbewrittenasafunction
of thereectioncoefcient at theload,
P
L
= P
out
_
1[I
L
[
2

(3.2)
whereP
out
istheRF poweravailablefromthenetworkandI
L
isthereectioncoefcient
at theload. MaximumRF power transfer occursfor noreectionfromtheload,
I
L
= 0 (3.3)
116 Wide band gap transistors SiC and GaN physics, design and models
Drain Voltage (V)
Linear
D
r
a
i
n

C
u
r
r
e
n
t

(
A
)
0
0.1
0
0.1
0.2
0.3
0.4
0.5
0.6
0.7
1 dB Compression
3 dB Compression
5 10 15 20 25
Figure 3.5 Dynamiccurrent-voltageloadlinessuperimposedupontheDC IVcharacteristicsfor
aGaAsMESFET amplier (thethreedynamicloadlinesindicateoperationfor linear, 1dB,
and 3dB compressionconditions) [5].
This conditionoccurs whentheloadimpedanceis set tothecomplex conjugateof the
networkoutput impedance
Z
L
= Z

out
(3.4)
Theamplier PAE is
P AE =
P
L
P
in
P
dc
x100%= P
in
(G 1)
P
dc
x100% (3.5)
where P
in
is the RF power into the network, P
DC
is the DC power dissipated in the
network, andGisthenetworkgain, expressedas
G =
P
L
P
in
(3.6)
Thedynamiccharacteristicsof anamplier usingaGaAsMESFET astheactivedevice
areillustratedinFigure3.5, whichshowsdynamicloadlines(i.e., IVcharacteristics) for
threeconditions: linear operation; 1dB incompression; and 3dB incompression.
The dynamic load lines are superimposed upon the DC IV characteristics for the
activedevice. For thesituationshowninFigure3.5theGaAs transistor is biasedwith
adrain-sourcevoltageof V
ds
= 8 V, and thenetwork is tuned for maximumPAE for
each dynamic load line. SinceRF power can only begenerated by areal sourceand
deliveredtoareal load, thedynamicloadlinewouldbeastraightlineoscillatingupand
downtheDC loadlinefor thenetwork. However, sincethedevicehascapacitance, the
dynamicloadlinedemonstrateselliptical behavior. Whilethedeviceisoperatingbelow
saturation the load line is conned within the DC IV characteristics. As the device
is driven into saturation thedynamic load lineshifts and extends outsidetheDC IV
characteristics onboththehigh-current andlow-current portions of theRF cycle. The
averagevalueof theRF current also increases, indicating that thedeviceDC current
increases as the device is driven into saturation. The extension of the dynamic load
line outside the DC IV characteristics is possible due to the complex nature of the
network. Thetotal RF currentconsistsof conductionanddisplacementcomponentsand
3.4 Transistor amplier operating principles 117
althoughtheconductioncurrent islimitedby theIV characteristics, thedisplacement
currentmaintainscurrentcontinuityattheterminals. Thatis, asthedeviceisdriveninto
saturationtheconductioncurrentisclippedbytheIVcharacteristicsfor thedevice, but
thetotal RFcurrentcontinuityismaintainedbydisplacementcurrent.Devicecapacitance
increases as it is driven into saturation and inductive tuning is necessary to obtain
optimumRF performance.
Optimizingtheinductiveexternal impedancetomatchthecapacitiveimpedanceof the
transistor resultsinthereversal of dynamic loadlinedirection, asshowninFigure3.5.
Under optimumtuningconditionsthenetwork isessentially aresonant circuit withthe
reactiveenergy shiftingbetweenthecapacitiveandinductiveelds. As thenetwork is
drivenfurther intosaturationthecurrentclippingbehavior increases, withanetincrease
inbothDC current anddevicecapacitance.
The dynamic behavior of the amplier network denes the factors that determine
theRF performancelimits of thedeviceandthematerials fromwhichit is fabricated.
Thepower deliveredtotheloadisaproductof theRF voltageandRF currentthatcanbe
establishedat theload, andthisisdeterminedbytheactivedevice. Semiconductorsare
limitedinthebiasvoltagethatcanbeappliedbythecritical electriceldfor breakdown
of thesemiconductor material. Therefore, semiconductorsthathavehighcritical electric
elds for breakdownaredesirablefor power deviceapplications. Thecritical eldfor
breakdown is a function of bandgap energy and wide bandgap semiconductors are
desirablefor power applications.
TheIV characteristicsshowninFigure3.5canbeusedtoexplainthebasic classes
of amplier operation. For example, if the transistor is biased at a DC voltage and
DC current locatednear themiddleof theIV characteristic plane, andtheinput and
output impedances are tuned so that the dynamic RF IV characteristic is conned
completelywithintheIVcharacteristicplane, theamplier will operateunder classA
conditions. Thetransistor isalwaysinanon stateandthemaximumPAE is50%. By
changingthegate(orbase) biastoreducetheDCdrain(orcollector) currentthedynamic
IV characteristic will begin to clip on thehigh-voltageportion of theRF cycle. The
waveformclippingwill result inno channel conductioncurrent, but theRF waveform
will bemaintainedbycapacitivecurrent. Thereductioninthedraincurrentwill produce
areductionintheDCpower dissipationwithinthetransistor, andthewaveformclipping
will produceareductionintheRF power deliveredtotheload. However, thereduction
in theDC power dissipation occurs morerapidly than thereduction in theRF output
power, with a result that the PAE increases. For a bias condition where one half of
the RF waveformis clipped, the RF output power will decrease by a factor of two
(3dB). Theideal PAE for this modehas atheoretical valueof 78.5%. Althoughthe
PAE isincreased, thehalf-sinusoidcurrent waveformproducesharmonicsat theoutput,
althoughit islinear inthesensethat anxdB increaseintheinput power resultsinanx
dB increaseinoutput power until thedeviceisdrivensufcientlyhardtocauseclipping
of thetopof thecurrent waveform. Linearity isvery important for ampliersdesigned
for communicationssystems. Usingtwotransistorsinapushpull conguration, where
eachtransistor isintheon statefor one-half of theRF cycle, doublestheoutputpower
and hence extends the linear range while maintaining the high PAE. The penalty is
118 Wide band gap transistors SiC and GaN physics, design and models
therequirement for theadditional transistor, alongwiththenecessary RF circuitry to
accomplishthenal circuit. For operationbetweentheclassA modeandtheideal class
B mode, theRF waveformispartiallyclippedandthePAE will besomewherebetween
50%and78.5%. ThismodeisgenerallytermedclassA-B, andmanypractical transistor
ampliersaredesignedtooperateinthismode. Thisisnecessarybecausereal transistors
haveasoft-turn-oncharacteristicandsoif operatedinapureclassBmodethentheyare
nonlinear at small signalsandshowagainexpansionregionbeforesaturatingandthen
eventuallyenteringthetraditional nonlinear gaincompressionregion. A veryhigh-PAE
modecanbeobtainedbybiasingthetransistorwell belowthevoltagethatpermitschannel
conductioncurrent to ow. Inthis mode, termedclass C, thetransistor only conducts
during the peak of the voltage during the RF cycle. The current waveformbecomes
essentiallyapulse. Theideal theoretical efciencyfor classC is100%, althoughthisis
onlyobtainedwithnopower deliveredtotheload. Practical classCampliers, however,
canbedesignedtooperatewithPAE inthe8090%range.
Electronic devices designedfor microwaveandRF applications operateinatransit-
timemodeandarescaledinsizebyfrequencyconsiderations. Under normal operation
theelectriceldswithinthedevicesvaryfromlowmagnitudenear theelectroninjection
locationtoamagnitudesufcient toproduceelectronvelocity saturationinthecharge
control/modulation region. Therefore, largecurrent capability requires semiconductor
materials that have high electron velocity. In general, both high-mobility and high-
saturationvelocity aredesirablefor highRF current. Traditional semiconductors such
as Si and GaAs haveelectron saturation velocities that arelimited to about :
s
= 1
10
7
cm/s, andthislimitsboththepowerthatcanbegeneratedandthefrequencyresponse
of thedevice. Widebandgapsemiconductorshaveelectronsaturationvelocitiesthatcan
beafactor of twohigher. Thecombinationof high-current andhigh-voltagecapability
makewidebandgapsemiconductors very attractivecandidatematerialsfor fabrication
of high-power andhigh-performanceelectronicdevices.
3.5 Device design and RF performance
The most promising devices for high-power, high-frequency RF applications are the
4H-SiC MESFET and the AlGaN/GaN HFET. Since the 4H-SiC MESFET can be
fabricated entirely fromn-type material the losses associated with use of p-type SiC
canbeavoided. Thedeviceisalsorelativelyeasytofabricateduetoasimplestructure.
Thebasic MESFET structureconsists of ahighly doped n-typeepitaxial layer grown
upon ahighly resistivesubstrate, as shown in Figure3.6. Thedrain-to-sourcecurrent
is thereby conned to the highly doped n-type layer. A control electrode (the gate)
is locatedbetweenthesourceanddrainelectrodes, whicharedesignedto haveohmic
current-voltagecharacteristics. ThegateelectrodeisanonlinearSchottkycontact, which
innormal operationisreversebiased, whichcreatesadepletionregionintheconducting
channel, thereby permitting control of thedrain-to-sourcecurrent. Modulation of the
voltage applied to the gate electrode permits the channel current to be modulated,
andsincealargechannel current canbemodulatedwithasmall gatevoltage, alarge
3.5 Device design and RF performance 119
Figure 3.6 SiC MESFET structure.
n
+
cap n
+
cap
Figure 3.7 AlGaN/GaN HFET structure.
transconductance(i.e., gain) is achieved. Themagnitudeof thetransconductanceand
theupper frequencyof operationof thedevicescalewithreductionsingatelength, and
for thisreasonshort gatelengthsaredesirable. Inpractice, gatelengthsontheorder of
L
g
0.11 mareroutinely realized, and this permits operation with good gain to be
realizedaboveXandKu-bandsfor SiC-basedMESFETs.
The AlGaN/GaN HFET is also readily fabricated and demonstrates excellent RF
performance. Thesedevicesaresimilar totheMESFET, butdiffer inthesemiconductor
layer structure. A HFET structureisshowninFigure3.7. Typically, anundopedlayer of
GaN isgrownuponahighly resistivesubstrate, oftenSiC. A GaN buffer layer isoften
usedtoaccountforthelatticemismatchbetweentheSiCandGaNlayers. A thinupdoped
AlGaNlayer isthengrownupontheundopedGaNlayer. Theenergybanddiscontinuity
betweentheAlGaNandGaNlayerscreatesanenergynotch attheheterointerface, and
thisresultsinthecreationof a2Delectrongas(2DEG), whichestablishesaconducting
path between the drain and source electrodes, which are fabricated in an analogous
manner totheMESFET. A Schottkygatecontactislocatedbetweenthedrainandsource
electrodes, as in the MESFET, and the same scaling rules apply. However, since the
120 Wide band gap transistors SiC and GaN physics, design and models
0
0
0.1
0.2
0.3
0.4
0.5
0.6
0.7
10 20 30 40 50
V
gs
= 8 V
V
ds
(V)
I
d
s

(
A
)
V
gs
= 5 V
V
gs
= 2 V
+V
gs
= 1 V
Figure 3.8 DC IVcharacteristicsfor aSiC MESFET (N
d
= 10
17
cm
3
, L
g
= 0.5mm,
W= 1mm) [5].
electrontransport characteristicsaremuchsuperior inthenitrideheterointerface2DEG
compared to the bulk SiC, the AlGaN/GaN HFET is capable of much improved RF
frequency performance. In fact, thenitridedevices arecapableof RF operation with
goodgainwell above100GHz.
Inthenext sectiontheDC andmicrowaveperformanceof thesedevicesisdescribed,
and performance projections are presented. The investigation makes use of theoreti-
cal simulationsandtheresultsarecomparedtoexperimental measurements. Excellent
agreementbetweenthesimulatedandmeasureddataisobtained. Oncethedevicesimula-
toriscalibratedandveriedagainstexperimental data, thesimulatorisusedtodetermine
theperformancefor optimized devicestructures. Theoptimized devicestructures are
tunedinClassA andClassA/Bampliernetworkstoinvestigatepredictedperformance.
3.5.1 4H-SiC MESFET amplier
TheMESFET, sinceit isamajority carrier device, isanideal transistor for fabrication
using wide bandgap semiconductors [7]. The DC IV characteristics for a MESFET
fabricatedfrom4H-SiC andwithgatelengthL
g
= 0.5mandgatewidthW= 1mm
areshowninFigure3.8. Thedevicehasbeenoptimizedfor microwaveperformancein
X-bandandhasauniformchannel impuritydopingdensityof N
d
=510
17
cm
3
anda
channel thicknessof a=0.15m. Theconductingchannel isgrownonahigh-resistivity,
semi-insulatingsubstrate. Thetransistor producesamaximumchannel current of I
dss
=
550mA andamaximumtransconductanceof g
m
= 65mS/mm, whichislowbyGaAs
MESFET standards wherethetransconductances aregenerally intherangeof several
hundredmS/mmforanX-bandtransistor. TheIVcharacteristicsindicateakneevoltage
wherethechannel current saturates, withadrainvoltageof about 9V, whichishighby
GaAsMESFET standardswherethedevicestypicallysaturateat lessthanavolt.
3.5 Device design and RF performance 121
0
1 10
Frequency (GHz)
H
2
1

(
d
B
)
,

G
m
a
x

(
d
B
)
100
5
15
10
20
25
30
35
40
G
max
H
21
Figure 3.9 Current gain(H
21
) andpower gain(G
max
) small-signal RF performanceversus
frequencyfor aSiC MESFET amplier [5].
P
in
(dB m)
P
o

(
d
B

m
)
,

P
A
E

(
%
)
,

G

(
d
B
)
0
10
20
30
PAE
P
o
40
50
60
20
G
30
40
35 25 15 10 0 5
Figure 3.10 Large-signal RF performanceversusRF input power for aSiC MESFET amplier
(Freq= 10GHz, V
ds
= 40V, ClassA operation).
Thesmall-signal current (h
21
) andpower gains (Gmax) for thedeviceareshownin
Figure3.9. Although thetransconductancefor the4H-SiC MESFET is low by GaAs
MESFET standards, the device produces a gain-bandwidth product of f
T
= 24 GHz
andamaximumfrequency of oscillationof f
max
= 56GHz. Thef
max
is highdueto a
high-magnitudeoutput impedance, which permits high-voltagegain to bedeveloped.
Thesmall-signal RF parametersshowninFigure3.9indicatethat thedeviceiscapable
of producing good RF output power through X-band, and potentially higher. This is
demonstratedinFigure3.10, whichshowstheoperationof thetransistor whenoperated
in aClass A amplier circuit. Theamplier is biased at V
ds
= 40V and is tuned for
maximumPAE at 10 GHz. The amplier produces a maximumRF output power of
122 Wide band gap transistors SiC and GaN physics, design and models
Frequency (GHz)
P
A
E

(
%
)
,

P
o

(
d
B

m
)
,

G

(
d
B
)
0
10
20
30
PAE
P
o
40
50
60
20
G
30 35 25 15 10 0 5
Figure 3.11 RF performanceversusfrequencyfor aSiC MESFET amplier (V
ds
= 40V, ClassA
operation).
5 W/mmwith a maximumPAE of 50%, the ideal value for Class A operation. The
linear gainof theamplier is14.8dB. Theseresultsareexcellent andsuperior tothose
obtained fromacomparablegatewidth GaAs MESFET, which can only produceRF
outputpower ontheorder of 11.5W/mm. Therelativelylowelectronmobilityof SiC
andhigh-saturationkneevoltageof thetransistor donotlimittheRF performanceof the
devicebecausethe40V drainbiasthat canbeappliedissufcient for theregionunder
thegatetooperateinvelocitysaturationconditionsandefcient gatemodulationof the
channel current is maintained [72]. The gate breakdown voltage for this transistor is
V
gdB
=100V, therebypermittingthe40V drainbiastobeappliedwithoutencountering
RF breakdownphenomena.
Thesmall-signal RF parametersindicatethat theamplier shouldoperateabovethe
X band. To explore the performance of the amplier as a function of frequency it
is operated over a frequency range extending from3 GHz to 30 GHz and tuned for
maximumPAE. TheresultsareshowninFigure3.11. Asindicatedtheamplierproduces
near ideal class A performance through X-band (12 GHz). At 12 GHz the amplier
produces4WRF power with48%PAE and10dB linear gain. AboveX-bandthegain
and PAE decrease due to increased losses that result fromthe low electron mobility.
The PAE decreases from48% at 12 GHz to 26% at 30 GHz. The high-frequency
gain is signicantly reduced and at 30 GHz is only about 3 dB, which is too lowfor
practical use.
Theseresultsstemfromextensiveinvestigationsandhavebeencalibratedandveried
withexperimental results. Thestudyindicatesthat 4H-SiC MESFET amplierswill be
useful through X-band, but will have limited application at higher frequencies. The
lowmobility of SiC producesrelatively highaccessregionandcontact resistancesthat
severelylimit RF performanceat frequenciesaboveX-band.
3.5 Device design and RF performance 123
Frequency (GHz)
P
o

(
d
B

m
)
,

P
A
E

(
%
)
,

G

(
d
B
)
0
10
20
30
PAE
P
o
40
50
60
20
G
30 35 25 15 10 0 5
Figure 3.12 Large-signal RF performanceversusfrequencyfor anAlGaN/GaN HFET amplier
(V
ds
= 25V, ClassA operation).
3.5.2 AlGaN/GaN HFET amplier
FETsfabricatedusingtheAlGaN/GaN heterostructureoffer thepotential toproducea
class of devices withexcellent DC andRF performance. Thechargedensity andelec-
tron transport characteristics of the 2DEG at the heterointerface between the AlGaN
andGaN layersareexcellent, withvery highsheet-chargedensity ontheorder of 10
13
cm
2
routinelyrealized. Thismagnitudeistypicallyafactor of vehigher thanfor the
AlGaAs/GaAs2DEGusedinGaAs-basedHEMTs. Thesheet-chargedensity ishigher
thanwouldbeexpectedfromstandard2DEGtheoryandthishasbeenshowntobedue
topiezoelectricandspontaneouspolarizationeffects. The2DEGattheAlGaN/GaNhet-
erojunctionhasexcellentchargetransportcharacteristicsandthesaturationvelocityhas
amagnitudeof about 1210
7
v/cmandmobility intherangeof 10001500cm
2
/Vs
at roomtemperature. The combination of high sheet-charge density and high carrier
velocityresultinhighcurrentcapabilityfor thetransistor. Inpractice, highchannel cur-
rent isobtainedfromthesestructures, andAlGaN/GaNHFETswithmaximumchannel
currentsover 1A/mmandapproaching2A/mmareroutinelyobtainedexperimentally.
Thesimulatedmicrowaveperformanceas afunctionof frequency for anoptimized
AlGaN/GaNHFET ClassA amplier tunedfor maximumPAE isshowninFigure3.12
[7]. Thetransistor has agatelength of L
g
= 0.5 m, and awidth of W= 1 mmand
is biased at V
ds
= 25 V and V
gs
= 4 V. This gate bias would be expected from
the DC IV characteristics to place the amplier in class A operation. However, due
to recticationeffects under overdrivenlarge-signal operationthetransistor bias point
shiftsasafunctionof frequency andtheamplier shiftsbetweenclassA andclassAB
operationfrom3GHz to18GHz. Thisisevidencedby thePAE, whichwasover 50%
from3GHz to 25GHz. ThePAE peaked at about 58%from12 GHz to 18GHz. At
frequenciesabove18GHz thePAE decreasestoabout 44%at 30GHz duetoincreased
124 Wide band gap transistors SiC and GaN physics, design and models
Frequency (GHz)
P
o

(
d
B

m
)
,

P
A
E

(
%
)
,

G

(
d
B
)
0
10
20
30
PAE
P
o
40
50
60
20
G
30 35 25 15 10 0 5
Figure 3.13 Large-signal RF performanceversusfrequencyfor anAlGaN/GaN HFET amplier
optimizedfor highRF output power (V
ds
= 25V, ClassA operation) [5].
losses. The amplier produces RF output power of about 35 W over the frequency
rangeof 3GHz to30GHz, whichisexcellent for aHFET witha1mmgatewidth. The
linear gainisabove10dB from3GHz to18GHz, andisstill at 9dB at 30GHz.
FETscanbedesignedtomaximizeRF outputpower, gain, orPAE, butitis, ingeneral,
not possible to obtain optimumperformance for all three parameters simultaneously
[74]. For thetransistor described here, themodication of thestructurefor increased
channel current andwithretuningof theinput andoutput impedancesfor anoptimized
combinationof performancemeasures, it is possibletoget anRF output power onthe
order of 1012 W/mmwhilemaintaining high PAE at high operating frequencies, as
showninFigure3.13. Infact, evenhigher RF outputpower couldbeobtained, butatthe
expenseof PAE andgain, whichrapidlydegradeasoperatingfrequencyisincreased. In
fact, aspot RF output power densitygreater than30W/mmat 4GHz hasbeenreported
[45] foratransistorhavingL
g
=0.5mwithadrainbiasof V
ds
=120V. Thebreakdown
voltagefor thetransistor wasreportedtobeV
dB
=170V, whichindicatesthatsignicant
channel breakdownoccurredonthehighvoltageportionof theRF cycle. Nevertheless,
thepeak PAE was 54.8%with an associated gain of 14 dB. For theresults shown in
Figure3.13, thedeviceisbiasedat V
ds
= 40V andthegatebiasisadjustedfor ClassA
operation, whichresults ingoodPAE. ThePAE is about 50%from3GHz to10GHz,
anddeclines monotonically aboveX-band. However, at 30GHz thePAE is still 30%.
Thegain remains above10 dB up to about 25 GHz, and is still 8 dB at 30 GHz. By
retuningfor reducedPAE andgainit ispossibletofurther increasetheRF output power
toslightlygreater than12W/mm.
Theseresultsindicatethat AlGaN/GaN HFETs arecapableof excellent DC andRF
power performance well into the mm-wave frequency spectrum, and potentially well
above100GHz. Excellent WandE-bandRF performancehas beenreported[71, 75],
andanE-bandamplierproduced500mWoutputpowerwith12dBassociatedgainwith
about 10%PAE. Withtransistor power combiningtechnologyamplierswithhundreds
3.6 Transistor DC and large-signal RF models 125
I
out
Two-Port
Network
I
in
V
in
V
out
Figure 3.14 Small-signal two-port network.
to thousands of watts of RF output power shouldbeachievable. Theseampliers may
becapableof competingwithvacuumtubeampliersinmanyapplications.
3.6 Transistor DC and large-signal RF models
Mathematical modelsfor transistorsndwideapplicationfor bothdevicestructureopti-
mizationandcircuit designapplications. Basically, therearetwomajor typesof model
inpractice: (1) equivalentcircuitorientedmodelsthatareusedinDCandRF circuitand
systemdesignapplications; and(2) models that arebaseduponsemiconductor device
physics. Theequivalentcircuitbasedmodelsrequirethatthetransistor befabricatedand
characterizedbeforethemodel canbedened. However, oncedened, themodel canbe
usedinRF circuit andsystemdesignapplicationsandit providesameanstoinvestigate
RF circuit performanceandoptimizationwithout theneedtoactually fabricatethecir-
cuit until anoptimizeddesignis determined. Theequivalent circuit models havebeen
extensively developedandavariety of modelsarenowreadily availableinvirtually all
commerciallyavailablesimulators. Theequivalentcircuitbasedmodelsareonlyaccurate
over therangeof parametersfor whichtheyweredened, andoftenfail whenextended
outsidetheseparameters. For thisreason, newmodelsarecontinuallybeingderivedand
reportedintheliterature. Thephysics-basedmodelsoffer analternateapproach. These
modelsarebaseduponthefundamental semiconductordeviceequationsandcanbeused
to investigatethephysical operationof thetransistor beforefabricationoccurs. Inthis
manner, thephysics-basedmodels canbeusedto investigateanomalous physical phe-
nomenathat areobservedtooccur inthetransistor under variousoperatingconditions,
as well as for devicedesignoptimizationapplications. Thephysics-basedmodels are,
ingeneral, moredifcult to developandrequiremoreintensecomputer resources for
solution. Themodelsaresignicantlymoredifculttointegrateintocircuitandsystems
level simulators, andmost of thesemodels havebeendevelopedas stand-alonedevice
level simulators. A variety of thesesimulators is commercially availableandarevery
useful, particularlyfor devicedesignoptimizationapplications.
3.6.1 Equivalent circuit transistor models
Equivalent circuit models for transistors follow fromlinear two-port circuit analysis.
For example, a linear two-port can be represented by the block diagramshown in
Figure 3.14. Theinput to thecircuit block has input current and voltage, i
in
and :
in
,
126 Wide band gap transistors SiC and GaN physics, design and models
C
gd
R
g
g
d
C
gs
C
ds
R
d
r
ds
g
m
R
s

s
R
i
Figure 3.15 Small-signal tee-equivalent circuit for FETs.
andthecircuit blockoutput hasoutput current andvoltage, i
out
and:
out
. Anytwoof the
four variables may beselected as theindependent variables, and theother two as the
dependent variablesandnetwork equationsestablished. For transistor applicationsit is
commonto select acombinationof theinput voltage, :
in
, andtheoutput current, i
out
,
asthedependent variables, andtheinput current, i
in
, andtheoutput voltage, :
out
, asthe
independent variables. Thenetworkcanthenbedescribedbythematrixequation
_
:
in
i
out
_
=
_
h
11
h
12
h
21
h
22
_ _
i
in
:
out
_
(3.7)
Thisformulationcanbeusedtodenetheequivalent circuit model for thetransistor.
Thevarious h-parameter terms takeonaphysical meaning. For example, theh
11
term
hasunitsof resistanceandrepresentstheinput impedancetothenetworkwhenashort-
circuitis placed at the output terminals. Likewise, the h
22
termhas units of Siemens
(inverse O) and is the output admittance when an open circuit is placed at the input
terminals. Theh
21
parameter hasnounits, andrepresentstheoutput current normalized
to the input current, which is the forward current gain for the network. Likewise,
theh
12
parameter is theinput voltagenormalized to theoutput voltage, which is the
reversevoltagegainfor thenetwork. Other formulations arepossibledependingupon
the parameters selected as the dependent and independent variables. Since the entire
network is linear, oneset of parameters is easily converted to another throughsimple
linear transformations.
Theformulationpermitsanequivalent circuit for thetransistor tobeestablished. All
that needstobedoneistodetermineacongurationof circuit elementsthat replacethe
general network block and that generatetheexact samedynamic responseat thenet-
work terminalsastheoriginal circuit. Variouscircuit congurations canbedeveloped,
suchasthehybrid-pi, or theT (tee) circuit. For microwavetransistorstheteecircuit is
most commonlyused. Thebasiccircuit isshowninFigure3.15, andvariouscircuit ele-
mentsarealsoincludedtoindicatevariousparasiticelementsassociatedwithtransistor
operation. The equivalent circuit is exact in that it accurately reproduces the linear
electrical responseof transistor performanceand themain elements of theequivalent
circuit canbedirectly derivedmathematically fromtheoriginal two-port network. The
3.6 Transistor DC and large-signal RF models 127
equivalent circuit isvery useful for small-signal characterizationof thetransistor since
theequivalent circuit element values can bedirectly determined frommeasured data
by aparameter extractionprocess. Suitableroutines areavailableandnumerous prac-
tical techniques havebeen extensively reported in theliterature. Parameter extraction
softwareiscommerciallyavailablefromavarietyof vendors.
Nonlinear modelsfor large-signal RF performancehavebeendetermined, basedupon
thelinear equivalent circuit model for thetransistor. However, thisprocessisnot exact,
and it is, in general, not possible to theoretically derive an accurate nonlinear large-
signal model directly fromthe linear equivalent circuit. Although many large-signal
equivalent circuit models havebeenreportedintheliteratureandarereadily available
incommercial RF circuit simulators, all of themodelshavebeenderivedbydeveloping
nonlinear expressions for the various equivalent circuit elements and then using the
resulting expressions to dene thelarge-signal equivalent circuit model. Theproce-
durecanbecomecomplex, andgenerallythelinear equivalent circuit model isreduced
to themost important, basic equivalent circuit elements, whicharethencharacterized
by nonlinear functions of various combinations of input and output current and volt-
age. Thereducedequivalent circuit showingonlythebasiccircuit elementsisshownin
Figure3.16. Themostimportantelementsarethecurrentgenerator andtheinputcapac-
itance, andnonlinear expressionsbaseduponpower law, tanh(V
ds
), VolterraSeries, etc.
formulations have been developed. As themodel development progresses, additional
elementsintheequivalentcircuitcanbeformulatedasnonlinear functionsandincluded
in themodel. Theresulting equivalent circuit model can accurately predict thelarge-
signal RF performanceof thetransistor, butrequiresthattheparametersinthenonlinear
expressionsbedetermined. Theonlywaythiscanbeaccomplishedisbyanexperimental
parameter extractionprocesswherebythenonlinear termscanbedeterminedfrommea-
sureddata. Thecompletemodel canbecomplex, withtherequirement todenemany
parameter values fromtheextracted measurement data. Many techniques for accom-
plishingthishavebeenreportedintheliteratureandmostcommerciallyavailablecircuit
andsystemssimulatorsincludesuitablelarge-signal parameter extractionroutines.
A major issue with the large-signal equivalent circuit models is that, since they
arebased upon experimental extraction of thenonlinear elements, they aregenerally
accurateonly for therangeof parameters over whichthey havebeencalibrated. When
themodel is driven to regions outsidetheoriginal characterization space, thereis no
reasontoexpectthecircuitresponsetobeaccurate. Infact, themodelsoftenfail. Forthis
reasonnewmodelsarecontinuallybeingdevelopedandreported. Eachiterationandnew
equivalent circuit model development effort isdirectedtowardssolutionof apreviously
observedor reportedfailure. Thenewmodel, of course, alsorequiresdeterminationof
theelement parameter valuesbyexperimental extractionandcalibrationwithmeasured
data. The process requires that transistors be fabricated and characterized before a
suitablemodel canbedeveloped, andmany transistor manufacturersroutinely produce
equivalent circuit models for their transistors. However, these manufacturers models
generally only consider typical operating range data, and specic applications may
requirethat anewmodel bedened. However, oncetheequivalent circuit models are
determinedtheyhaveprovedveryuseful incircuit designapplications.
128 Wide band gap transistors SiC and GaN physics, design and models
Nonlinear Circuit Elements
Gate
Source
Drain
I
dg
(V
out
, V
in
)
I
gs
(V
in
)
C
gs
(V
in
, V
out
)
I
dg
(V
out
V
in
)
C
ds
(V
out
)
I
ds
(V
in
,V
out
)
R
in
(V
in
,V
out
)
R
ds
(V
in
,V
out
)
C
dg
(V
out
V
in
)
C
gs
(V
in
,V
out
)
I
gs
(V
in
)
R
in
V
in
(t)
I
ds
(V
in
,
V
out
)
V
out
(t)
R
g
R
ds
R
s
C
ds
C
dg
R
d
Drain-gate voltage-controlled current source
due to drain-gate avalanche breakdown
Gate voltage-controlled current source due to
forward biasing of the gate
Drain-source voltage-controlled current source
Drain-gate capacitance
Gate-source capacitance
Drain-source capacitance
Gate-source charging resistance
Drain-source resistance
Figure 3.16 Large-signal tee-equivalent circuit for FETs.
3.6.2 Physics-based large-signal transistor models
Analternateapproachtothedevelopmentof transistor modelsisbaseduponsolutionof
thebasicsemiconductor deviceequations. Thesemiconductor equationsconsist of:
(a) thecurrent densityequationsfor electronsandholes,

J
n
= q
n
n

E qD
n
n (3.8)
and

J
p
= q
p
p

E qD
p
p (3.9)
whereJ isthecurrent density, isthechargecarrier mobility, n, parethefreeelectron
andholedensities, andDisthediffusioncoefcient.
3.6 Transistor DC and large-signal RF models 129
(b) Thecontinuityequationsfor electronsandholes,
n
t
=
nn
0

n

1
q


J
n
(3.10)
and
p
t
=
p p
0

p

1
q


J
p
(3.11)
wheren
o
andp
o
arethethermal equilibriumdensityof electronsandholes, and
n
and

p
aretheelectronandholerecombinationlifetimes.
(c) FaradaysLaw,


E =

B
t
(3.12)
whichcompletesthebasicsetof equations, whereE andBaretheelectricandmagnetic
elds.
Theseequationscanbesolvedsimultaneouslytodevelopamodel forasemiconductor
device. Generally, solutionstotheseequationsappliedtoatransistor structurearecom-
plex anddifcult tosolveanalytically. However, theequationsarereadily solvedusing
numerical techniquesandavariety of simulatorsbaseduponeither nite-differenceor
nite-elementmethodshavebeenreportedandarecommerciallyavailable. Thesedevice
level simulatorspermitdetailedinvestigationof thephysical operationof thedeviceand
canbeusedtobothinvestigatephenomenaobservedinexperimental measurementsor
theycanbeusedfor devicedesignandoptimizationstudies. Ingeneral, thesesimulators
requiresignicant solutiontimeandaredifcult to employ incircuit-level simulators.
Thephysical models, however, canbeextremely accurateas all phenomenaknownto
affect deviceperformancecanbeincluded. Thephysical modelstakeasinput datathe
devicestructure, semiconductor material andtransport parameters, andbiasconditions.
Themodel canbesettotakethevoltageappliedtothedeviceterminalsasinputdataand
returntheresultingcurrentsthat ow, or set uptotakethecurrent appliedtothetermi-
nalsasinputdataandreturnterminal voltages. Thedeviceinputandoutputimpedances
canthenbecalculatedfromtheterminal voltagesandcurrents. Thephysical modelsare
extremely exibleandcanbemodiedto includephenomenathat arefoundto affect
deviceperformance, suchaschargetrapping, breakdownmechanisms, surfaceandinter-
facecharginganddischarging, andleakagecurrents, etc. Additionally, thedevicemodels
canbemodiedtoincludetransient andnonequilibriumphenomena, ballistictransport
effects, and quantumphysics behavior. These effects will increase the complexity of
themodel and generally increasethesimulation time, but theresulting model can be
madeextremelyaccurate. Devicesimulatorsof thistypendwideapplicationindevice
investigationsof operational physics, however, themodelsaregenerallynot suitablefor
inclusionincircuit-level simulators.
Itispossibletogenerateamodiedphysics-basedmodel thatissuitableforintegration
intocircuitandsystems-level simulators[76]. Inorder toaccomplishthis, itisnecessary
tocompromisetheformulationbetweeninclusionof pertinent physical phenomenaand
130 Wide band gap transistors SiC and GaN physics, design and models
solutionefciency. Thegoal is to produceamodel that maintains theimportant phys-
ical phenomenathat dominatedeviceperformance, whileproducingamodel that can
bevery quickly and efciently solved. In this manner theutility of thephysics-based
approachcanbecoupledwiththesimulationefciency of theequivalent circuit-based
approach. The model development proceeds by coupling a two-dimensional Poisson
equationsolutiontechniquewithaone-dimensional currentdensityequation. Byfocus-
ing the Poisson equation solution on the area under the gate electrode in a FET an
analytic solution can beobtained [76]. ThePoisson solution permits theelectric eld
within thetransistor to becalculated as afunction of structure, impurity doping, and
bias conditions. The electric eld is then used to calculate the channel current den-
sity withuseof thecurrent density equation. This approachworks well for structures
where the channel is narrow so that the current ow is essentially one-dimensional.
In fact, this model approach results in a physics-based transistor model that retains
the accuracy of the physics-based approach, but can be solved in an efcient man-
ner. Themodel can beintegrated into circuit and systems-level simulators with great
success.
Thesimulationworkreportedinthischapter makesuseof themodel describedabove,
whichhasbeenmodiedfor usewithwidebandgapsemiconductor devices. Excellent
resultsareobtainedandthemodel veryaccuratelypredictstheDCandRF performance
obtainedexperimentally.
3.7 Large-signal effects
Thewidebandgapsemiconductor FETsarecandidatesfor high-RF power applications
sincetheycanoperateunder high-voltageandhigh-current conditions. However, under
theseoperatingconditionsthedevicesexperienceavarietyof physical phenomenathat
affect their performance, and in some cases, produce deviations fromthe expected
response. Inmost casesthephenomenaarenatural physical responsestothevery high
voltages andcurrents that occur under RF large-signal operation. Themost signicant
of thesephenomenaaredescribedinthissection.
3.7.1 Space charge limited current transport
Under high-currentconditionstheinjectedchargeinasemiconductor canbecomecom-
parable in magnitude to the background impurity density and space-charge limited
transport can occur [77, 78, 79]. This condition can beachieved in practical devices
under large-signal RF operationwhenhigh-magnitudeinput RF power is applied. The
voltage that can be supported by a semiconductor device is limited by the internal
resistance, andwhenhighinput power is applied, theinjectedcurrent will increaseto
satisfy theboundary conditions imposedby theappliedsource. Generally, under these
conditions the input impedance to the device is driven to a reduced magnitude, and
althoughsomeof theinput power isreectedby thereducedimpedanceof thedevice,
3.7 Large-signal effects 131
currentinjectionincreases. Theinjectedchargeandtheinternal electriceldarerelated,
asexpressedbyPoissonsequation,
dE
dx
=
q

(N
d
n) (3.13)
whereE istheone-dimensional electric eldinthedirectionof current ow, N
d
isthe
effectivedonor density that represents thepositivepolarization/piezoelectric chargein
HFETs, n= n
o
n is thefreeelectron density wheren
o
is thethermal equilibrium
density of charge, and n is the density of injected charge. The thermal equilibrium
density of electrons is essentially equal to thedonor density (i.e., n
o

= N
d
) andwhen
theinjectedchargebecomescomparableinmagnitudetothethermal equilibriumdensity
of electronsPoissonsequationiswrittenas
dE
dx
=
q

(N
d
n
o
n)

=
q

n (3.14)
Under high-injectionconditionstheelectriceldisreducedinmagnitudeasafunction
of increasingchargeinjection, andtheresistivity andresistanceof thesemiconductor
material becomeafunctionof current injection. Thiseffect canbecomesignicant for
semiconductor devices operatedunder high-current injectionconditions. For low-level
injectionconditions wheren_ n
o
, theE eldis essentially independent of injection
level.
Inorder to determinetheconditions under whichspace-chargeeffects becomesig-
nicant, it is illustrative to solve equation (3.13) analytically. The current density
is
J = qn: (3.15)
where J (A/cm
2
) is themagnitudeof thecurrent density and: (cm/s) isthenonlinear
velocityeldcurve, whichismodeledas
: =
E
1[E[ ,E
sat
(3.16)
where(cm
2
/V s) istheloweldmobilityandE
sat
(V/cm) isthemagnitudeof electrical
eldthat producesvelocitysaturation, expressedas
E
sat
= :
sat
, = 8.0kV,cm. (3.17)
Equation(3.13) canbewrittenintheform:

E
sat
dE
dx
= 1
J
J
sat
E E
sat
E
(3.18)
wherethe parameter is
=
E
sat
qN
d
(3.19)
and has the dimensions of length. The parameter is typically very small for an
AlGaN/GaN heterojunction2DEG, sincetheeffectivedopingisveryhigh.
132 Wide band gap transistors SiC and GaN physics, design and models
Current Density (MA/cm
2
)
R
e
s
i
s
t
i
v
i
t
y

(

-
c
m
)
D = 0.5 m
D = 1.5 m
D = 2.5 m
D = 3.5 m
41 40.5 40 39.5 39
0
0.2
0.4
0.6
0.8
Figure 3.17 Semiconductor resistivityversuscurrent densityat theonset of spacechargelimited
transport (thevariouscurvesindicateresistivitydeterminedat locationsfromthecurrent
injectionpoint) [77].
The solution of equation (3.18) depends on its magnitude at x = 0 which should
reect acombination of low E and high n. For thelimiting case E(0) = 0, equation
(3.18) hasasolution:
E(x) =
E
sat
J
J
sat
J
_
1 W
_
e
1
(J
sat
J )
2
J
sat
J
x

__
(3.20)
thatcanbesimplywrittenintermsof theprinciplereal branchof theLambertWfunction
for J - J
sat
andtheother real branchof Wfor J > J
sat
. Inequation(3.20), thelength
scale
L
J
= J
sat
J
_
(J
sat
J )
2
(3.21)
islarger thanthelengthscale of equation(3.19) but isstill lessthananAngstromfor
J =
1
2
J
sat
. L
J
divergesnear J

= J
sat
.
Theresistivity, = E
_
J , asafunctionof distancefromthesourcefor semiconductor
fabricated using an AlGaN/GaN heterojunction 2DEG is shown in Figure 3.17. The
resistivity is essentially independent of J until a critical threshold current, J
SC
, is
achieved. In this example J
SC
39.6 MA/cm
2
. For a current density in excess of
J
SC
the resistivity increases rapidly both with current, and with distance from the
source injection point. Therefore, once space-charge limited transport conditions are
established, the resistivity of a semiconductor will rapidly increase, and the effect is
moresignicant withthelengthof thesemiconductor region.
Theanalytic solution of equation (3.18) is continuous at J = J
sat
but its character
changes. For J - J
sat
, E(x)

= E
sat
J
_
(J
sat
J ) isalmostindependentof xexceptinthe
3.7 Large-signal effects 133
region0- x - L
J
whereE(x)

x. For J > J
sat
, E(x)

= x
(J J
sat
)
:
sat
increasesalmost
linearlywithx. Furthermore,
E(x) = E
sat
J
_
(J
sat
J ) (3.22)
is a second exact solution of equation (3.18) for J - J
sat
, in addition to being an
approximationof equation(3.20) intheregion x > L
J
near thegateedge. Incontrast
toequation(3.20), however, equation(3.22) isnot continuousat J
sat
andisnot physical
at J = J
sat
. This peculiar situation raises the possibility of mode-switching between
thecontinuous solutionandtheconstant solution. Themode-switchingtransitionmay
occur for L
J
> L
sg
, when thelength scale L
J
of equation (3.21) exceeds thelength
of theaccess region. This transitionwouldbeabrupt inpractical devices where L
sg
is
on theorder of amicron and is much larger than = .32

A, thelength parameter of
equation(3.19), becauseL
J
> L
sg
onlyfor J
_
J
sat
> 1
_

_
L
sg
asJ approachesJ
sat
.
The magnitude of the J
SC
threshold current is approximately given by the
expression
J
SC

= qN
d
:
sat
(3.23)
and for aheterojunction 2DEG, it is assumed that N
d

= n
ss
,h, whereh is thewidth
of the2DEG quantumwell. For atypical AlGaN/GaN 2DEG space-chargeeffects are
expectedtosetinforathresholdcurrentintherangeof J
SC
40MA/cm
2
. Thisislower
thanthecurrent density measuredinexperimental devices. Practical devices generally
haveamaximumdraincurrent of I
ds
(11.2) A/mm, andfor aquantumwell width
of abouth=25

A, thecurrentdensityisintherangeof J 50MA/cm
2
. Thisindicates
thatthesedevicesmostlikelyoperateunderspace-chargelimitedconditions, particularly
during thehigh-current portion of theRF cycle. In addition, themagnitudeof J
SC
is
expectedtovarywithn
ss
and:
sat
, andtheseparametersvaryinmagnitudewithDCand
large-signal RF operatingconditions. Themagnitudeof J
SC
, therefore, canvary with
HFET biasandRF drive, andthisincreasesthenonlinearityof thegate-sourceresistance
for anHFET under large-signal drive.
3.7.2 Nonlinear source and drain resistance
Theonset of space-chargelimitedcurrent transport inamicrowaveHFET under large-
signal operation will cause the source and drain resistances to signicantly increase
during thehigh-current portion of theRF cycle. Although both thesourceand drain
resistancesareaffected, theincreaseinsourceresistancehasthemost signicant effect
upontransistor performance. Thedrainresistanceisessentially inserieswiththerela-
tively high-magnitudeoutput loadresistanceand, therefore, theincreaseinthedevice
drain resistance has minimal effect upon device performance. The source resistance,
however, iscommontothetransistor inputandoutput, asindicatedinthetee-equivalent
circuit, asshowninFigure3.16, andany increaseinsourceresistancewill degradethe
transconductanceof thetransistor byreducingthevoltagethatdrivesthecurrentgenera-
tor. ForthisreasonitisimperativetoreducethesourceresistanceinaFET tothegreatest
134 Wide band gap transistors SiC and GaN physics, design and models
C
gs
i
g
m
i
R
gs
Figure 3.18 Simpliedequivalent circuit for aFET withanonlinear sourceresistance.
C
gs
ext
g
m
ext
Figure 3.19 Simpliedequivalent circuit for aFET withthenonlinear sourceresistance
transformedtothegatecapacitanceandtransconductance.
possibleextent. Theincreaseinsourceresistanceduetotheonsetof space-chargelimited
transport conditions will degradetransistor performance, both by decreasing thegain
capabilityof thetransistor, andbyintroducingundesirablenonlinearity.
Theeffect of thenonlinear sourceresistancecanbeseenby reducingtheequivalent
circuitinFigure3.16toitsbasiccircuitelements,asshowninFigure3.18.Thisequivalent
circuit canbetransformedto theequivalent circuit showninFigure3.19by rewriting
theelement valuesas
g
m
ext
=
g
m
i
1 R
gs
g
m
i
j R
gs
C
gs
i
(3.24)
and
C
gs
ext
=
C
gs
i
1 R
gs
g
m
i
j R
gs
C
gs
i
. (3.25)
Intheseexpressionsg
m
ext
andC
gs
ext
arethetransconductanceandgate-sourcecapac-
itancethat areobservedat theinput totheequivalent circuit inFigure3.18andg
m
i
and
C
gs
i
arethetransconductanceandgate-sourcecapacitanceintrinsictothetransistor, and
representedby theequivalent circuit showninFigure3.19. For lowfrequencies, these
equationssimplifyto
g
m
ext

=
g
m
i
1 R
gs
g
m
i
(3.26)
and
C
gs
ext

=
C
gs
i
1 R
gs
g
m
i
. (3.27)
According to equations (3.26) and (3.27), both thetransconductanceand gate-source
capacitanceobservedat theterminalsof thetransistor will decreaseasthegate-source
resistanceincreases. ThedecreaseinC
gs
ext
isparticularlyinteresting, sincethisindicates
that themagnitudeof theinput impedanceto theHFET will increaseas thedeviceis
driven into saturation. This is opposite to the normal operation of a FET, where the
input impedanceisdriventoalower magnitudeasthedeviceisdrivenintosaturation.
3.7 Large-signal effects 135
0
1
1.5
C
g
s

(
p
F
)
0.5
4 1 6
P
in
(dB m) (GHz)
Eqn( )
Eqn( )
Cgs_4_0_20
Cgs_4_0_30
11 16 21
2
Figure 3.20 Measuredgatesourcecapacitanceasafunctionof RF input power for an
AlGaN/GaN HFET for V
ds
= 20V, andV
ds
= 30V.
Thebehavior indicatedinequation(3.27) is supportedby measureddata, as shownin
Figure3.20. Inthisgurethemeasuredinputcapacitanceasafunctionof inputRFpower
driveisshownforanAlGaN/GaNHFET. TheincreasedRFpowerdrivecausesincreased
channel current, which has a magnitude sufcient to exceed thethreshold for space-
chargelimitedcurrent transport. TheSCL current conditionsproduceanincreaseinthe
gate-sourceresistance, whichproduces thereductioninC
gs
ex
as expressedinequation
(3.27). The C
gs
ex
magnitude is reduced by almost a factor of two over the measured
rangeof input power, andthisproducesanincreaseinthedeviceinput impedancebya
correspondingfactor. TheSCL currenttransportphenomenonhasthedesirableresultof
increasingtheterminal impedances, whichmakeit easier todesignthetransistor input
amplier, andother circuits.
Theonsetof space-chargelimitedcurrentcantheoreticallyaffectbothdepletionmode
HFETs, as well as enhancement modeMOS typeFETs (e.g., Si LDMOS FETs). The
depletionmodeHFETshaveaninherentadvantageof lowerinputcapacitancecompared
to the enhancement mode FETs for a constant RF output power and supply voltage
dueto geometrical factors (e.g., thicker dielectric layers that result in theconducting
channel beinglocatedfarther fromthegateelectrode). It shouldbenotedthat theonset
of space-charge limited current and the increase in the gate-source resistance under
large-signal operation conditions has not been observed in the normal operation of
Si LDMOS FETs or compound semiconductor MESFETs and HEMTs. Theaffect is
commonly observedandappears to dominateinthenitride-basedHFETs, most likely
due to the higher current densities and internal electric elds under which the wide
bandgapsemiconductor nitride-basedHFETsoperate.
Themeasured and simulated performanceof thesourceand drain resistances as a
functionof currentfor anAlGaN/GaNHFET areshowninFigure3.21. Asshown, once
136 Wide band gap transistors SiC and GaN physics, design and models
Drain Current (A)
R
s
R
d
Measured
Simulated
12
10
8
6
4
2
0
0.1 0 0.1 0.2 0.3 0.4 0.5 0.6
R
s
,

R
d

(
O
h
m
)
Figure 3.21 Measuredandsimulatedsourceanddrainresistancesasafunctionof draincurrent for
anAlGaN/GaN HFET.
space-chargelimitedcurrenttransportconditionsareachievedthesourceanddrainresis-
tancesdemonstratecurrent-dependentcharacteristicsandincreasewithcurrentdrive. In
fact, simulationsindicatethat under high-current driveconditionsthesourceanddrain
resistancefor theHFET canincreasebyuptoanorder of magnitude.
Theeffect of anonlinear sourceresistanceupon on an HFET amplier circuit can
besignicant. Thiscanbeshownbyacircuit simulationinwhichanonlinear, current-
dependent source resistance is included [77, 80]. For this study, a harmonic-balance
simulator that includes a physics-based FET model [76] is used. The HFET device
model hasbeenmodiedbyinclusionof asourceresistanceintheform
R
s
= r
ss
Lr
ss
=
r
ss
1
I
I
SC
. (3.28)
where R
s
is the source resistance, r
ss
is the low-current magnitude of the resistance
in the gate-source region, Lr
ss
is the increase in resistance after the onset of space-
chargeeffects, andI
SC
isthespacechargethresholdcurrent previouslydiscussed. The
nonlinearsourceresistanceisafunctionof thetime-dependentRFcurrentandisincluded
on thetimedomain, nonlinear sideof theharmonic-balanceinterface. Inthis manner
thesourceresistanceisafunctionof theconductioncurrent inthetransistor.
Themodiedsimulator was usedtoinvestigatetheDC andRF operationof acom-
municationsbandAlGaN/GaNHFET amplier. TheHFET devicehadagatelengthand
width of L
g
= 0.8mand W= 0.4mm, respectively. Thedevicewas biased with a
drainvoltageof V
ds
= 28: andwasoperatedclassA-Batafrequencyof F = 2.14GHz.
Thedevicedemonstratedprematuregaincompressionandwas, therefore, selectedasa
3.7 Large-signal effects 137
Drain Voltage (V)
D
r
a
i
n

C
u
r
r
e
n
t

(
A
)
0
0
0.1
0.2
0.3
0.4
5 10 15 20 25
V
gs
= +1 V
V
gs
= 0 V
V
gs
= 1 V
V
gs
= 2 V
V
gs
= 3 V
V
gs
= 4 V
Figure 3.22 MeasuredandsimulatedDC IVcharacteristicsfor anAlGaN/GaN HFET
(L
g
= 0.8mm, W= 0.4mm) [77].
candidatetodetermineif anonlinear sourceresistancecouldexplainthegaincompres-
sionbehavior.
The measured and simulated DC IV characteristics for the HFET are shown in
Figure3.22. AsindicatedinFigure3.22, excellentagreementbetweenthemeasuredand
simulateddataisobtained. Inthesimulationalow-eldmobilityof = 1500cm
2
/V
sec and an electron saturation velocity of :
sat
= 1.2510
7
cm/sec were used. The
mobility wasmeasuredandthesaturationvelocity wasadjustedtoget agreement with
themeasuredIV characteristics. Thesaturationvelocity usedis belowthetheoretical
value for electrons in an AlGaN/GaN 2DEG, but is consistent with measured data.
Without inclusionof thecurrent-dependent nonlinear sourceresistance, thesimulated
current increasingly deviated fromthe measured data as the gate bias voltage was
increasedfrompinch-off andadjustedfor increasingchannel current.
ThemeasuredandsimulatedRF performanceandtheDC drainandgatecurrent as
afunction of input power to theamplier areshown in Figures 3.23, 3.24, and 3.25,
respectively. In the simulation seven harmonics were used in the harmonic-balance
routine. The amplier was tuned for maximumPAE. Excellent agreement between
the measured and simulated device performance and the DC drain and gate current
are obtained. The amplier produced a peak PAE of 53%, with RF output power of
34dBmandagainof 19dB.Thelineargainfortheamplierwas25dB.Theexperimental
amplierdemonstratedprematuregaincompressionandadegradationof gainbeginning
at an input power of slightly below about 0 dBm. Signicantly, thesimulated results
accuratelypredictthechangeinslopeof thegainresponse, asshowninFigure3.23. The
138 Wide band gap transistors SiC and GaN physics, design and models
Input Power (dB m)
P
o

(
d
B

m
)

G
a
i
n

(
d
B
)

P
A
E

(
%
)
10 5 0
0
5
10
15
20
25
30
Nonlinear Source Resistance Onset
Sim. Po
Meas. Po
Meas. G
Sim. G
Meas. PAE
Sim. PAE
35
40
45
50
55
5 10 15 20
Figure 3.23 MeasuredandsimulatedRF output power, gain, andPAE versusRF input power for
anAlGaN/GaN HFET amplier (freq= 2.14GHz, V
ds
= 28V, ClassAB) [77].
Input Power (dB m)
D
r
a
i
n

C
u
r
r
e
n
t

(
A
)
10
0.02
0.04
0.06
0.08
0.1
0.12
0.14
0.16
0.18
Simulated
Measured
8 6 4 2 0 2 4 6 8 10 12 14 16 18 20
Figure 3.24 MeasuredandsimulatedDC draincurrent versusRF input power for anAlGaN/GaN
HFET amplier (freq= 2.14GHz, V
ds
= 28V, ClassAB) [77].
3.7 Large-signal effects 139
Input Power (dB m)
G
a
t
e

C
u
r
r
e
n
t

(
A
)
10
0.0002
0
0.0002
0.0004
0.0006
0.0008
0.001
0.0004
8 6 4 2 0 2 4 6 8 10 12 14 16 18 20
Figure 3.25 MeasuredandsimulatedDC gatecurrent versusRF input power for anAlGaN/GaN
HFET amplier (freq= 2.14GHz, V
ds
= 28V, ClassAB).
(a) Time (ps)
G
a
t
e

V
o
l
t
a
g
e

(
V
)
Pin = 14.8 dB m
Pin = 0.0 dB m
0
10
8
6
4
2
0
2
50 100 150 200 250 300 350 400 450 500
Figure 3.26a RF gatevoltageversustimeresponsefor anAlGaN/GaN HFET amplier
(thetwowaveformsindicateoperationat theonset of spacecharge-limitedtransport,
andunder maximumPAE).
simulator predictsboththechangeingainslopeatP
in
=0dBm, andthegainsaturation
that occursafter maximumPAE isachieved. Thechangeingainslopeiscausedbythe
onset of space-chargelimitedcurrent transport conditions.
The time domain voltage and current waveforms at the gate and drain termi-
nals are shown in Figures 3.26 and 3.27. Figures 3.26a and 3.26b show the voltage
140 Wide band gap transistors SiC and GaN physics, design and models
(b) Time (ps)
G
a
t
e

C
u
r
r
e
n
t

(
A
)
Pin = 14.8 dB m
Pin = 0.0 dB m
0
0.2
0.15
0.1
0.05
0
0.05
0.1
0.15
0.2
50 100 150 200 250 300 350 400 450 500
Figure 3.26b RF gatecurrent versustimeresponsefor anAlGaN/GaN HFET amplier (thetwo
waveformsindicateoperationat theonset of space-charge-limitedtransport conditions, and
under maximumPAE.
(a) Time (ps)
D
r
a
i
n

V
o
l
t
a
g
e

(
V
)
Pin = 14.8 dB m
Pin = 0.0 dB m
0
0
10
20
30
40
50
60
50 100 150 200 250 300 350 400 450 500
Figure 3.27a RF drainvoltageversustimeresponsefor anAlGaN/GaN HFET amplier (thetwo
waveformsindicateoperationat theonset of spacecharge-limitedtransport conditions, and
under maximumPAE).
and current waveforms at the gate terminal under low drive (P
in
= 0 dBm) and
large-signal operating conditions wheretheinput power is sufcient to producemax-
imumPAE. The same waveforms at the drain terminal are shown in Figures 3.27a
and3.27b.
3.7 Large-signal effects 141
(b)
D
r
a
i
n

C
u
r
r
e
n
t

(
A
)
P
in
= 14.8 dB m
P
in
= 0.0 dB m
0.25
0.2
0.15
0.1
0.05
0
0.05
0.3
0.35
0.4
Time (ps)
0 50 100 150 200 250 300 350 400 450 500
Figure 3.27b RF draincurrent versustimeresponsefor anAlGaN/GaN HFET amplier (thetwo
waveformsindicateoperationat theonset of spacecharge-limitedtransport conditions, and
under maximumPAE).
ForthelowRFdriveP
in
=0dBmcondition,thegatevoltageandcurrentareessentially
low-amplitudesinusoids, asexpected. SincetheP
in
= 0dB driveconditionissufcient
to producesomesaturation, aslight deviationfrompuresinusoidal behavior is noted,
particularlyintheRF voltage. TheshiftinphaseinthegateRF voltagewaveformatthe
higherdriveconditionshowninFigure3.26aisduetotheshiftingate-sourcecapacitance
atthehigher drivelevels, aspreviouslydiscussed. Asthedeviceisdrivenintosaturation
thegatevoltagegrowsinamplitudeandshowstheeffectsof harmonicgeneration. The
gateRFcurrentwaveformshowninFigure3.26bbecomeshighlynonlinearasthedevice
isdrivenintosaturation,andthesevenharmonicsareclearlyevident.Themechanismthat
causesthenonlinearityisnot evident inthegateterminal waveforms. Thegatevoltage
does not obtain a magnitude sufcient to cause either forward or signicant reverse
conductionof thegateelectrode. Somereverseconductiondoesoccur andthisgenerates
a small, but nite, DC reverse conduction in the gate electrode. The small negative
reversegateconductionwasobservedinboththeexperimental dataandthesimulation.
However, thesmall amount of reverseconductionis not sufcient to clipthegateRF
current waveformand generate the nonlinear behavior observed in the waveformin
Figure3.26b.
TheRF voltageand current waveforms at thedrain terminal areshown in Figures
3.27a and 3.27b, respectively. Again, the waveforms for the low-drive and maxi-
mumPAE conditions areshown. Thelow-driveRF drainvoltagewaveformshownin
Figure3.27aindicatestheonsetof saturation, andslightdeviationfromsinusoidal behav-
ior is observed. Thelarge-signal RF waveformdemonstrates signicant clipping, both
at lowandhighRF voltages, andthewaveformbecomesmoresquared inshape. The
clippingat thelow-drainvoltages is causedby thetotal RF terminal voltagedropping
142 Wide band gap transistors SiC and GaN physics, design and models
N
o
n
l
i
n
e
a
r

S
o
u
r
c
e

R
e
s
i
s
t
a
n
c
e

(
O
h
m
)
P
in
= 14.8 dB m
P
in
= 0.0 dB m
1
1.5
2
2.5
3
3.5
4
5
4.5
Time (ps)
0 50 100 150 200 250 300 350 400 450 500
Figure 3.28 Sourceresistanceversustimeresponsefor anAlGaN/GaN HFET amplier (thetwo
waveformsindicateoperationat theonset of spacecharge-limitedtransport conditions, and
under maximumPAE).
belowtheRF kneeof theIV characteristic, andtheclippingat highdrainvoltages is
causedby theonset of RF breakdownintheconductingchannel. TheRF draincurrent
waveforms areshown in Figure3.27b. The large-signal RF current demonstrates the
squaring behavior caused by thedrain voltagewaveformclipping mechanisms that
occur at lowandhighvoltagemagnitudes. TheRF voltageandcurrent areessentially
out-of-phase, withthecurrentmagnitudebeinghighwhenthevoltagemagnitudeislow,
andviceversa. Thewaveformclippinggeneratesharmonics, whichareclearlyobserved
inthelarge-signal RF current.
TheRFdraincurrentshowninFigure3.27bconsistsessentiallyof conductioncurrent,
which ows through the conducting channel fromthe source to the drain. When the
threshold for space-charge limited ow is reached the resistance of the material will
becomeafunctionof themagnitudeof thecurrent andthegate-sourceresistancewill
become nonlinear. The magnitudes of the source resistance under the low drive and
large-signal conditionsareshowninFigure3.28. Theonset of space-chargedependent
resistanceisobservedfor theP
in
=0dBminputdriveconditionduringthehigh-current
portion of the RF cycle. The source resistance increases froma DC magnitude of
R
s
= 1.85 O to apeak magnitudeof about R
s
= 2.4 O. However, under large-signal
conditions thesourceresistancebecomes highly nonlinear and increases signicantly
during the high-current portion of the cycle. Since the RF drain current shown in
Figure3.27biscomposedessentiallyof conductioncurrent, themagnitudeof thesource
resistanceisdirectlydependentuponthiscurrent. Themagnitudeof thesourceresistance
increasesfromtheDC magnitudeof R
s
= 1.85O toalmost R
s

= 5O duringthepeak
of theRF draincurrent. Increasingthedrainvoltageresultsinanincreasedmagnitudeof
thenonlinearsourceresistanceduringthehigh-currentportionof theRF cycle, asshown
3.7 Large-signal effects 143
Time (ps)
v
ds
= 28 V
v
ds
= 38 V
v
ds
= 48 V
N
o
n
l
i
n
e
a
r

S
o
u
r
c
e

R
e
s
i
s
t
a
n
c
e

(
O
h
m
)
0
1
2
3
4
5
6
7
8
9
10
50 100 150 200 250 300 350 400 450 500
Figure 3.29 Sourceresistanceversustimeresponsefor analgan/ganHFET amplier at maximum
PAE conditionsfor V
ds
= 28V, 38V, and48V.
inFigure3.29. Inthis guretheresults obtainedby increasingthedrainvoltagefrom
V
ds
= 28V, toV
ds
= 48V areshown. For V
ds
= 48V thesourceresistanceincreasesby
almostanorder of magnitudeduringthehigh-current portionof theRF cyclecompared
tothesmall-signal value.
The nonlinear behavior of the source resistance helps explain the behavior of the
RF gate current shown in Figure 3.26b. Although the RF gate current is composed
essentially of displacement current, the gate circuit requires conduction through the
gate-sourceregion, whichisnormallyalow-valueresistance, andthemagnitudeof the
RF gatecurrentisdependentuponthemagnitudeof thesourceresistance. Theharmonic
generationduetotheclippingof theRFdraincurrentistransferredtothegatecircuitand
themagnitudeof thegatecurrent is, therefore, affectedbythemagnitudeof thesource
resistance. Theinput impedancetothetransistor is essentially aseries combinationof
thegate-sourcecapacitanceandthenonlinear sourceresistance.
The nonlinear source resistance has a signicant effect upon the operation of the
device. The source resistance essentially couples the input gate circuit to the output
drain circuit for thedevice, as shown in theequivalent circuit shown in Figure3.18.
Thedrain current generator is driven by thevoltagegenerated across thegate-source
capacitance, accordingtotheexpression,
i
out
= g
mi
:
gs
e
j
(3.29)
wherei
out
is theHFET RF output current, g
mi
is theintrinsic transconductance(mS),
(s) is a delay time, and :
gs
is the RF voltage across the gatesource capacitance.
Thetransconductancethat is developed at thedeviceoutput is reduced by thesource
resistance, accordingtoequation(3.26), aspreviouslydiscussed.
144 Wide band gap transistors SiC and GaN physics, design and models
E

(
V
/
c
m
)
E

(
V
/
c
m
)
@ Mid-Point of Conducting Channel
E = 2 10
6
V/cm
@ Surface of AlGaN Layer
Microns
Microns
Figure 3.30 Electriceldmagnitudeversusdistanceat themidpoint of theconductingchannel
andat thesurfaceof theAlGaN Layer for anAlGaN/GaN HFET (thetopcurveisthetotal E
eldandthebottomcurveisthex-directedE eld. Thedottedlinesindicatethecritical E eld
for breakdowninGaN.) [79].
3.7.3 Gate leakage
WhenahighdrainbiasvoltageisappliedandtheHFET isdrivenwithalargeRF signal
thepeak voltageat thedraincanobtainamagnitudeessentiallytwicethemagnitudeof
thebias voltage. Detailed simulations indicatethat themagnitudeof theelectric eld
at theedgeof thegateelectrodeonthedrainsidecaneasily exceedEc68MV/cm,
as shown in Figure3.30, which is sufcient to producequantummechanical electron
tunneling.
ThecurvesinFigure3.30indicatetheelectriceldatthemid-pointof theconducting
channel andat thesurfaceof theAlGaN layer. Boththemagnitudesof thetotal electric
eldandthex-directed(i.e., inthedirectionof current ow) electric eldareshown.
The dotted line indicates the assumed breakdown voltage, which is in the range of
Ec2 MV/cm. As indicated, both thetotal and x-directed electric eld for thestated
operating conditions signicantly exceed thebreakdown voltage. Theelectric eld at
the gate edge near the surface has a magnitude on the order of E8 MV/cm, which
is sufcient toproducesignicant electrontunneling. Experimental dataindicates that
electrontunneling, infact, occurs.
Theelectronsthattunnel fromthegateelectrodecan(a) accumulateonthesurfaceof
thesemiconductornexttothegate,(b)conductalongthesurfacebyatrap-to-traphopping
mechanism, creatingagate-to-drainleakagecurrent, or (c) possibly travel throughthe
AlGaNlayer tothe2DEGconductingchannel, asshowninFigure3.31. Measureddata
indicatethat thesurfaceleakagepathisdominant under practical operatingconditions,
3.7 Large-signal effects 145
Electrostatic feedback
Surface Leakage
(Primary mechanism)
Gate/Channel Leakage
(Secondary mechanism)
Electrostatic
depletion
Electron
Tunneling
AIGaN
2DEG
GaN
Gate
Figure 3.31 GateelectronleakagepathsinanAlGaN/GaN HFET [79].
and the path through the AlGaN layer only occurs for extreme conditions following
defect creationthat canoccur under highelectricelds, etc.
Inaddition, if theenergyof theelectronsissufcientlyhigh, theycancauseavalanche
ionizationonthesurfacenext to thegate. Whenthis occurs electrons tunnel fromthe
gatemetal tothesemiconductor surfaceareaadjacent tothegatewithsufcient energy
to causeavalancheionization, which is accompanied by light emission fromthegate
edge. Lightemissionfromthegateedgeisoftenobservedinthelarge-signal operationof
GaAsMESFETsandInP-basedHEMTs, andhasbeenobservedinAlGaN/GaNHFETs
under certainoperatingconditions. Thisindicatesthat surfacebreakdownoccursinthe
nitridedevicesandcanbeafactor affectingreliability. Avalancheionizationalsooccurs
in theconducting channel of thesedevices and is afactor in theRF operation of the
devicewhenoperatedunderlarge-signal drive. RFchannel breakdownis, infact, afactor
that affectsgainsaturationintheHFET devices.
When the electrons accumulate on the surface of the semiconductor at the gate, a
virtual gate effect is created, where the gate effectively increases in length as the
electrontunnelingproceeds andthedensity of electrons onthesemiconductor surface
increases. Theelectronsthat accumulateonthesurfaceof thesemiconductor createan
electrostaticchargethatproducesapartial depletionof theconductingchannel electrons,
therebycausingareductioninthechannel current, andacorrespondingdecreaseinRF
output power. Theelectrontunnelingandchargeaccumulationcontinueas afunction
of time. Thismechanismistheprimaryphysical basisfor thenondestructivereliability
problemassociated with these devices. Typical performance degradation is shown in
Figure3.32, whichshowsthemeasuredDC channel current andRF output power asa
functionof time. Theincreasingelectrostatic chargeacts tosuppress further tunneling
of the electrons fromthe gate metal, thereby limiting the effect. In this manner, the
mechanismisself-limiting.
Themeasured DC conduction current degradation shown in Figure3.32 correlates
withadegradationinRF outputpower. Thecurrentconductioncharacteristicsvarywith
timeand with devicedesign, surfaceprocessing, and passivation, and varying power
degradationresultsareobtained. It ispossibletomodifyandreducethetunnel leakage
bytheuseof optimizedeld-platedevicedesigns, andbytheuseof passivation, which
minimizestheRFpowerdegradation. Withproperandoptimizedpassivation, DCcurrent
146 Wide band gap transistors SiC and GaN physics, design and models
C
h
a
n
g
e

i
n

P
o
u
t

(
d
B
)
C
h
a
n
g
e

i
n

I
d
s
s

(
%
)
Stress Time (hr) (a)
(b) Stress Time (hr)
2
0 100 200 300 400 500 600 700 800 900
0 100 200 300 400 500 600 700 800 900
1
0
1
10
20
10
0
Figure 3.32 (a) Measuredchangeinchannel current (I
dss
) and(b) RF output power versustimefor
anAlGaN/GaN HFET (variouslinesindicatedifferent devicesincludedinthemeasurement)
[79].
andRF output power degradationcanbeminimal, at least for limitedrangesof DCbias
voltage.
3.7.4 Reliability and time-dependent performance degradation
Oneof thedominant reliability problems experienced by nitride-basedHFET devices
hasbeenlinkedtogateleakage[79, 80]. Althoughgateleakageisnot theonlyproblem
affectingdevicereliability, itisarst-order problemthatneedstobesolvedbeforethese
devices nd widespread application. The problemis manifested as a time-dependent
decreaseindraincurrent andRF output power, as showninFigure3.32, andhas been
primarilyaddressedthroughsurfacepassivationtechniques.
Thedegradationisobservedtovary signicantly withsurfacepassivationprocesses
andfrommanufacturer tomanufacturer. Also, gateleakageisnot theonly mechanism
that resultsindraincurrent andRF output power degradationbut it wasamongtherst
tobeaddressed. Thephenomenonisreversibleanddoesnotproducepermanentdamage
3.7 Large-signal effects 147
RF channel
breakdown
R
s
I
g
t
t
I
for
I
tun
I
rev
I
con
I
chbd
I
d
R
d
Gate
Drain
Gate tunnel leakage
Source
[C]
Figure 3.33 Large-signal HFET model usedintheperformancesimulations[79].
or degradationto thedevice, andaperiodof inactivity generally results inthedevice
returningtoitsinitial performance. However, thisrecoveryhasalsobeenobservedtobe
afalserecovery aswhenstressisreappliedtothedevice, it quickly degradestoitslast
degradedstate. Additionally, under certainoperatingconditions, asuddenreliability
problemhas beenobserved[81], wherepermanent degradationindeviceperformance
occurs. Devicesthat experiencethisproblemarecharacterizedbyhigh-magnitudegate
leakage.
A model for gate tunnel leakage in GaAs MESFETs has been reported [82]. This
model has been modied for use with AlGaN/GaN HFETs, and the modied model
canbeusedinaharmonic-balancesimulator toinvestigatethegatetunnel mechanism
as afunctionof DC andRF operatingconditions. Themodel is showninFigure3.33.
The gate tunnel leakage is represented as a current generator between the gate and
drainelectrodes. Themodel alsoincludesRF breakdownwithintheconductingchannel,
whichisrepresentedbyacurrent generator betweenthedrainandsource.
Thismodel accuratelysimulatestheDCandRF performanceof AlGaN/GaNHFETs,
andthesimulatedandmeasuredRF performancefor aclassA-B 2.14GHz communi-
cationsbandAlGaN/GaN HFET amplier areshowninFigure3.23, andthemeasured
andsimulatedI
ds
andI
gs
as afunctionof input power wereshowninFigures 3.24and
3.25, respectively. As shown in Figure3.25, thegateconducts asmall, but niteand
negativeleakagecurrent for theentirerangeof input power, until thegatejunctionis
drivenintoforwardconductionataninputRF power of aboutP
in
=17dBm. Themodel
is in excellent quantitativeagreement with themeasured datafor thereverseleakage
conduction characteristics of the gate, and in qualitative agreement for the forward
conduction, but slightly underestimates theinput power requiredto drivethegateinto
forwardconduction.
Aselectronstunnel fromthegatetotheAlGaN surfacethey canaccumulatenext to
thegateelectrode. Thespacechargefromtheelectrons provides anelectrostatic feed-
back to thegate that works to suppress thetunnel leakage, as shown in Figure 3.31.
148 Wide band gap transistors SiC and GaN physics, design and models
n
tun
(t )
NTA
N
d
Electrostatic feedback
Surface charge
Surface conduction
AIGaN
Figure 3.34 Gateelectrontunnel leakageandsurfaceconductionmodel [79, 83].
(a)
6.40E-02
6.30E-02
6.20E-02
6.10E-02
6.00E-02
5.90E-02
0 200 400 600
Time (s)
NTA = 2 10
11
cm
2
I
d
s

(
A
)
NTA = 5 10
11
cm
2
800 1000
Figure 3.35a SimulatedDCchannel current versustimefor twovaluesof theacceptor-likesurface
trap(NTA) density[79, 83].
Thisintroducestimedependencetothegateleakage, withacorrespondingtimedepen-
denceassociatedwiththeRF power degradation. This effect canbemodeledby intro-
ductionof asurfaceconductionlayer thatpermitsavariablesurfacecharge, asshownin
Figure3.34. TheNTA termrepresentstheacceptor-likesurfacetrapdensity, andcanbe
expressedas
NTA = N
d
n
tun
(t). (3.30)
whereN
d
isthesurfaceconductionlayerchargedensity, andn
tun
(t) isthetime-dependent
tunnel chargedensity. Inthismodel, theelectronsthattunnel andaccumulatenexttothe
gateeffectivelyreducethedensityof thesurfaceconductionelectronsinthisregionand
permit avaryingsurfacetrapdensitynext tothegatetobedetermined.
The model shown in Figure 3.34 reproduces the drain and gate currents observed
inmeasureddata. Measuredandsimulatedtime-dependent DC drainandgatecurrents
areshowninFigure3.35aand3.35b, respectively, for two values of theacceptor-like
surfacetrapdensity. Notethat astheelectronsaccumulateonthesurfacenear thegate,
themagnitudeof thetunnel leakagecurrent isaffectedandthegateanddraincurrents
becometime-dependent. AstheNTA densityvaries, thedegreeof electrostaticfeedback
is affected, with corresponding effects upon the gate leakage current, and the drain
current degradation.
3.7 Large-signal effects 149
(b)
2.28E-05
2.32E-05
2.36E-05
2.40E-05
2.44E-05
2.48E-05
2.52E-05
2.56E-05
2.60E-05
0 200 400 600
Time (s)
NTA = 5 10
11
cm
2
I
g

(
A
)
NTA = 2 10
11
cm
2
800 1000
Figure 3.35b SimulatedDC gatecurrent versustimefor twovaluesof theacceptor-likesurface
trap(NTA) density[79, 83].
Themagnitudeof theelectriceldat thegateedgeisafunctionof thedevicedesign
andthemagnitudeof theterminal voltagesexperiencedbythedevicewhileinoperation.
A reductionintheelectriceldwill reducethegateleakagecurrent. AlGaN/GaNHFETs
produceaveryhigh-magnitudeelectriceldatthegateedgeduetothehighsheetcarrier
concentrationinthe2DEG. Very lowchannel resistanceresults, andminimal potential
dropoccursalongthechannel regionfromthedraintothegateuntil thegatedepletion
regionisencountered. Essentiallytheentiredrainpotential issupportedover thenarrow
depletionregionandaveryhigh-peakEeldresults. Techniquestoreducethemagnitude
of theelectric eld at thegateedgeincludetheuseof eld-plates, n-doped GaN cap
layers, controlled polarization-induced surfacecharges [81], and modications of the
2DEGsheet-chargedensity.
Two main current paths for gateleakagecurrents can beidentied. Themain path
is established by electron tunnel leakage fromthegate, with electrons owing along
or near theAlGaN surfaceto thedrain contact. Theelectron conduction occurs by a
trap-to-trap hopping mechanism, where both thermionic emission and tunneling are
likely involved, as illustrated in Figure3.36. Simulations indicatethat it is likely that
the exact conduction mechanismchanges as the electric eld increases due to high
DC and RF terminal voltages. This performance degradation process is essentially
reversibleandnondestructive, andremoval of thebias anddrivesignals, withaperiod
of deviceinactivity, causes thedeviceto return to its initial state. However, as previ-
ouslyindicated, reapplicationof DCandRF voltagesoftenresultinthedevicereturning
to adegraded state, which indicates that somepermanent damagehas occurred. The
second current path consists of electron tunneling fromthe gate, with electron ow
throughtheAlGaN layer to the2DEG conductingchannel. This current pathrequires
ahigher electric eld, andoftenproduces permanent damageto theAlGaN semicon-
ductor lattice, with increased gate leakage. The lattice damage is observed in TEM
images.
150 Wide band gap transistors SiC and GaN physics, design and models
AIGaN Surface Gate Metal
Strained Energy
Band
Electrons can
accumulate creating
virtual gate
Electron tunneling
parameters N
ss
, M
*
tun
V
dg
= V
V
dg
= 0
Thermionic Emission
Tunnel Emission
Surface hopping
parameters G, s
G
E
F
E
s
Figure 3.36 Detailedmodel for gate-tunnel leakageandsurfacetrap-to-traphopping
conduction[79].
(a)
V
gs
= 5 V
V
gs
= 2.5 V
V
gs
= 0 V
Solid Lines: Measured Data
Points: Simulation Data
0.2
0.18
0.16
0.14
0.12
0.1
0.08
0.06
0.04
0.02
0
0 1 2 3 4 5 6 7 8 9 10
V
ds
(V)
I
d
s

(
A
/
m
m
)
Figure 3.37a MeasuredandsimulatedDC IVcharacteristicsfor anAlGaN/GaN HFET usingthe
gate-tunnel leakageandsurfaceconductionmodel [79, 83].
Usingthegatetunnel leakageandsurfaceconductionmodel it ispossibletosimulate
the drain and gate current characteristics with excellent accuracy in comparison to
measureddata[83, 84]. For example, themodel showninFigure3.34producesthedrain
andgateIVcharacteristicsshowninFigure3.37. Figure3.37aandFigure3.37bshow
themeasuredandsimulateddraincurrentandgatecurrentfor aAlGaN/GaNHFET. The
gatetunnel leakageandsurfaceconductionmodel accurately predictsthegateleakage
andsurfaceconductioncurrent andaccuratelysimulatesboththedrainandgatecurrent
3.7 Large-signal effects 151
(b)
V
gs
= 7 V
V
gs
= 5 V
V
gs
= 3 V
V
gs
=7 V (measured)
V
gs
=5 V (measured)
V
gs
=3 V (measured)
V
gs
=1 V (measured)
V
gs
=7 V (simulated)
V
gs
=5 V (simulated)
V
gs
=3 V (simulated)
V
gs
=1 V (simulated)
V
gs
= 1 V
0.00E+00
2.00E-05
4.00E-05
6.00E-05
8.00E-05
1.00E-04
1.20E-04
0.00E+00 2.00E+00 4.00E+00
6.00E+00 8.00E+00 1.00E+00
V
ds
(V)
I
g

(
A
)
Figure 3.37b MeasuredandsimulatedDC gatecurrent characteristicsfor anAlGaN/GaN HFET
usingthegate-tunnel leakageandsurfaceconductionmodel [79, 83].
(a)
0
47.5
47
48.5
48
46.5
46
45.5
44.5
45
100 200 300 400 500
Time (s)
I
d


(
m
/
A
)
Figure 3.38a MeasuredandsimulatedDC draincurrent versustimefor anAlGaN/GaN HFET
includingtheeffectsof gatetunnel leakageandsurfaceconduction(pointsaremeasureddata
andthelineissimulateddata) [79, 83].
characteristics. Themodel canbeextendedtotime-dependent conditions, as shownin
Figure3.38[83, 84]. Figures3.38aandFigure3.38bshowthemeasuredandsimulated
time-dependent DC gateanddraincurrents, respectively.
Thesimulationsareperformedwithamodel that includestheeffectsof electrostatic
feedback fromtheelectrons that tunnel to thesurfaceof theAlGaN layer adjacent to
thegateelectrode. Thesimulationresultsarecomparedtoexperimental dataandexcel-
lent agreement betweenthemeasuredandsimulateddataisobtained. Theelectrostatic
feedbackreducestheelectriceldattheedgeof thegateelectrode, therebyreducingthe
electrontunnel leakage. Aselectronsaccumulateat thegateedgeasafunctionof stress
time, thefeedback producesreducedgateleakagecurrent. Also, theincreasedelectron
152 Wide band gap transistors SiC and GaN physics, design and models
(b)
0
0.001
0.002
0.003
0.004
0.005
0.006
0.007
0 100 200 300 400 500
Time (s)
I
g

(
m
/
A
)
Figure 3.38b MeasuredandsimulatedDC gatecurrent versustimefor anAlGaN/GaN HFET
includingtheeffectsof gatetunnel leakageandsurfaceconduction(pointsaremeasureddata
andthelineissimulateddata) [79, 83].
densityontheAlGaNsurfacepartiallydepletesthe2DEGelectrons, andareductionin
gatecurrent occurs.
3.8 Summary
Widebandgap semiconductors, that is SiC and nitride-based heterostructures, can be
used to fabricate high-frequency transistors with RF power performance superior to
thosefabricatedfromGaAsor Si. Themost promisingRF devicesareFETsfabricated
from4H-SiC andHFETs fabricatedfromtheAlGaN/GaN heterostructure. Optimized
4H-SiC FETs can produce RF output power on the order of 45 W/mm, which is a
factor of four greater than obtainablefromGaAs devices. Ampliers fabricated from
4H-SiC MESFETs will be useful, particularly for RF applications in S and C-band
communications, andpotentially for X-Bandradars. TheAlGaN/GaN HFET canpro-
duceRF power density ontheorder of 1012W/mm, withvery goodPAE. Prototype
nitride-basedHFETshaveproducedaspotRFoutputpowerdensityashighas30W/mm,
althoughthisrequireddrainbiasof V
ds
=120V. Thehighmobilityandsheet-chargeden-
sityof theAlGaN/GaN heterostructurepermit thefabricationof HFETswithexcellent
high-frequencyperformance, anddevicesthat canoperateuptoandpotentiallyexceed
100 GHz havebeen demonstrated. For both 4H-SiC and AlGaN/GaN HFETs power-
added efciencies approach theideal for operation up to X-band in both Class A and
B operation. For X-band and belowtheSiC and AlGaN/GaN transistors arecompeti-
tivewith each other, and both produceRF output power superior to GaAs-based and
InP-based transistors, while providing equivalent gain and PAE. Above X-band the
AlGaN/GaN HFETs will dominate. However, improved thermal design is required to
obtain the theoretically predicted performance, particularly for AlGaN/GaN HFETs,
which are generally fabricated frommaterial grown on SiC substrates. Both 4H-SiC
and AlGaN/GaN devices are likely to nd application in power ampliers for base
References 153
stationtransmitters for wireless communications, HDTV transmitters, power modules
for phased-array radars, andother applications. Thedevices areparticularly attractive
for applications that requirehighRF output power andoperationat elevatedtempera-
ture. Thesesolid statedevices should providean alternativeto theuseof microwave
vacuumtubesinmanytransmitter applications. Thewidebandgapsemiconductor tran-
sistors, duetotheir inherently highinput andoutput impedances, areattractivefor use
inpower-combining, broadbandwidth, andphased-arrayradar applications.
References
1. B. E. Kruger, Efcient widebandhighpower generationfor X- andKu-bandradars, Pro-
ceedingsof the1995IEEE International Radar Conference, 1995, pp. 227232.
2. M. Kumar, M. Hanczor, H. Voigt, G. Cambigians, R. Sachs, and C. Bonilla, 22 kW next
generationlowcost S-bandsolid-statetransmitter for surveillanceandtrafccontrol radars,
IEEE Int. Microw. Symp. Dig., pp. 16011604, 1995.
3. T. Marae, K. Fujii, andT. Matsuno, Highpower S-bandsolid-stateampliersfor survelleil-
lance and trafc control radars, 2001 IEEE International Microwave SymposiumDigest,
pp. 653656.
4. M. Cicolani, Highpower modular S-bandsolidstatetransmittersfamilyfor ATC andnaval
radar applications, IEEE Int. Microw. Symp., pp. 17231726, 2000.
5. R. J. Trew, SiC and GaN transistors: is there one winner for microwave power applica-
tions,Proceedingsof theIEEE, Special IssueonWideBandgapSemiconductors, J une2002,
vol. 90. pp. 10321047.
6. B. J. Baliga, SiliconCarbidePower Devices, WorldScientic, Singapore, 2005.
7. R. J. Trew, J. B. Yan, and P. M. Mock (invited), Thepotential of diamond and SiC elec-
tronicdevicesfor microwaveandmillimeter-wavepower applications, Proc. IEEE, vol. 79,
pp. 598620, May1991.
8. F. Zhao, I. Perez, C-F. Huang, J. Torvik, andB. VanZeghbroeck, Analysis of transit times
and minority carrier mobility in npn 4H-SiC bipolar junction transistors, IEEE. Trans.
ElectronDev., vol. 52, pp. 25412545, Dec. 2005.
9. F. Zhao, I. Perez-Wur, C-F. Huang, J. Torvik, andB. VanZeghbroeck, First demonstration
of 4H-SiCRF bipolarjunctiontransistorsonasemi-insulatingsubstratewithfT/fmaxof 7/5.2
GHz, IEEE Int. Microw. Symp. Dig., 2005.
10. C-F. HuangandJ. A. Cooper, Highcurrent gain4H-SiC NPN bipolar junctiontransistors,
IEEE ElectronDev. Lett., vol. 24, pp. 396398, J une2003.
11. A. Galeckas, J. Linnros, M. Frischholz, K. Rottner, N. Nordell, S. Karlsson, and V. Griv-
ickas,Investigationof surfacerecombinationandcarrier lifetimesin4H/6H-SiC, Mat. Sci.
Eng., vol. B6162, pp. 239243, 1999.
12. T. Kimoto, N. Miyamoto, andH. Matsunami, Performancelimitingsurfacedefects inSiC
epitaxial p-njunctiondiodes, IEEE Trans. ElectronDev., vol. 46, pp. 471477, Mar. 1999.
13. J. Zhang, P. Alexandrov, T. Burke, andJ. H. Zhao, 4h-sic power bipolar junctiontransistor
withavery lowspecic ON-resistanceof 2.9mW-cm2, IEEE Electron. Dev. Lett., vol. 27,
pp. 368370, May2006.
14. J. Zhang, J. H. Zhao, P. Alexandrov, andT. Burke, Demonstrationof rst 9.2KV 4H-SiC
bipolar junctiontransistor, IEE ElectronLett., vol. 40, pp. 13811382, Oct. 2004.
154 Wide band gap transistors SiC and GaN physics, design and models
15. C-F. Huang, I. Perez, F. Zhao, J. Torvik, R. Irwin, K. Torvik, F. Abrhaley, andB. VanZegh-
broeck, 215WpulsedclassA UHF power amplicationbasedonSiC bipolar technology,
DeviceRes. Conf. Dig., pp. 23, J une2123, 2004.
16. C. D. Brandt, R. C. Clarke, R. R. Siergiej, J. B. Casady, S. Sriram, andA. K. Agarwal, SiC
for applications inhigh-power electronics, Chapter 5inY. S. Park, Ed., Sic Materials and
Devices, SemiconductorsandSemimetals, vol. 52, AcademicPress, 1998.
17. R. R. Siergiej, R. C. Clarke, A. K. Agarwal, C. D. Brandt, A. A. Burke, A. Morse, and
P. A. Orphanos, Highpower 4H-SiC staticinductiontransistors, IEDMDig., pp. 353356,
WashingtonDC, Dec. 1995.
18. R. C. Clarke, A. K. Agarwal, R. R. Siergiej, C. D. Brandt, and A. W. Morse, Themixed
mode4H-SiC SIT as anS-bandmicrowavepower transistor, DeviceResearchConf. Dig.,
pp. 6263, SantaBarbara, CA, J une1996.
19. A. W. Morse, P. M. Esker, R. C. Clarke, C. D. Brandt, R. R. Siergiej, and A. K. Agarwal,
Applicationof highpowersiliconcarbidetransistorsatradarfrequencies,1996IEEEMTT-S
Dig., pp. 677680, SanFrancisco, CA.
20. R. C. Clarke, A. W. Morse, P. Esker, andW. R. Curtice, A 16W, 40%efcient, continuous
wave4HSiC L-BandSIT, Int. Microw. Symp. Dig., pp. 141143, 2000.
21. G. C. DeSalvo, P. M. Esker, T. A. Flint, J. A. Ostop, E. J. Stewart, T. J. Knight, K. J. Petrosky,
S. D. Van Campen, R. C. Clarke, and G. M. Bates, Ion implanted SiC static induction
transistor with107Woutput power and59%power-addedefciencyunder CWoperationat
750MHz, Int. J. HighSpeedElectronicsandSyst., vol. 14, no. 3pp. 906908, 2004.
22. R. J. Trew, SiC microwavedevices, Chapter 6inSiC MaterialsandDevices, pp. 272279,
Y. S. Park, Ed., SemiconductorsandSemimetals, vol. 52, AcademicPress, 1998.
23. S. Sriram, R. Barron, A. W, Morse, T. J. Smith, G. Augustine, A.A. BurkJ r, R. C. Clarke, R.
C. Glass, H. M. Hobgood, P. A. Orphanos, R. R. Siergiej, C. D. Brandt, M. C. Driver, andR.
H. Hopkins, Highefciency operationof 6H-SiC MESFETs at 6GHz, DeviceResearch
Conf. Dig., pp. 104105, 1995.
24. C. Weitzel, J. W. Palmour, C. H. Carter, and K. J. Nordquist, 4H-SiC MESFET with 2.8
W/mmpower densityat1.8GHz,IEEEElectronDev. Lett., vol. 15, pp. 406407, Oct. 1994.
25. K. E. Moore, C. E. Weitzel, K. J. Nordquist, L. L. Pond, J. W. Palmour, S. Allen, andC. H.
Carter, 4h-sicmesfet with65.7%power-addedefciencyat 850MHz, IEEE ElectronDev.
Lett., vol. 18, pp. 6970, Feb. 1997.
26. S. Sriram, G. Augustine, A. A. Burk, R. C. Glass, H. M. Hobgood, P. A. Orphanos, L. B.
Rowland, T. J. Smith, C. Brandt, M. C. Driver, andR. H. Hopkins, 4H-SiC MESFETswith
42GHz fmax, IEEE ElectronDev. Lett., vol. 17, pp. 369371, J uly1996.
27. A. W. Morse, P. M. Esker, S. Sriram, J. J. Hawkins, L. S. Chen, J. A. Ostop, T. J. Smith,
C. D. Davis, R. R. Barron, R. C. Clarke, R. R. Siergiej, andC. D. Brandt, Recentapplication
of siliconcarbidetohighpower microwave,IEEE Int. Microw. Symp. Dig., pp. 5356, 1997.
28. R. A. Sadler, S. T. Allen, T. S. Alcorn, W. L. Pribble, J. Sumakeris, and J. W. Palmour,
SiC MESFET withoutput power of 50WattsCWat S-Band, DeviceResearchConf. Dig.,
pp. 9293, 1998.
29. R. A. Sadler, S. T. Allen, W. L. Pribble, T. SAlcorn, J. J. Sumakeris, andJ. W. Palmour, SiC
MESFET hybrid amplier with 30 W output power at 10 GHz, IEEE Int. Microw. Symp.
Dig., pp. 173177, 2000
30. B. Luo, P. Chen. A. Higgins, H. Finlay, K. Boutros, B. Pierce, A.J ones, D. Griffey, and
J. Kolosick, 56 W SiC MESFET transistors with >50% PAE for L-band applications,
Proceedings of the17th International Symosiumon Power Semiconductor Devices & ICs,
SantaBarbara, CA, May2326, 2005, pp. 13.
References 155
31. H. Henry, G. Augustine, G. DeSalvo, R.C. Brooks, J. Oliver, A. Morse, B. Veasel, P. Esker,
and R. Clarke, S-band operation of SiC power MESFET with 20 W (4.4 W/mm) output
power and60%PAE, IEEE Trans. ElectronDev., vol. 51, pp. 839845, J une2004.
32. A. Asano, Y. Miyoshi, K. Ishikura, Y. Nashimoto, M. Kuzuhara, andM. Mizuta, Novel high
power AlGaAs/GaAs HFET with a eld-modulating plate operated at 35v drain voltage,
IEDMDig., pp. 5962, 1998.
33. K. Andersson, M. Sudow, P-A. Nilsson, E. Sveinbjornsson, H. Hjelmgren, J. Nilsson, J. Stahl,
H. Zirath, andN. Rorsman, Fabricationandcharacterizationof eld-plateburied-gateSiC
MESFETs, IEEE ElectronDev. Lett., vol. 27, pp. 573575, J uly2006.
34. A. SayedandG. Boeck, Two-stageultrawide-band5WpoweramplierusingSiCMESFET,
IEEE Trans. Microw. TheoryTech., vol. 53, pp. 24412449, J uly2005.
35. W. M. Zhou, F. Fang, Z. Y. Hou, L. J. Yan, andY. F. Zhang, Field-effect transistor basedon
b-SiC nanowire, IEEE ElectronDev. Lett., vol. 27, pp. 463465, J une2006.
36. Y. F. Wu, B. P. Keller, P. Fini, S. Keller, T. J. J enkins, L. T. Kehias, S. P. Denbaars, and
U. K. Mishra, HighAl-content AlGaN/GaN MODFETsfor ultrahighperformance, IEEE
ElectronDev. Lett., pp. 5053, Feb. 1998.
37. A. T. Ping, Q. Chen, J. W. Yang, M. A. Khan, andI. Adesida, DCandmicrowaveperformance
of high-current AlGaN/GaN heterostructure eld effect transistors grown on p-Type SiC
substrates, IEEE ElectronDev. Lett., pp. 5456, Feb. 1998.
38. G. J. Sullivan, M. Y. Chen, J. A. Higgins, J. W. Yang, Q. Chen, R. L. Pierson, and B. T.
McDermott, High power 10 GHz operation of AlGaN HFETs on insulating SiC, IEEE
ElectronDev. Lett., vol. 19, pp. 198200, J une1998.
39. S. T. Sheppard, K. Doverspike, W. L. Pribble, S. T. Allen, J. W. Palmour, L. T. Kehia, and
T. J. J enkins, High-powermicrowaveGaN/AlGaNHEMTsonsemi-insulatingsiliconcarbide
substrates, IEEE ElectronDev. Lett., vol. 20, pp. 161163, April 1999.
40. Q. Chen, J. W. Yang, R. Gaska, M. A. Khan, M. S. Shur, G. J. Sullivan, A. L. Sailor, J. A.
Higgings, A. T. Ping, andI. Adesida, High-power0.25-mmgatedoped-channel GaN/AlGaN
heterostructure eld effect transistor, IEEE Electron Dev. Lett., vol. 19, no. 2, pp. 4446,
Feb. 1998.
41. L. F. Eastman, V. Tilak, J. Smart, B. M. Green, E. M. Chumbes, R. Dimitrov, K. Hyungtak,
O. S. Ambacher, N. Weimann, T. Prunty, M. Murphy, W. J. Schaff, andJ. R. Shealy, Undoped
AlGaN/GaN HEMTs for microwave power amplication, IEEE Trans. Electron Dev.,
vol. 48, pp. 479485, Mar. 2001.
42. Y. F. Wu, D. Kapolnek, J. P. Ibbetson, P. Parikh, B. Keller, andU. K. Mishra, Very-highpower
densityAlGaN/GaNHEMTs, IEEE Trans. ElectronDev., vol. 48, pp. 586590, Mar. 2001.
43. V. Tilak, B. Green, V. Kaper, H. Kim, T. Prunty, J. Smart, J. Shealy, andL. Eastman, Inuence
of barrier thicknessonthehigh-power performanceof AlGaN/GaNHEMTs,IEEE Electron
Dev. Lett., vol. 22, pp. 504506, Nov. 2001.
44. L. Shen, S. Heikman, B. Moran, R. Cofe, N-Q. Zhang, D. Buttari, I. P. Smorchkova,
S. Keller, S. P. DenBaars, and U. K. Mishra, AlGaN/AlN/GaN high-power microwave
HEMT, IEEE ElectronDev. Lett., vol. 22, pp. 457459, Oct. 2001.
45. Y-F. Yu, A. Saxler, M. Moore, R. P. Smith, S. Sheppard, P. M. Chavarkar, T. Wisleder, U. K.
Mishra, andP. Parikh, 30-W/mmGaN HEMTsbyeldplateoptimization, IEEE Electron
Dev. Lett., vol. 25, pp. 117119, Mar. 2004.
46. A. Corrion, C. Poblenz, P. Waltereit, T. Palacios, S. Rajan, U.K. Mishra, and J. S.
Speck,Review of recent developments in growth of AlGaN/GaN high-electron mobility
transistors on4H-SiC by plasma-assistedmolecular beamepitaxy, IEICE Trans. Electron-
ics, vol. E89-C, no. 7, pp. 906912, 2006.
156 Wide band gap transistors SiC and GaN physics, design and models
47. J. W. J ohnson, E. L. Piner, A. Vescan, R. Therrien, P. Rajagopal, J. C. Roberts, J. D. Brown,
S. Singhal, andK. J. Linthicum, 12W/mmAlGaN-GaNHFETsonsiliconsubstrates,IEEE
ElectronDev. Lett., vol. 25, pp. 459461. J uly2004.
48. D. C. Dumka, C. Lee, H. Q. Tserng, P. Saunier, andM. Kumar, AlGaN/GaN HEMTsonSi
substrates with7W/mmoutput power density at 10GHz, Electron. Lett., vol. 40, no. 16,
Aug. 2004.
49. D. Ducatteau, A. Minko, V. Hoel, E. Morvan, E. Delos, B. Grimbert, H. Lahreche, P. Bove,
C. Gaquiere, J. C. DeJ aeger, andS. Delage, Output power densityof 5.1W/mmat 18GHz
withanAlGaN/GaNHEMT osSi substrate, IEEE ElectronDev. Lett., vol. 27, pp. 79, J an.
2006.
50. C. Lee, H. Wang, J. Yang, L. Witkowski, M. Muir, M. A. Khan, andP. Saunier, State-of-art
CW power density achieved at 26 GHz by AlGaN/GaN HEMTs, Electron. Lett., vol. 38,
pp. 924925, Aug. 2002.
51. C. Lee, P. Saunier, J. Yang, andM. A. Khan, AlGaN-GaN HEMTsonSiC withCWpower
performance>4W/mmand23%PAEat35%,IEEEElectronDev. Lett., vol. 24, pp. 616618,
Oct. 2003.
52. K. Boutros, M. Regan, P. Rowell, D. Gotthold, R. Birkhahn, andB. Brar, Highperformance
GaN HEMTsat 40GHz withpower densityof 2.8W/mm, IEDMTech. Dig., pp. 981982,
2003.
53. J. S. Moon, S. Wu, D. Wong, I. Milosavljevic, A. Conway, P. Hashimoto, M. Hu, M. Antcliffe,
andM. Micovic, Gate-recessedAlGaN-GaNHEMTsfor highperformancemillimeter-wave
applications, IEEE ElectronDev. Lett., vol. 26, pp. 348350, J une2005.
54. T. Palacios, A. Chakroborty, S. Rajan, C. Poblenz, S. Keller, S. P. DenBaars, J. S. Speck, and
U. K. Mishra, High-power AlGaN/GaN HEMTsfor Ka-bandapplications, IEEE Electron
Dev. Lett., vol. 26, pp. 781783, Nov. 2005.
55. Y. Cai, Y. Zhou, K. J. Chen, and K. M. Lau, High-performance enhancement-mode
AlGaN/GaN HEMTs using uoride-based plasma treatment, IEEE Electron Dev. Lett.,
vol. 26, pp. 435437, J uly2005.
56. L. Shen, T. Palacios, C. Poblenz, A. Corrion, A. Chakraborty, N. Fichtenbaum, S. Keller,
S. P. DenBaars, J. S. Speck, and U. K. Mishra, Unpassivated high power deeply recessed
GaN HEMTs with uorine-plasma surface treatment, IEEE Electron Dev. Lett., vol. 27,
pp. 214216, April 2006.
57. O. Katz, D. Mistele, B. Meyler, G. Bahir, and J. Salzman, Polarization engineering of
InAlN/GaN HFET andtheeffect onDC andRF performance, IEDMTech. Dig., pp. 1035
1038, 2004.
58. O. Katz, D. Mistele, B. Meyler, G. Bahir, andJ. Salzman, Characteristicsof InAlN-GaNhigh-
electron mobility eld-effect transistor, IEEE Trans. Electron Dev., vol. 52, pp. 146150,
Feb. 2005.
59. T. Palacios, A. Chakraborty, S. Heikman, S. Keller, S. P. DenBaars, and U. K. Mishra,
AlGaN/GaN highelectronmobility transistors withInGaN back-barriers, IEEE Electron
Dev. Lett., vol. 27, pp. 1315, J an. 2006.
60. J. Liu, Y. Zhou, J. Zhu, K. M. Lau, andK. J. Chen, AlGaN/GaN/InGaN/GaN DH-HEMTs
withanInGaN notchfor enhancedcarrier connement, IEEE ElectronDev. Lett., vol. 27,
pp. 1012, J an. 2006.
61. M. Higashiwaki, T. Mimura, andT. Matsui, AlN/GaNinsulated-gateHFETsusingCat-CVD
SiN, IEEE ElectronDev. Lett., vol. 27, pp. 719721, Sept. 2006.
References 157
62. Y. Ando, Y. Okamoto, H. Miyamoto, T. Nakamura, T. Inoue, andM. Kuzuhara, 10-W/mm
AlGaN-GaN HFET with a eld modulating plate, IEEE Electron Dev. Lett., vol. 24,
pp. 289291, May2003.
63. R. Vetury, Y. Wei, D. S. Green, S. R. Gibb, T. W. Mercier, K. Leverich, P. M. Garber,
M. J. Poulton, J. B. Shealy, Highpower, highefciency, AlGaN/GaNHEMT technologyfor
wirelessbasestationapplications, IMSDig., pp. 487490, 2005.
64. Y. Kamoetal., A C-bandAlGaN/GaNHEMT withCat-CVDSiNpassivationdevelopedfor
anover 100Woperation, IEEE IMSTech. Dig., pp. 495498, 2005.
65. T. Kikkawa, et al., Anover 200Woutput power GaN HEMT push-pull amplier withhigh
reliability, IEEE IMSTech. Dig., pp. 13471350, 2004.
66. W. Nagy, S. Singhal, R. Borges, J. W. J ohnson, J. D. Brown, R. Therrien, A. Chaudhari,
A. W. Hanson, J. Riddle, S. Booth, P. Rajagopal, E. L. Piner, andK. J. Linthicum, 150W
GaN-on-Si RF power transistor, IEEE IMSTech. Dig., pp. 483486, 2005.
67. R. Therrien, S. Singhal, J. W J ohnson, W. Nagy, R. Borges, A. Chaudhari, A. W. Hanson,
A. Edwards, J. Marquart, P. Rajagopal, C. Park, I. C. Kizilyalli, K. J. Linthicum, A 36mm
GaN-on-Si HFET producing368W at 60V with70%drainefciency, IEEE IEDM Tech.
Dig., 2005.
68. O. Kruger, G. Schone, T. Wernicke, R. Lossy, A. Liero, F. Schnieder, J. Wur, andG. Trankle,
Laser-assistedprocessingof VIAsforAlGaN/GaNHEMTsonSiCsubstrates,IEEEElectron
Dev. Lett., vol. 27, pp. 425427, J une2006.
69. K. Krishnamurthy, J. Martin, B. Landbert, R. Vetury, andM. J. Poulton, Wideband400W
pulsedpower GaN HEMT ampliers, IEEE CSIC Symp. Dig., pp. 303306, Monterey, CA,
Oct. 1215, 2008.
70. S. Piotrowicz, E. Morvan, R. Aubry, S. Bansropun, T. Bouvet, E. Chartier, T. Dean, O. Drisse,
C. Dua, D Floriot, M.A. diFortePoisson, Y. Gourdel, A. J. Hydes, J .C. J acquet, O. J ardel,
D. Lancereau, J. O. McLean, G. Lecoustre, A. Martin, Z. Quarch, T. Reveyrand, M. Richard,
N. Sarazin, D. Thenot, andS. L. Delage, Stateof theart58W, 38%PAEX-BandAlGaN/GaN
HEMTsmicrostripMMIC ampliers, IEEE CSIC Symp. Dig., pp. 14, Monterey, CA, Oct.
1215, 2008.
71. M. Micovic, A. Kurdoghian, H. P. Moyer, P. Hasimoto, M. Hu, M. Antcliffe, P. J. Willadsen,
W. S. Wong, R. Bowen, I. Milosavljevic, Y. Yoon, A. Schmitz, M. Wetzel, C. McGruire,
B. Hughes, andD. H. Chow, GaN MMIC PAsfor E-Band(71GHz-95GHz) radio, IEEE
CSIC Symp. Dig., pp. 14, Monterey, CA, Oct. 1215, 2008.
72. R. J. Trew, Widebandgapsemiconductor transistorsfor microwavepower ampliers,IEEE
Microw. Mag. vol. 1, pp. 4654, March2000.
73. R. J. Trew, Highfrequencysolidstateelectronicdevices,IEEETrans. ElectronDev., Special
IssueonVacuumElectronicDevices, pp. 638649, May, 2005.
74. T. A. Winslow, R. J. Trew, P. Gilmore, andC. T. Kelley, Simulatedperformanceoptimization
of GaAsMESFETampliers,ProceedingoftheThirteenthBiennial ConferenceonAdvanced
Concepts in High Speed Semiconductor Devices and Circuits, Ithaca, NY, Aug. 1991,
pp. 393402.
75. M. Micovic, A. Kurdoghlian, H. P. Moyer, P. Hashimoto, M. Hu, M. Antcliffe, P. J.
Willadsen, W. S. Wong, R. Bowen, I. Milosavljevic, Y. Yoon, A. Schmitz, M. Wetzel,
C. McGuire, B. Hughes, andD. H. Chow, GaN MMIC PAs for E-band(71GHz95GHz)
radio, IEEE Compound Semiconductor Integrated Circuits Symposium(CSICS), pp. 14,
Oct. 2008.
158 Wide band gap transistors SiC and GaN physics, design and models
76. M. A. KhatibzadehandR. J. Trew, A large-signal, analyticmodel for theGaAsMESFET,
IEEE Trans. Microw. TheoryTech., vol. 36, pp. 231238, Feb. 1988.
77. R. J. Trew, Y. Liu, G. L. Bilbro, W. W. Kuang, R. Vetury, andJ. B. Shealy, Nonlinear source
resistance in high voltage microwave AlGaN/GaN HFETs, IEEE Trans. Microw. Theory
Tech., vol. 54, pp. 20612067, May2006.
78. G. L. Bilbro and R. J. Trew, RF knee walkout and source access region of unpassivated
HFETs, ElectronicsLett., vol. 42, pp. 14251426, Nov. 2006.
79. R. J. Trew, D. S. GreenandJ. BShealy, AlGaN/GaNHFET reliability,IEEEMicrow. Mag.,
vol. 10, pp. 116127, J une2009.
80. R. J. Trew, Y. Liu, W. W. Kuang, andG. L. Bilbro (invited), Thephysics of reliability for
AlGaN/GaN HFETs, Compound Semiconductor Integrated Circuits Symp. (CSICS) Dig.,
SanAntonio, TX, Nov. 1315, 2006.
81. Y. Inoue, et al., Degradation-modeanalysis for highly reliableGaN-HEMTs, 2007IEEE
IMSDig., pp. 639642. W. Kuang, R. J. Trew, andG. L. Bilbro, Modelingof surfacedefect
relatedgateleakageinAlGaN/GaNHFET,MaterialsResearchSociety(MR)SpringMeeting,
SanFrancisco, CA, April 913, 2007.
82. T. A. WinslowandR. J. Trew, Principlesof large-signal MESFET Operation, IEEE Trans.
MicrowaveTheoryTech., vol. 42, pp. 935942, J une1994.
83. W. Kuang, R. J. Trew, and G L. Bilbro, An analytical model for surfaceleakagecurrents
of AlGaN/GaN HFETs and effects upon device reliability, WOCSDICE, IMEC, Leuven,
Belgium, May1821, 2008.
84. R. J. Trew, Y. Liu, W. Kuang, andG. L. Bilbro(invited), Reliabilitymodelingof high-voltage
AlGaN/GaN andGaAseld-effect transistors, Proc. of SPIE, vol. 6894, 1H17, 2008.
4 Amplier classes, A to S
1
Steve Cripps
Cardiff University
4.1 Introduction
Thealphabetical classicationof electronicampliersappearstodatebacktotheearliest
era of electronics, and as such could well be approaching the centenary mark. Its
survival to thepresent day represents aremarkablecontinuity, given thevast changes
in technology that have taken place in the intervening decades. It can also represent
a distraction for the modern RFPA designer working with solid state active devices
and GHz frequencies, both of which were well below the horizon when the original
classicationcameintogeneral use. Theplaninthischapter istointroduceanddene
the various Classes,
1
and then consider how the original intent is often modied in
typical modernapplications, sometimestothepointwheretheoriginal conceptmigrates
intosomethingpalpablydifferent.
Although thedenitions of Class A, AB, B, and C arewell established and havea
longhistorical precedent, thesubsequentClasses(D, E, F, etc.) areof muchmorerecent
originandinsomecaseshavesufferedfromdifferentinterpretationsbydifferentauthors.
A curious but endemic featureof this subject is theassertiveuseof classications by
authorsanddesignerswhenthenal amplier current andvoltagewaveformshavenot
been(andinmany cases cannot easily be) measureddirectly. This has beenknownto
lead to considerablecontroversy, given that someamplier Classes haveeven been
patented. Another issuewhich comes up when addressing this subject with amodern
perspectiveistheintrusionof digital approachestopower amplication, primarilyinthe
formof so-calledswitchmodes.Asactivedevicetechnologyimproves, thefrequency
rangeat whichit canbemadetobehaveasanear-ideal switchincreases. Until recently,
this range could be reasonably restricted to the HF (MHz to tens of MHz) region,
but newer technologiessuchasgalliumarsenideandgalliumnitridehaveextendedthis
region into theVHF (hundreds of MHz), and morearguably into themicrowave
(GHz) region. Thereisthussomethingof agrayarea, whereaparticular amplier can
beconsideredasasmoothed-outswitchmode, or alternativelyasamoreconventional
analoguePA classwithsomeextraharmoniccomponents. Bothof theseapproacheswill
bedescribedinthelater sectionsonClassS, E, andJ amplier.
1
The word Class has such specic and specialised importance in this chapter that I will capitalize it
throughout.
160 Amplier classes, A to S
Efciency is the central issue in the evolution of amplier classes. The Class A
amplier can beconsidered to bealogical starting point, and will bedescribed rst.
It has several positive attributes, notably simplicity of implementation, linearity, and
highpotential operatingbandwidth. Itdoeshowever haveonlymoderateefciency, even
at maximumsignal drive conditions, and this is what led early amplier developers
to explore Class AB modes, where the device is deliberately shut off for a portion
of each RF signal cycle. This can have a dramatic effect in terms of increased ef-
ciency, but almost alwayscomesat apriceof reducedoverall linearity. Asweprogress
throughthealphabet inthePA idiom, this tradeoff betweenefciency andlinearity in
general continues. A highly efcient Class C amplier, for example, cannot be con-
sidered at all in applications having any formof amplitudemodulation on thesignal
carrier.
Sincethestart of thedigital communicationsera, therehasbeenamarkedemphasis
onlinear RF power amplication, that istosaythesystemRFPA isrequiredtoamplify
the signal in its nal, fully modulated form. This represented something of a sea-
change for the RFPA industry, since in older systems it was more common to use
the RFPA itself as a high-level modulator. Vacuumtubes appear to have been very
amenable to the use of supply voltage variation as a means of imposing amplitude
modulation(AM) ontothecarrier. AssiliconRF power transistorsbegantoappear in
theearly1960s, it seemsthat amajor re-thinktookplace, sincethesedevicesdisplayed
highly nonlinear behavior under conditions of supply modulation. Conveniently (and,
presumably, not serendipitously) there was a shift to angle modulation (frequency
andphasemodulation), especiallyinmobiletransmitters, wherebythetransmitter RFPA
couldberunatconstantamplitudelevel. Thisallowedtheongoinguseof efcientClass
C-type amplier designs and was something of a disincentive for device technology
development towards more linear performance. But the more recent development of
systems usingcomplex, digitally based, modulationschemes has forcedRFPA design,
andtheunderlyingdevicetechnology, tocomplywithstringent linearityspecications.
There is nevertheless a counter-culture evident in the modern RFPA community,
whichseeks to reinstatetheoldregime. Inprinciple, adigitally modulatedsignal can
still be sent using only nonlinear RF amplication. The basic concept is to generate
a constant envelope signal which carries the appropriate phase modulation, and then
use supply modulation (and/or alternatives) to generate the required AM. The non-
linear relationship between thesupply voltageand theoutput RF envelopeamplitude
canbemanagedinamodernsystemthroughtheuseof digital correctiontechniques,
either onthetrackingvoltageitself, thesignal envelope, or both. SuchLINC (linear
amplication using non-linear components) RFPA systems can in principle be much
moreefcient than thelinear approach, although theefciency at which thetracking
voltagesupply can begeneratedis anegativefactor whichmust always betaken into
account. LINC systemdesignhasthusrenewedinterest inthedesignof highlyefcient
amplierswithout theconstraint of linearity. Theclassical solutionof ClassC modeis
less attractivein solid statedesign, and this is theareain which switching, or quasi-
switchingRFPAssuchasClassesDandE maywell haveamajor roletoplayinfuture
systems.
4.2 Active device models 161
Despitethesepossiblefuturedirections, thevast majority of RFPAs in current use
have been designed for Class A (Section 4.3) or Class AB (Section 4.4) operation.
Class A tends to predominate at higher GHz frequencies where applications usually
demandhighlylinear performance, andRF bandwidthscanbe10%or greater. Wireless
communicationssystems,whichtypicallyusemuchnarrowerbandwidths,usuallyfavour
ClassABoperationinordertomaximizeefciency. Linearityrequirementsare, however,
rapidlyapproachingthoseencounteredinsatellitecommunicationsandmicrowavelink
applications, anddigital signal processingusually hastobeemployedinorder tomeet
linearityspecicationsinClassAB operation. WenoteClassB (Section4.4) somewhat
inpassing, asasingular point that dividesClassAB fromClassC (Section4.5).
ClassF (Section4.6) issomethingof thejoker inthepack, inat least onesense, but
hasbeenthesubjectof muchresearchoverthelastdecadeorso. Itcanbeconsideredasa
derivativeof ClassABoperation, andhasbeenusedinbothlinearandLINCapplications.
Inalinear application, it caninprincipleincreasetheefciency at peak power levels,
withoutcompromisinglinearity, butinpracticeposessomedifcultcircuitdesignissues,
especially for higher power devices at GHz frequencies. In LINC applications it can
challengetheefciencyof themorefashionableswitchmodes, andinspeciccasesmay
supplyahigher output power duetobetter control of peakvoltage.
Other PA classes come and go according to the whims of researchers and patent
attorneys, so as weproceed beyond Class F then denitions becomealittleharder to
nd, let alonetosummarize. TherearealsosomediscrepanciesbetweenaudioandRF
electronics in the denition of some amplier classes. The most notable of these is
theClass D audio amplier whichis basically apulsewidthmodulator andis usually
denoted as Class S at RF and Microwavefrequencies (hencethereis no section in
thischapter onClassD). Also, intheaudioworldClassGandClassHarewell dened
but theseterms havenot comeinto general useat RF. Ironically, theaudio denitions
essentiallyutilizeatechniqueknownasenvelopetracking, or ET, whichisusedatRF
but has never beenclassiedalphabetically. Section4.11attempts tosummarizesome
of themiscellaneous categories.
4.2 Active device models
IndeningandanalyzingRFPA Classesit islogical toadopt acommondevicemodel.
Attheoutset, however, ithastobenotedthatRFPA devicesfall intotwodistinctphysical
kingdoms, thebipolar transistor andtheFET. Withinthesetwokingdomslienumerous
genera; for example the most widely used bipolar device at GHz frequencies is the
HeterojunctionBipolar Transistor, or HBT, but at higher power levelsandlower (UHF)
frequencies, the more traditional Si bipolar junction transistor (BJ T) still survives.
FET devices come in a somewhat greater diversity, both in terms of materials and
structures. Galliumarsenide metal semiconductor FETs (GaAs FETs) dominated the
GHz sector for several decades, somewhere between the late 1960s and the 1990s.
Moreadvanced material growing machinery such as molecular beamepitaxy (MBE)
becamecommercially availableintheearly 1990sandledtothedevelopment of more
162 Amplier classes, A to S
0
I
max
V
max
V
max knee
) (<<V
I
ds
V
gs
steps) (linear
I
ds
V
gs
D
S
G
Figure 4.1 Ideal devicemodel usedfor PA analysis.
optimumFET structures such as the high-electron mobility transistor (HEMT) and
the pseudomorphic HEMT (pHEMT), but these were primarily still based on GaAs
substrates.Duringthe2000s,galliumnitridehasemergedasanenfantterrible,sporting
high-voltageoperationandpotentiallybroader bandoperationthanGaAsdevices, albeit
withnospecicinherent frequencyadvantage.
Thesevariousdevicesandtechnologiesaredescribedinearlier chaptersof thisbook,
but for thepresent purposesjust about anyof theFET deviceswill displayaset of IV
characteristicsasshowninFigure4.1.
Thesecharacteristicshavebeenidealizedfor thepurposesof maintainingafocuson
themodeof operation. Theidealityassumptionscanbesummarizedasfollows:
r
constant current-sinkbehavior outsidetheturn-on, or knee region;
r
abrupt cut-off of current whenthegatevoltagedropsbelowathreshold value;
r
saturation of thecurrent aboveadenedvalue, usuallydenotedbyI
max
;
r
linear relationship between output current and input voltagebetween thethreshold
andsaturationpoints(inthischapter thiswill becalledthequasi-linear region);
r
quasi-staticbehavior (sameIV characteristicsregardlessof sweepspeed).
In dealing with PA modes, an additional idealization is often deployed, which is to
assumethat thekneeregionhasanegligibleimpact, andthat theturn-oncharacteristic
of thedevicecanbeignored. This assumptionis almost endemic amongPA theorists,
andcanoftenrepresent themainunderlyingcausefor discrepanciesbetweenmeasured
resultsandtheoretical performancepredictions. For thepurposesof thischapter wewill,
however, complywiththemainstreamviewwhichistoset V
k
= 0.
4.3 Class A
In Class A operation thedeviceis kept entirely within thequasi-linear region. For
maximumpower performance, thedeviceis supplied with astanding bias current of
I
max
,2 and theinput signal voltageis constrained to swing between thelimits of the
quasi-linear range. Figure 4.2 shows the current and voltage waveforms for an ideal
devicewithaninput sinusoidal signal excitation.
4.3 Class A 163
rad.) t, (=
V
ds
V
dc
I
dc
I
ds
I
max
2V
dc
2 3 4
Figure 4.2 ClassA devicewaveforms.
Thesinusoidal waveformsmakethecalculationof output power andefciency very
straightforward. TheRF output power, P
rf
, isgivenby
P
rf
=
I
max
2

2
V
dc

2
=
I
dc
V
dc
2
(4.1)
andtheDC power suppliedis
P
dc
= I
dc
V
dc
. (4.2)
Theoutput efciency isdenedas

o
=
P
rf
P
dc
(4.3)
sothat inthiscaseweobtaintheclassical result that theoutput efciency of aClassA
amplier is
1
2
, or 50%.
Wenote, however, that thismuch-quotedresult will not applyinpracticefor anyreal
device, dueprimarilytothezero-knee assumption. Theeffect of thekneevoltagecan
bemost simply expressed and quantied by assuming that thevoltageswing will be
maintained such that theminimado not encroach into thekneeregion, that is where
V
ds
- V
k
. SotheRF output power under maximumdriveconditionscanberewrittenas
P
rf
=
V
dc
V
k

2
.
I
dc

2
=
(V
dc
V
k
)
2
.I
dc
(4.4)
andthecorrespondingefciencybecomes

o
=
V
dc
V
k
2V
dc
=
1
2
_
1
V
k
V
dc
_
. (4.5)
TheratioV
k
,V
dc
isbothtechnologyandapplicationdependent. If wesurveytherangeof
semiconductortechnologiesincurrentuseatGHzfrequencies, theratioisapproximately
0.1inmostcases, butthisassumesthatthedeviceisbeingoperatedatitsmaximumrated
164 Amplier classes, A to S
DCsupplyvoltage. Soinmost practical casestheClassA efciencycanbeexpectedto
benohigher thanabout 45%.
TheaboveanalysisappliesonlytoaCWinputsignal whichhasthenecessarymagni-
tudetodrivethedeviceintoamaximumcurrentswing, thatisoverthefull quasi-linear
rangefromzero to I
max
. In order to assess theefciency for an amplitudemodulated
signal, it is necessary to obtain an expression for the efciency under conditions of
power back-off (PBO). This is an easy calculation to performin theClass A case,
sincetheDCbiasremainsconstant, thatisindependentof theinputdriveconditions. So
for abacked-off condition, wheretheRF output isP
bo
, theefciencywill be

bo
=
P
bo
P
dc
(4.6)
whichcanbeexpressedintermsof theRF output under full driveconditions, P
max
, as

pbo
=
1
2
.
P
rf
P
max
(4.7)
So the efciency of a Class A amplier backs off in direct proportion to the output
power. For example, at the6dB back-off point, theefciencyisonequarter of thepeak
power efciency. For amodulatedsignal that hasapeaktoaveragepower ratioof 6dB,
anaverageefciencyinthe2025%rangeisthebest that canbeexpectedfromaClass
A amplier. This is an unacceptably low efciency for many applications, and is the
mainreasonClassA isnot muchusedinwirelesscommunicationssystems.
TheClassA modedoeshowever havesomeadvantages. Itslinearityisusuallygood,
due to the fact that the device is kept entirely within the quasi-linear range, where
the nonlinearities are of the weak variety. The power gain is typically several dBs
higher for a given device operating in Class A than in the more popular Class AB
modesconsideredinthenext section. For thisreason, ClassA operationbecomesmore
widespread at higher frequencies, wheretheavailabledevices deliver less than about
10dBof gaininClassA. ClassA ampliersarealsofairlyeasytodesign, inthattheydo
not requirespecic harmonic, aswell as fundamental, matching. For thisreasonClass
A ispreferredfor broadband(greater thanoctave) power ampliersatGHzfrequencies,
althoughat subGHz frequenciesthepushpull classB conguration[1] iswidelyused
for multioctavebandwidths.
4.4 Class AB and Class B
Theuseof areducedconductionangle inthedesignof RF power ampliers is well
known, andalsodates totheearliest eraof electronics. As suchwewill not engagein
lengthypreliminariesbut consider thedevicewaveformsshowninFigure4.3.
ThekeydifferenceinmovingfromClassA toClassABoperationisthatthequiescent
biascurrent ischangedtoalower relativevalue, oftenaslowasabout 10%of theI
max
for thedevice. For aFET typedevicethiscanbeeasilyimplementedbymovingthegate
bias voltagecloser to thethreshold level. An RF signal input can thus still swing the
devicecurrent uptotheI
max
value, asinClassA operation, but duetothesymmetryof
4.4 Class AB and Class B 165
I
dc
I
ds
I
max
2
2
2
3
3
3
4
4
4
(a)
(b)
(c)
V
ds
V
ds
V
dc
V
dc
2V
dc
2V
dc
Figure 4.3 Reducedconductionangle(ClassAB) waveforms; (a) current, (b) output voltagewith
broadbandresistiveoutput termination, (c) voltagewithshort-circuitedharmonictermination.
asinusoidal excitationthenegative-goingpartof thevoltagecyclewill swingthedevice
gatevoltagebelowits thresholdvalue, thus cuttingoff theconductionfor aportion
of theRF cycle. Theresultingcurrent waveformisshowninFigure4.3a, andisusually
describedasatruncated sinewave. It isthemathematical propertiesof suchtruncated
sinewaves that determine the main performance benets of Class AB operation, but
before considering this in more detail we need to consider what now happens to the
deviceoutput voltage.
Dueto thefact that an RF transistor can beconveniently approximated as an ideal
current sink, theoutput voltagecanbeeasily calculated; it isasimplematter of multi-
plyingeachindividual currentharmonicwiththecorrespondingoutputloadimpedance,
or inmoresymboliclanguage,
V
ds
=

n
I
n
.Z
n
(4.8)
where I
n
represents the harmonic components of current and Z
n
the load impedance
valueat thecorrespondingharmonicfrequencies.
Figure4.3bshowsthevoltagewaveformthat wouldresultfromabroadbandresistive
termination, andittakestheformof aninvertedreplicaof thecurrentwaveform. Sucha
result isunlikelyinpracticeat GHz frequencies, wherethecharacteristicsof theoutput
166 Amplier classes, A to S
0
Fundamental
DC
2nd
3rd
4th
5th
0.5
0
Amplitude
(I
max
=1)
2 Conduction
angle
C B A AB (CLASS)

Figure 4.4 Harmoniccomponentsof reducedconductionanglewaveforms.


matchingnetworkasafunctionof frequencywill showlargevariationsinbothresistive
andreactivecomponents. It is thus animportant stipulationinthedesignof Class AB
ampliers that the harmonic impedances are made as close to zero as possible. This
harmonic short isnever ideally achievedandisoftentheunderlyingcauseof RFPAs
performinglesswell thananticipated. However, for thepresentpurposeswewill assume
that theoutput loaddoes present aperfect short circuit to thedevice, so theharmonic
components of thecurrent donot generateany correspondingharmonic content inthe
output voltage, in which casetheoutput voltagewill then besinusoidal, as shown in
Figure4.3c.
Sotheoutput voltageof anideal ClassAB amplier looksthesameasfor theClass
A case, and it is to the current that we look for the differences. Figure 4.4 plots the
DC andfundamental componentsof atruncatedcosinewave. Astheconductionangle
isreduced, theDC component dropsbut thefundamental RF component remainsvery
nearly constant, for conduction angles greater than 180

. This results directly in an


efciency increase, whichis plottedinFigure4.5. But it must beemphasizedthat the
efciencyplot makesseveral assumptions, viz.
r
zerokneevoltage;
r
short circuitedharmonics, resultinginasinusoidal deviceoutput voltage;
r
maximumvoltage(V
DC
amplitude) andcurrent (I
max
,2Amp) swings.
A caseof particular signicance, if maybenotactuallywidelyused, isthezero-biasor
ClassB condition. Inthiscasethedeviceisbiasedpreciselytoitsthresholdpoint and
hencedrawsnocurrent until someinput signal isapplied. Whenthedriveissufcient
tocausethedevicecurrent toswingtoitsmaximumextent, thecurrent waveformwill
4.4 Class AB and Class B 167
0 2
Conduction
angle
0
+5 dB 100%
5 dB 0%
(dB)
Efficiency
(dB)
I
Q
=10%
Figure 4.5 Power andefciencyof fullydrivenreducedconductionanglePA.
(=
I
dc
I
ds
I
max

2
2
3
3
4
4
(a)
(b)
V
ds
V
dc
2V
dc
t, rad.)
Figure 4.6 ClassB devicewaveforms.
becomeahalf-waverectiedsinewave, asshowninFigure4.6. TheDCandfundamental
componentsintheClassB conditionhaveasimpleclosedform,
I
dc
=
I
max

.
I
1
=
I
max
2
.
(4.9)
168 Amplier classes, A to S
sothat theRF andDC power isthesameasfor theClassA condition,
P
rf
=
V
dc
I
max
4
P
dc
=
V
dc
I
max

. (4.10)
Theoutput efciencyisnowgivenby

o
=

4
(4.11)
or about 78.5%.
As withtheClass A analysis, theallowancefor anonzero kneevoltagewill reduce
thisclassical number by anywherebetween5and10%, dependingonthedevicebeing
used.
Thezerobiasconditionisauseful datumpoint, butisnotoftenused. Thisisduetothe
fact that azero-biased devicewill haveveryquirkyperformanceat lowsignal levels,
whereareal devicewill not display anideal cutoff behavior. Inpractice, theefciency
of adeep Class AB amplier, biasedaroundthe10%level, will showanefciency
quiteclosetotheclassical ClassB value, asshowninFigure4.5. Nevertheless, taking
account of kneeeffectsaswell, it isunrealistictoexpect anefciencyhigher than65%
inapractical case, whilemaintainingthedeviceentirelywithinthequasi-linear region.
Thisisnottosaythathigherefcienciescannotbemeasured, andarefrequentlyreported
intheliterature, but suchresults areoftentakenwiththedevicedisplayingsignicant
gaincompression.
Asbefore, it isimportant toconsider thevariationof efciencyasafunctionof input
drivebackoff, and theClass B casewill again beconsidered dueto its mathematical
simplicity. For adeviceoperatinginClassB, andat abacked-off RF output level of P
rf
,
weassumethattheRF loadingisunchangedfromthemaximumpower condition, P
max
.
Sothebacked-off current andvoltageamplitudescanbeexpressedas
I
1
=
I
max
2
.

P
rf
P
max
(4.12)
V
1
= V
dc

P
rf
P
max
(4.13)
I
dc
=
I
max

P
rf
P
max
. (4.14)
Sofor aconstant supplyvoltage, thebacked-off efciencyis

pbo
=
P
rf
P
dc
=
V
dc
I
max
4
.
P
rf
P
max
.

V
dc
I
max

P
max
P
rf
=

4
.

P
rf
P
max
(4.15)
This is aresult of considerablesignicancefor amplitude-modulated signals, sinceit
shows that thePBO efciency is aslower function than in theClass A case, being
inversely proportional to thesquareroot of thePBO ratio. Inthecaseof a6dB PAR,
4.4 Class AB and Class B 169
0.5
0.2
I =0
Q
0.1
Efficiency
0
50%
100%
Output power (2 dB/div)
Figure 4.7 Efciencycharacteristicsfor ideal ClassAB PAsfor backed-off driveconditions.
theefciency at themeanpower level hasonly droppedby afactor of two, asopposed
toafactor of four intheClassA case.
The same analysis can be performed for intermediate Class AB cases, but dees
symbolictreatment; Figure4.7showstheresultingPBOefciencycharacteristicswhich
have been computed for a range of quiescent bias settings. It is clear that the same
conclusionconcerningthesuperior PBOefciency canbemadefor quiescent settings
uptoat least the10%level.
It hasalreadybeenemphasizedthat thedesirableefciencyimprovementsthat Class
ABoperationoffersdocomeataprice. Lowerpowergainandincreasedcircuitcomplex-
ity generally will limit therangeof applications to lower frequencies (whereavailable
devices havemoregainto spare), andnarrowbandwidths (dueto therequirement for
short-circuiting the harmonics). There is, however, another issue which concerns the
linearity of aClass AB amplier. This is something of acontroversial topic, because
devicemanufacturersoftentailor thefabricationprocesstooffer devicesthathavegood
linearityinClassAB, but oftenonlywhenoperatedat atightlyspeciedquiescent bias
setting.
Figure 4.8 does show, nevertheless, that an ideal device will display signicant
nonlinearitywhenoperatedinClassAB. Thisresultsfromthemathematical properties
of truncatedsinewaves; basically, at agivenquiescent biassettingtheconductionangle
isitself afunctionof drivelevel andanonlinear relationshipbetweenthedrivevoltage
andthefundamental currentcomponent results, despitethedeviceitself havinganideal
transconductivecharacteristic. As thequiescent setting approaches theClass B point,
the linearity tends asymptotically towards a linear characteristic, and at around the
10%level it becomes possibleto cancel thegain expansion by tailoring thedevice
transconductancecharacteristic. Whetherthisprocesshaseverbeenimplementedinsuch
ana-priori manner issomethingof amoot point, but sufceit tosay that suchdevices
usually needthequiescent bias point to beset withconsiderableprecisioninorder to
obtainthespeciedlinear performance. Assuch, thedesigner frequentlydoesnot have
170 Amplier classes, A to S
Input Power (2 dB/div)
Output
Power
(2 dB/div)
P
lin
V
q
=0.5
(Class A)
0.25
0.15
0.05
V
q
=0
V
q
=0.1
V
q
=0.25
V
q
=0.5
Figure 4.8 Linearityof ClassAB PA modes.
50
V
dc
bias
network
fundamental
match
input
match
harmonic
termination
Figure 4.9 Topologyof basicClassAB amplier.
afreechoiceontheconductionangle, andsomemanufacturers will evenrecommend
that higher quiescent settingsshouldnot beusedat all.
Figure4.9 shows schematically themain elements in atypical Class AB amplier
circuit at GHz frequencies. The output matching network has to performtwo main
functions, afundamental matchwhichtransformsthedeviceload-lineresistancetothe
systemimpedance level, and a harmonic trap which presents a short circuit at the
harmonic frequencies. Thefundamental matchingnetwork canbevery similar to that
usedfor aClassA amplier, sincetheoptimumfundamental loadwill beverycloseto
thedeviceload-lineresistance. Theharmonic trap can takevarious forms. A popular
textbook solution is to useashort-circuited quarter-wavestub, which thus presents
ashort circuit only at theevenharmonics andalso acts as aconvenient bias insertion
point. But thisisnot oftenusedinpractice, duetothelimitedbandwidthover whichan
acceptably lowimpedancecanbemaintained. A secondoptionistouseashunt series
resonator at the second harmonic. Fourier analysis of the half-wave rectied current
4.5 Class C 171
waveformrevealsthatthesecondharmonicisbyfar thelargestcomponent, andinmany
practical cases harmonics higher than the third can be regarded as trapped within
thedeviceitself, either through theaction of theoutput capacitance, or thelow-pass
characteristicof thedeviceitself.
By far themost common solution for terminating theoutput harmonics, albeit not
alwaysintentionally, istoutilizethedeviceoutputcapacitance. Itcanbeshown[2] thatif
thereactanceof theparasiticoutputcapacitanceisequal to, or lessthanthefundamental
load-lineresistance, theoutputcapacitor isbyitself abletosatisfytherequirementsof a
harmonicshort circuit. Thisapproximationtendstoholdasthefundamental frequency
increases, along with thedeviceperiphery. As aresult, what could bedescribed as a
formof complacencyseemstohavedevelopedamongstPA designersabove1GHz. Itis
found, for example, that thereisawiderangeof applicationswherethedeviceappears
to give satisfactory performance by suitably careful optimization of the fundamental
matching alone. This however does not in any way challenge the basic theory. It is
merely aconsequenceof thefact that availabletransistor technologieshavequitelarge
outputcapacitancevalues(intherangeof about1pF/W), whichalthoughbeingamajor
problemindesigningthefundamental matchover anyuseful bandwidth, justhappensto
solvetheharmoniccircuit problemveryconveniently. Thisconveniencedoes, however,
break down when using a given device at a much lower frequency, and/or when a
new technology comes along (such as galliumnitride) that has a much lower pF/W
characteristic.
The wireless communications industry has stimulated a vast amount of research
and development into the design of Class AB ampliers that give good linearity
and high efciency. These applications have however been focused in the lower fre-
quency strata of themicrowavespectrum, andutilizationof thesebenets becomes
rapidly more difcult above about 8 GHz, due mainly to the lower gain of available
devices.
4.5 Class C
TheClassCmodeisalogical extensionof thereducedconductionangleconcept, where
the conduction angle is reduced to less than half of the RF cycle. This results in a
current waveformthat looks morelikeastringof sharppulses, as indicatedinFigure
4.10. Referring back to Figure 4.6, the fundamental component starts to drop as the
conductionanglecrosses into Class C territory, but theDC component also continues
to drop and themathematics tells us that theefciency climbs ever upwards towards
100%for animpulsivecurrent, as showninFigure4.5. Thereare, however, anumber
of problemsthatneedtobeconsidered, whichtogether haverelegatedtheClassCmode
intoverylimitedpractical usefor certainspecializedapplications. Thiswasnotthecase
inthevacuumtubeera, whentheterminologywasintroduced.
ThereductioninRFpowerinClassCisaseriousproblemforasemiconductordevice,
sinceit meansthat toobtainagivenRF power thesize, or periphery, of thedevicehas
to beincreased. This was less of an issuein thetubeera, sincethehigher efciency
172 Amplier classes, A to S
(= t, rad.)
I
dc
I
ds
I
max

2
2
3
3
4
4
(a)
(b)
V
ds
V
dc
2V
dc
Figure 4.10 ClassC devicewaveforms.
V
T
V
MAX
Input
Voltage
Figure 4.11 Excessinput voltageinveryshort conductionangleClassC.
enabledthedevicetoberunatahigherplatevoltage, thuseffectivelyrestoringthepower
shortfall. Suchfreedominsupplyvoltageselectionisnotavailableinthesemiconductor
world, wheredevices areusually operated at their maximumspecied safeoperating
voltage.
A larger problemwithClassC isillustratedinFigure4.11. Biasingthegatebeyond
itsthresholdpointmeansthataverylargedrivesignal will berequiredinorder toswing
thecurrent up to V
max
, thegatevoltagerequired for thedeviceto drawits maximum
current I
max
. For asinusoidal signal, thismeansthat thenegative-goingpeakswill drop
down to alevel that may causesomebreakdown effects. In particular, given that the
inputvoltagehasaminimumthatcorrespondstothemaximumpeakswingof theoutput
voltage, it becomesall toolikelythat somedrain-gatereversebreakdownwill occur.
4.6 Class F 173
V
ds
2 3 4
V
d
V
max
Figure 4.12 Effect of addinganin-phasethirdharmoniccomponent tothevoltagewaveformof a
ClassB amplier.
A ClassCamplier alsoposesagreater challengeintermsof thenecessaryharmonic
termination. Therelativeharmoniclevelsescalatequiterapidlyastheconductionangle
isreducedbelowtheClassB value, andthesecondharmonicapproximation may no
longer beusefullyvalid.
Despitethesevariousdisadvantages, itisworthmentioningthattheClassCmodehas
foundanimportant nicheinrecent years, asbeingauseful meansof implementingthe
peaking stageof aDohertyPA.
4.6 Class F
TheClassFmodehasbeenthefocusof muchresearch[3,4].Inprincipleitoffersasimple
meansof boostingthepeak efciency of aregular ClassB or deepClassAB amplier
by more than 10%. This is achieved by allowing a third harmonic component in the
voltagewaveform, sothat theoutput voltagelooksmorelikeasquared-up sinewave.
Asapreliminary, it isthereforeimportant tounderstandtheunderlyingmathematicsof
sinewaveshavinganaddedthirdharmoniccomponent.
TheprocessisillustratedqualitativelyinFigure4.12. Theadditionof asmall antiphase
thirdharmoniccomponenttoanysinewaveclearlyreducesthepeak-to-peakswing, since
therelevant thirdharmonic peaks anddips arecoincident intimewithpeaks anddips
of thefundamental. As aresult, theentirewaveformcanbescaledup, givingahigher
fundamental component. This process clearly has a limit, that is to say there is an
optimumlevel of thirdharmonicthat resultsinamaximumincreaseinthefundamental
amplitude; beyondthispoint thetwinpeaks start toincreaseandthebenetsrapidly
fadeaway. Finding this specic condition is something of amathematical puzzlethat
hasintriguedseveral authorsover theyears. Rhodes[5] tackleditbyrecognizingthatthe
optimumconditionwas asingular point. Morerecently [6], thepresent author showed
that theproblemcouldbesolvedby factorizingthevoltageexpression, aformulation
that turnsout tohavesomewider implications. Thesewill bediscussedinalittlemore
detail inSection4.11, but thespecicsolutionfor theClassF caseisnowconsidered.
If thecurrent is assumed to bean ideal truncated cosinusoidal function, thecorre-
spondingClassF voltagewave canbeexpressedintheform
: () = V
dc
V
1
cos V
3
cos3 (4.16)
174 Amplier classes, A to S
whereV
DC
istheDC supply, andV
1
, V
3
arethefundamental andharmonicamplitudes.
If for conveniencewenormalizethevoltagestotheDC level, thisexpressionsimplies
to
: () = 1:
1
cos :
3
cos3 (4.17)
andweseek themaximumvalueof :
1
for which:() remainsgreater thanor equal to
zerofor all valuesof .
Noting that the optimum condition will include a zero-grazing double root of
:() = 0, equation(4.17) canbewrittenintheform
:() = (1 cos)
2
(1 cos) (4.18)
so that relationships between the , parameters can be established with :
1
and :
3
by expanding (4.18) and comparing coefcients of similar terms in (4.17), noting in
particular that thesecond harmonic termmust vanish. Furthermore, (4.18) will force
the :() = 0 condition so long as 0 - - 1. This process results in the following
relationships,
=

2
(4.19)
:
3
=

3
2
(4.20)
:
1
=
_
3
2
8

3
2
_
. (4.21)
The parameter ineffect controlsthelevel of thirdharmonic for aset of zero-grazing
waveformsdenedby(4.18). Wethusseekthevalueof thatgivesthemaximumvalue
of :
1
, throughtherelationshipin(4.21). Simpledifferentiationgivesthisvalueas
=
2

3
(4.22)
correspondingtoamaximumfundamental component of
:
1max
=
2

3
(4.23)
andacorrespondingthirdharmonicvoltage
:
3max
=
1
6
. (4.24)
So for theoptimumClass F case, thevoltageexpression (4.17) can bewritten in the
factorizedform
: () =
_
1

2
3
cos
_
2
_
1

2
6
cos
_
. (4.25)
aremarkableresult of fairlyrecent origin[6].
4.6 Class F 175
(=
I
dc
I
ds
I
max

2
2
3
3
4
4
(a)
(b)
V
ds
V
dc
2V
dc

Figure 4.13 Ideal optimumClassF devicewaveforms.


SincetheDC componentsareunalteredfromtheClassB case, theoptimumClassF
efciencywill be

4
.
2

3
, or about 90.7%.
Theresultingideal ClassFwaveformsareshowninFigure4.13; notethattheoptimum
solutionhasathirdharmonic component that isslightly higher thanthat whichgivesa
maximallyat response.
As always, this result is conspicuously ideal and in practice the knee voltage will
causesignicant degradation. Indeed, ironically, dueto thefact that theideal Class F
voltagespends amuch higher proportion of theRF cyclewithin thekneeregion, the
relativedegradationfromtheideal power andefciencywill besignicantlyhigher than
for asinusoidal voltage. Butcaveatsnotwithstanding, theClassF mathematicscertainly
danglesaveryjuicycarrotwhichmorethanonegenerationof RFPA designershasfound
tobeanirresistiblechallenge. AndcomingupwithsuitableClass F circuit topologies
is indeed quite a challenge. The output matching network now has to performthree
functions,
r
transformthefundamental (load-line) resistancetotheterminationimpedance;
r
present theappropriate resistiveterminationat thethirdharmonicfrequency;
r
short circuit theremainingharmonics, especiallythesecond.
Itisthesecondof theserequirementsthatisnew,andformulatingastrategytodeal with
it hasbeenthesubject of muchdiscussion. Theaboveanalysisgivesaclear quantitative
designgoal for therequiredthirdharmonic voltagecomponent, but transformingthis
intoacorrespondingdesignimpedancepresentssomedifculties. Theproblemcanbe
highlightedbyconsideringtheClassBcase, wheretheideal currentwaveformhasazero
thirdharmoniccomponent: what impedanceisnecessarytodevelopavoltageof V
dc
,6
for azerocurrent ow? Somefurther discussiononthisapparent paradoxcanbefound
inreference[2], but for thepresent purposes it will sufceto say that thedesigngoal
176 Amplier classes, A to S
50
/4,f
o
o/c,3f
o
Figure 4.14 PossibleClassF matchingtopology.
shouldbetopresentashighof aresistanceaspossibletothedeviceatthethirdharmonic,
andinparticular toensurethat all of thereactiveparasiticsareparallel-resonatedat the
device output. This can be a daunting task when dealing with larger devices, whose
output capacitancecanbetensor hundredsof pF, andasaminimumwill bebandwidth
limited.
Numerous circuit topologies have been devised for implementing Class F at GHz
frequencies. A somewhat intuitiveapproachis showninFigure4.14, whereaquarter-
wavestubisusedtoshortthesecond(andinprincipleall of thehigher evenharmonics),
andat thethirdharmonic thedeviceoutput capacitanceis resonatedout withanopen
circuit stub, whose length at the fundamental is low enough such that it only adds a
small extracapacitancethat canbeabsorbedinto thefundamental matchingstructure.
But therearefurther constraintsonmaintainingthehigh-impedanceenvironment at the
third harmonic, in particular thefundamental matching network must haveasuitably
low-passcharacteristic inorder not toload thethirdharmonic impedance. Thismay
requireamoreaggressivenetwork at thefundamental, including ahigh-Q resonator,
not only torealizethehighthirdharmonic impedance, but alsotoblock theextrathird
harmoniccomponentsfromreachingtheoutput.
SothemaindifcultyinimplementingClassF isbandwidth, andtoooftenresultsare
publishedthat represent spot-frequencydesigns.
4.7 Class J
TheClass J modehas afairly recent origin, beingessentially promoted, as opposedto
invented, bythepresent author [2].
Thebasicconcept istoengineer asomewhat similar trick tothat usedintheClassF
mode, but usingsecond, rather thanthethirdharmonic. Onceagain, it paystoexamine
themathematicsof theprocessrst. Figure4.15showswhathappenswhenanantiphased
secondharmoniccomponentisaddedtoacosinewave. Theresultingwaveformbecomes
asymmetrical about theDC level, withahigher peak andaatter minimum, whichis
nowraisedabovethezerolevel. Asaresult, it ispossibletoscaleupthewaveformsuch
that it againbecomeszero-grazingandthusthefundamental component issignicantly
increased. J ust as in theClass F case, it is necessary to determinetheoptimumlevel
4.7 Class J 177
2V
dc
(=t, rad.)
I
max
I
ds
V
ds
V
dc
I
dc
2 3 4
2 3 4
(a)
(b)
Figure 4.15 Effect of secondharmoniconvoltagewaveform.
of second(intheClass J case) harmonic inorder to maximizethefundamental, while
maintainingthenonzerocrossingcondition. Sometrigonometric manipulationscanbe
employedtoshowthat for anormalizedcosinusoidal voltagewave,
: () = 1cos (4.26)
themaximumsecondharmoniccomponentthatcanbeaddedhasanormalizedamplitude
of 1,2, sothat thewaveformbecomes
: () = 1

2cos
1
2
cos2 (4.27)
asshowninFigure4.15.
At rst sight, thefactor of

2onthefundamental wouldimplyanefciencyof
=

4
= 1.11. (4.28)
or 111%, assuming the current waveformwas that of a Class B half-wave rectied
sinewave.
Clearly, this is inadmissible, and the reason for this is that the second harmonic
componentsof voltageandcurrent arein-phase, implyingeither power absorptionor a
negativeresistiveload.
The Class J mode resolves this problemby shifting the entire voltage waveform,
relativeto thecurrent, by 45

at thefundamental. TheClass J voltagewaveformthus


becomes
: () = 1cos sin
1
2
sin2. (4.29)
whichimplies afundamental loadconsistingof theregular load-lineresistivecompo-
nent, but withanequal reactivecomponent. Thesecondharmonic loadis areactance,
178 Amplier classes, A to S
2V
dc
(=t, rad.)
I
max
I
ds
V
ds
V
dc
I
dc
2 3 4
2 3 4
(a)
(b)
Figure 4.16 ClassJ devicewaveforms.
50
+ jR
L
R
L
f
0
jX , 2f
0
,
Figure 4.17 ClassJ output matchingcircuit topology.
of comparable value to the load-line resistance. The efciency is exactly the same
as a Class B amplier, as indicated by the unity normalized amplitude of the fun-
damental cosine voltage component. The resulting Class J waveforms are shown in
Figure4.16.
The Class J mode has an important benet over its regular Class B or Class AB
counterparts, inthat thesecondharmonic does not requireashort-circuit termination.
Indeed, thecapacitivereactancethat is requiredto terminatethesecondharmonic can
insomecases beprovidedby theoutput capacitanceof thedevice. This has probably
causedwidespreaduseof ClassJ inafortuitousmanner. Thisisillustratedinatypical
Class J circuit conguration, shown in Figure 4.17. The output matching topology
consistsessentiallyof acapacitor, whichprovidesthesecondharmonictermination, and
alow-passnetworkfor matchingthefundamental. Dependingonthefrequencyandthe
devicetechnology in use, theparasitic output capacitancemay in itself bewithin the
rangedenedbytheClassJ designequations. Insuchcases, theuninformeddesigner
cantreat thewholedesignproblemas anexerciseinfundamental matching, andsome
judicious a posteriori tuningcanintroducethenecessary reactivecomponent into the
4.8 Inverted modes, inverted Class F 179
V
dc
(=t, rad.)
I
max
I
ds
V
ds
V
dc
I
dc
2 3 4
2 3 4
(a)
(b)
Figure 4.18 InvertedClassF modewaveforms(ideal); (a) current, (b) voltage.
fundamental impedance.Highervoltageharmonicscanusuallyberegardedasnegligible,
dueto thedecliningamplitudeof thecurrent components andtheeffect of theoutput
capacitor. Inpracticetherewill besomeinteractionbetweenthevalueof thecapacitor
at thesecond harmonic and theimpedanceof thefundamental network at thesecond
harmonic.
4.8 Inverted modes, inverted Class F
The modes which have so far been described can all be inverted, which means in
effect that thecurrent andvoltagewaveformsarereversed. So, for example, aninverted
ClassBmodeconsistsof adevicehavingasinusoidal currentwaveformandahalf-wave
rectiedvoltagewaveform. Intheideal case, thepowerandefciencywouldbethesame
at thepeakpower level, but thepower back-off efciencycharacteristicwouldreplicate
the Class A curve in Figure 4.7, and as such this mode is not much used. A more
interestingcaseistheinvertedClassF mode, whichhasreceivedconsiderableattention
intheliteratureover thelastfewyears. Thewaveforms, showninFigure4.18, showone
useful potential advantageof ClassF
1
. Duetothefact that theDC component of the
half-waverectiedvoltagesinewavehasavalueof V
pk
,, thefundamental component
can beincreased by afactor of ,2, assuming that thepeak voltageof V
DC
can be
safelyaccommodated. Thiscorrespondstoapotential power increaseof nearly2dB in
comparisontoaClassF conguration, andabout a2.5dB increaseincomparisontoa
ClassB PA usingthesamedeviceatthesamesupplyvoltage. Inpracticethisextrapeak
voltagemayexceedthebreakdownspecicationof thedevice, althoughtherearecases
wherethismaynot bealimitation.
180 Amplier classes, A to S
(=t, rad.)
I
max
I
ds
V
ds
V
pk
V
dc
I
dc
2 3 4
2 3 4
(a)
(b)
Figure 4.19 PhysicallyrealizableinvertedClassF waveforms; (a) current, (b) voltage.
The waveforms shown in Figure 4.18 are still highly idealized and unlikely to be
realizedinpractice. InvertedClassF isconventionallyengineeredbystartingoff with
thedevicebiased as for Class A operation. Thecurrent clipping can then berealized
by over-driving the device so that it saturates on the peaks and cuts off in the dips.
This, however, will result inamoreof amaximally at current waveform, asshownin
Figure4.19. Thisonlyreducestheefciencyverymarginally, butinpracticeabigger hit
will betakenwhentryingtoengineerthestipulatedvoltage. Theideal half-waverectied
sinusoidcontainsmultipleharmonics, andwill usuallybeapproximatedbyaddingjust
secondharmonic. AsshowninFigure4.19(andasanalyzedinSection4.7), thisallows
thefundamental component tobeincreasedby afactor of

2, somewhat lessthanthe
,2factor that wouldapplyfor theideal half-waverectiedsinewave.
Thepeak power andefciency advantages of Class F
1
aresomewhat temperedby
aClass A-type PBO efciency characteristic, dueto thehighquiescent bias setting
requiredtoengineer thesquared-upcurrentwaveform. Thereis, however, aninteresting
variant, showninFigure4.20. Herethecurrentwaveisahalf-waverectied(co)sinewave
whichhasclippedpeaks. Withjudiciousadjustment, thevoltagecanbeallowedtodip
intothekneeregion, thusclippingthecurrent suchthat it becomesanapproximationto
asquarewave. This clippingcanbeadjustedto null out thesecondharmonic current
component, sothat theopen-circuit impedanceterminationwill allowaviablemodeof
operationthathasanimprovedPBOefciencycharacteristic. Theclippingprocessdoes,
however, signicantly reducethefundamental current component, causingareduction
inRF output power. Theclippedconditionwill alsolikely result inawell-compressed
condition, sothat thisvariant maynot besuitablefor linear applicationsthat useampli-
tudemodulated signals. It appears that this variant has in thepast been observed and
giventhenameof ClassG [7], but thistermhasnot comeintogeneral use.
4.9 Class E 181
(=t, rad.)
I
max
I
ds
V
dsV
pk
V
dc
I
dc
2 3 4
2 3 4
(a)
(b)
Figure 4.20 Clipped variationoninvertedClassF.
V
dc
I
dc
v
c
(t )
C
P I()
Figure 4.21 BasicClassE circuit.
4.9 Class E
TheClass E modeis dened, fundamentally, as aswitching mode, wheretheactive
device characteristics that have been used thus far are replaced by a simple, perfect,
switch. This immediately raises several questions about the validity, and indeed the
relevance, of switchingmodesat GHz frequencies. But beforeconsideringtheseissues
anyfurther, wewill examinethesimplestandmostbasicformof ideal ClassEoperation.
Figure 4.21 shows the simplest possible circuit for Class E operation. The active
devicetakes theformof an ideal switch, which for thepurposes of this analysis will
be assumed to have negligible transition times and can be turned on or off at
discretionarytimeswithineachRF cycle. Theswitchisshuntedbyacapacitor, andthis
in turn is shunted by a series resonant circuit. If we assume that the switch is being
toggledperiodicallyat afrequencythat isclosetotheresonant frequencyof thecircuit,
182 Amplier classes, A to S
I
dc
I ( )
I
max
I
max
I
max
0
0
0
0
I
rf
(a)
(b)
(c)
(d)
Device
Current
V
pk
V
dc
0
0
2 4
Output Cap
Current
Device
voltage
Figure 4.22 Ideal ClassE waveforms.
therewill beasinusoidal current owingaroundtheresonant circuit loop. Figure4.22
showstheresultingwaveforms, includingthecurrentsintheresonant loop, theswitch,
andtheshunt capacitor. Theactionof theswitchistoforcetheresonant current either
into theswitch, when theswitch is closed, or theshunt capacitor, when theswitch is
open. Giventheinertia of theseriesresonator, thecirculatingcurrent cannot change
astheswitchistoggled.Thecapacitor thusendsupwithacurrentwaveformasshown
in Figure 4.22c, which can be integrated to show the voltage across it, as shown in
Figure4.22d.
Looking at the waveforms at the switch terminals, it is clear that there is no time
withintheRF cyclethat current andvoltagearenon-zero simultaneously. Thesystem
thusrepresents100%efcientconversionfromDCtoRF energy, andduetotheresonant
natureof thecircuit whichcontainstheRF load, theenergy will bemainly connedto
thefundamental frequency. However, thehighefciency is as muchaproperty of the
assumedideal natureof theswitch, asthemodeof operation. Thereisalsoanimportant
caveat in that thepeak voltageacross theswitch can beseveral times theDC supply
voltage, causingbreakdownissueswhentheswitchisreplacedbyatransistor.
4.10 Class S 183
Figure 4.23 ClassSamplier concept.
In practice, the process of making a transistor behave like a switch requires some
trickery, which involves the use of the knee region as well as the threshold of the
devicecharacteristics. Thisprocessusually involvessacricingasignicant portionof
thedevicepeak current capability sothat, aswithClassC operation, higher efciency
is obtained at theexpense of obtaining lower power; this can be as much as 23 dB
lower than normal Class AB operation for the same device with the same supply
voltage.
TheClassE PA hasbeenafavouritesubject intheliteraturefor nearlyfour decades,
attracting particular attention fromtheacademic community. Above1 GHz, many of
thesepapersandarticlescanbequestionedinthat they frequently donot showany RF
waveformsatthedeviceplane, andquoteefcienciesthataremuchlower thanwouldbe
expectedfromapureswitchingmode. Efcienciesaround90%havebeenreportedfor
Class E designs inthelowGHz region, but thedeviceis usually operatinginahighly
nonlinear condition. Suchresultshavelimited, albeitstill potentiallyuseful, applications
inmicrowavecommunications.
4.10 Class S
TheClassSmodeistheRF versionof apulsewidthmodulationtechnique, widelyused
at lower frequencies under thenameof Class D. Thebasic process is well known, and
is indicated in Figure4.23. Thesignal is sampled, and atrain of pulses is generated,
whoselengthisproportional totheinstantaneoussampledamplitude. If thispulsetrain
ispassedthroughalow-passlter, it isanelementaryresult of samplingtheorythat the
original signal will bereconstructed, hencethetermreconstructionlter.
RF designershavealwaysdreamed of thedaywhenRF signalscanbegeneratedin
thismanner, andat anygiventimethereisusuallyavociferousfactionwhichproclaims
that the day has come. There are, however, some hazards upon which the unwary
frequentlystumble. Historically, theobviousoutstandingproblemisthatof thenecessary
sampling rate. At audio frequencies, this can be made easily two or three orders of
magnitude higher than the sampled signal bandwidth without posing any particular
challenges onthespeedof readily availableelectronic components. But for asignal at
1GHz thisclearlyposesproblems.
184 Amplier classes, A to S
V
dc
Figure 4.24 ViableClassSamplier conguration.
V
dc
Figure 4.25 Bogus ClassSamplier conguration.
Thereisinfact another problemwhichisfrequentlyoverlooked. Inorder tomaintain
high efciency, thereconstruction process must not generateany signicant power at
any of the spectral frequency components that are caused by the sampling process.
Figure4.24showsonewayof achievingthis. Theactivedeviceswitchestheoutputlter
andloadbetweentwovoltagesources, whichcanbeconvenientlytakenaszero(ground)
andapositiveDC supply. Switchingbetweensuchstiff voltagesources ensures that
theonlycurrentwhichisallowedtoowthroughthelter intotheloadisattherequired
signal frequency band. All other frequency components are presented with the high
reactiveloadof thelter andassuchdonotcreateanypower. Unfortunately, asingleRF
power transistor cannot beusedtoimplement suchascheme, asshowninFigure4.25.
Thetransistor canbeswitchedon or off, but thisineffect meansthat thelter-load
combinationisbeingexcitedbyaswitchedcurrentsource. Itmightbethoughtsufcient
to accommodatethis changeby placing asuitablelter in shunt across thedevice, in
order toprovidealow-impedancepathfor theunwantedspectral components, but then
thevoltageof thedesiredsignal will appear across thedeviceterminals. As such, the
devicewill starttodissipateheatasthelevel of theoutputsignal isreduced, inmuchthe
samewayasaregular ClassA or ClassAB amplier.
Implementation of asuitableswitching conguration thus requires, as aminimum,
acomplementary pair of transistorswhichcanswitchtheloadbetweenthetwosupply
rails. Suchacongurationposesdifcultiesat GHz frequencies, but aslower parasitic
semiconductor technologiesappear thepossibilityof realizingaClassSamplier atlow
GHz frequenciesdoesincrease.
4.11 Multimodes
Theongoingandwidespreaduseof theClass categorizationof RFPAs is somewhat
puzzling. Theseclassicationscitespecicpropertiesof thedevicecurrent andvoltage
4.11 Multimodes 185

I
dc
I
ds
I
max

2
2
3
3
4
4
(a)
(b)
V
ds
V
dc
2V
dc
(=t, rad.)
Figure 4.26 Multimode voltagewaveformcontainingsecondandthirdharmonics.
waveforms, and at GHz frequencies these waveforms are very difcult to measure
directly. They can, of course, besimulated, but it then becomes ajudgment call as to
whether thewaveformscomplywiththeintendedClass.Infact, areal deviceinareal
circuitcanfrequentlydisplaywaveformsthatdonotfall easilyintoaparticular category.
TakeforexamplethecurrentandvoltagewaveformsshowninFigure4.26. Thecurrent
isaregular half-waverectiedsinewave, but thevoltagecontainsbothsecondandthird
harmonic components, andas suchdoes not fall under Class F, D, or J as describedin
thischapter. Thevoltagewavehastheform
V = V
dc
cos V
1Q
sin V
2Q
sin2 V
3Q
sin3. (4.30)
which has the same in-phase fundamental component as a regular Class A or Class
B sinusoidal voltage, but withsomeaddedharmonic components. Sincetheharmonic
components are in quadrature with the cosinusoidal current, they do not contribute
power. Theresult is that suchanamplier will showthesameefciency as aClass B
amplier, but theharmonicvoltagecomponentsimplythat thedeviceisnot terminated
withashort circuit at eachharmonic, andinthiscasetheharmonicterminationswill be
entirelyreactive.
Suchasituationisprobably very commoninpractice. Thetextbook stipulationof a
global harmonic short is often unlikely to befully implemented in apractical circuit,
andthis exampleis just onespecic caseof alargeandcontinuous multidimensional
terminationspace, whichimpliesacontinuumof harmonic matchingconditionsthat
yieldthesamefundamental power andefciency as aclassical Class B amplier. The
ClassJ voltagecondition, denedearlier intheform
V = 1cos sin (1,2)sin2 (4.31)
186 Amplier classes, A to S
is another specic exampleof this space. It was observed by thecurrent author [6]
that thiscanbewritteninafactorizedform,
V = (1cos)(1sin) (4.32)
:() = 1cos :
1q
sin
k=n

k=2
:
kq
sink; (4.33)
it iseasier toconstruct solutionsbymultiplyingnon-zero-crossingfactorssuchas
(1 cosk). (1 sink).
andpowersthereof.
Suchexpressions will havethesameRF power andefciency as theclassical Class
B so long as the in-phase fundamental coefcient remains at unity and the in-phase
harmonics arezero. It is alsopossibletogeneralizetheexpressionfurther andinclude
cosineharmoniccomponents.Althoughthisraisesthepossibilityof generatingunwanted
powerattheharmonicfrequencies, thefundamental termcanbeenhanced. Forexample,
theclassical ClassF modecanbeshowntofall intothenewtheoretical framework,
V =
_
1
2

3
cos
_
2
_
1
1

3
cos
_
(1 sin) (4.34)
with = 1, but themoregeneralized formulation reveals acontinuous set of modes
basedonClassF, but whichcontainadditional quadratureevenharmonics.
4.12 Conclusions
TheRFPA classesdescribedinthischapter formaframeworkaroundwhichmost prac-
tical designswill t. Eachmodehowever representsatleastsomedegreeof idealization,
bothinthecharacteristicsof theactivedevice, andalsothefundamental andharmonic
termination environment. Almost any practical RFPA which operates in thelowGHz
frequencyregionwill likelydisplaysomevariancefromthetraditional waveformClass
denitions. But for themost part, designerswhoareunabletomeasurethedeviceplane
RF waveforms still indulge in a mindset of blind faith that the complex interaction
betweendeviceandcircuit canbefullycharacterizedbyafewlettersof thealphabet.
References
1. J. L. B. Walker, Ed., High Power GaAs FET Ampliers, Norwood: Artech House, 1993,
pp. 1821.
2. S. C. Cripps, RF Power Ampliersfor WirelessCommunications, 2ndEdn., Norwood: Artech
House, 2006.
3. V. J. Tyler, A new high efciency high power amplier, Marconi Rev., vol. 21, 1958,
pp. 96109.
References 187
4. F. H. Raab, ClassF poweramplicationwithmaximallyatwaveforms, IEEE. Trans. Microw.
TheoryTech., vol. 45, no. 11, pp. 20072011, Nov. 1997.
5. J. D. Rhodes, Universalityinmaximumefciencylinear power ampliers,Int. J. Circ. Theor.
Appl., vol. 31, pp. 385405, 2003.
6. S. C. Cripps, P. J . Tasker, A. L. Clarke, J. Lees, andJ. Benedikt, Onthecontinuity of high
efciency modes in linear RF power ampliers, IEEE Microw. Components Lett., vol. 19,
no. 10, pp. 665667.
7. P. Colantonio, F. Giannini, G. Leuzzi, and E. Limiti, High efciency low-voltage power
amplier designbysecondharmonicmanipulation,Int. J. RF Microw. Computer-AidedEng.,
vol. 10, no. 1, pp. 1932, J an. 2000.
5 Computer-aided design of
power ampliers
Stephen Maas
AWR, Corporation
5.1 Introduction
In any book about power ampliers, it seems essential to discuss themost important
tool in their design, circuit-analysis software. The development of such software has
progressedfromimprovementsintheunderstandingof linear and, especially, nonlinear
circuit theory, as well as rapid improvements in computer and software technology
over the past 20 or 30 years. While we all know about these successes, there exists
athird dimension to thematurity of circuit-design software: our ability to createand
especially to maintain largesoftwaresystems to support aversatiledesign owfor a
widevarietyof RF/microwavecomponents. Inspiteof thesesuccesses, however, circuit-
analysis technology has not reached thepoint whereit is perfectly transparent; some
street wisdom onthepart of theuser is still required. By describingtheunderlying
technologyof thesesoftwaresystems, thischapter shouldimpart someof that wisdom.
5.2 Methods of analysis
5.2.1 Linear analysis
Linear analysisisanimportant part of anynonlinear circuit simulator; it isalsointrinsi-
callyuseful, asmanytypesof circuitarequitesatisfactorilytreatedaslinear. Earlylinear
circuit-analysissoftwaretreatedall elementsastwo-portsandconnectedtheminseries,
parallel, or cascade according to the structure of the circuit. Since most microwave
matchingcircuitscanbedescribedeasilythisway, it wasauseful waytocreateaset of
circuit equations.
Unfortunately, many kindsof circuit simply cannot bedescribedasinterconnections
of two-ports. In this case, a more general method is needed, usually resulting in the
creationof anadmittanceorother matrixdescribingthecircuit. Onesuchmethod, which
was used in early general-purpose circuit-analysis programs, was based on so-called
nodal incidence matrices [1]. This method was complicated to implement, so it was
soonsupplantedbynodal analysis.
Nodal analysis
Nodal analysis has a number of attractive features. The nodal matrix, an admittance
matrix of thecircuit at each frequency of interest, can becreated in afully mindless
5.2 Methods of analysis 189
I
1
I
2
V
2
V
1
n
1
n
2
Y
Figure 5.1 WhentheadmittanceY isconnectedbetweennodesn
1
andn
2
, it changesthecurrent in
eachnodeasshown.
manner, thusmakingit perfect for implementationbyamindlessmachine, acomputer.
Nodal analysis creates largesparsematrices (i.e., matrices that consist mostly of zero
entries) and thereforecan takeadvantageof modern numerical methods for handling
such matrices. Although nodal analysis is generally less efcient than analysis based
on cascaded two-ports, its versatility in handling a widevariety of circuit structures,
combinedwiththespeedof moderncomputersandsophisticationof numerical methods
for dealingwithmatrices, makeit thoroughlypractical evenwhenappliedtoverylarge
circuits.
Nodal methodsdohavesomedisadvantages. First, andperhapsmostobviously, many
kinds of circuit element andstructuredo not haveadmittancerepresentations. This is
particularlytroublesomewhencircuitsarepartitioned, anecessarystep, asweshall see,
formanykindsof nonlinearcircuitanalysis. Then, thecircuitmaybecomedisconnected,
causing thenodal admittancematrix to besingular. Similarly, DC analysis of circuits
havinginductors or transmissionlines oftenfails, as nodes becomeinterconnectedby
large, perhapsinnite, conductances. Methodshavebeendevelopedfor circumventing
suchproblems; thesewill bedescribedinduecourse.
Consider theadmittanceelement Y inFigure5.1connectedbetweennodes 1and2.
Whenweconnect it into thecircuit, it changes thetotal nodal current ineachnodeas
follows:
Y(V
1
V
2
) = LI
1
Y(V
2
V
1
) = LI
2
(5.1)
whereV
1
, V
2
arethevoltages at therespectivenodes, measuredbetweenthenodeand
somearbitrarygroundpoint. Thiscanbewritten
_
LI
1
LI
2
_
=
_
Y Y
Y Y
_ _
V
1
V
2
_
(5.2)
implyingthat thematrixin(5.2) issimplyaddedtotheadmittancematrixof thecircuit,
intheimpliedpositions; that is, Y is addedto the(1, 1) and(2, 2) positions andY to
the(2, 1) and(1, 2) positions. equation(5.2) issometimescalledastamp, implyingthat
addingelementstothecircuit matrixinvolvesnothingmorethanstamping thematrix
190 Computer-aided design of power ampliers
V
2
V
3
V
1
+

n
1
n
2
n
3
Figure 5.2 Inanindeniteadmittancematrix, all nodevoltagesarereferencedtoacommon
groundnode.
withapredeterminedpattern. Stampsformorecomplicatedelements, suchascontrolled
sourcesandother admittancematrices, canbegeneratedsimilarly.
The resulting matrix is called an indenite admittance matrix. It is an admittance
matrix inwhichthevoltagesrepresent nodevoltagesrelativetosomearbitrary ground
point; thesituationisillustratedinFigure5.2. Itisclear thatthenodevoltagescannotbe
determineduniquelyinsuchacircuit. For example, wecouldndaset of voltagesthat
satisfy, say, (5.2), addsomeparticular DCquantitytoeachof them(5V might benice),
andthecurrents remainunchanged. It is inevitableinsuchacasethat theadmittance
matrixissingular.
To removethesingularity, at least onenodein thematrix must havesomedened
voltage; inpractice, it isgrounded. If theindenitematrixisasshownbelow,

I
1
I
2
. . .
I
N

Y
11
Y
12
. . . Y
1N
Y
21
Y
22
. . . Y
2N
. . . . . . . . . . . .
Y
N1
Y
N2
. . . Y
NN

V
1
V
2
. . .
V
N

(5.3)
grounding node n simply involves setting V
n
to zero. Then the nth column can be
removed, asitselementsareall multipliedbyzero. Similarly, thecurrentI
n
isthenof no
interest, soitsrowcanbedeletedaswell. Theresultingmatrixisstill squarebut (unless
it hassomeother problem) nolonger singular.
Usually, not all of thenodevoltages areof interest. Only thevoltages at accessible
external nodesareof concern, and, inparticular, weoftenwanttocharacterizetheN-node
network by aP-port or P-nodeadmittancematrix. Thiscanbeaccomplishedasshown
inFigure5.3. Werst select thenodes that will becomeports or accessiblenodes and
sequentially exciteeach port/nodewith acurrent source. Wethen obtain thevoltages
at each port or node. The voltages, divided by the excitation current, are the values
in one column of the impedance matrix. When all the columns have been obtained,
theimpedancematrix canbeconvertedto anadmittancematrix, scatteringmatrix, or
whatever typeisdesired.
5.2 Methods of analysis 191
I
1
V
2
Z
21
= V
2
/I
1
Z
31
= V
3
/I
1
Z
11
= V
1
/I
1
V
3
V
1
+

n
1
n
2
n
3
Figure 5.3 TondaP-nodenodal admittancematrixfromtheN-nodeindenitematrix, theP
externallyaccessiblenodesareexcitedinturnbycurrent sources. Thenodevoltagesresulting
fromeachexcitationprovideasinglecolumnof theZmatrix. TheZmatrixport matricescanbe
foundinasimilar way; thevoltagesof interest arethenthosebetweenthenodesdeningthe
ports. TheZmatrixcannallybeconvertedtoanydesiredform.
Themost commonmethodfor factoringanodal matrixisLU decomposition[2] and
back substitution. Only a single factorization of the large nodal matrix is necessary,
andtheport or nodevoltages resultingfrommultiplecurrent vectors canbefoundby
back-substitution, amuchlesscostlyoperation.
This process is used most frequently for characterizing the linear subcircuit in a
harmonic-balanceanalysis(Section5.2.2). Asweshall see, disconnectingthenonlinear
circuit elements from the linear ones often leaves disconnected nodes, making the
nodal matrix singular. Thisproblemcanbecircumventedfairly easily inthefollowing
manner:
1. Beforetheindenitematrixiscreated, moderate-valueresistorsareconnectedacross
eachport of aP-port matrixor fromthenodetogroundinanodal matrix. Thevalue
of theresistanceshouldbeonthesameorder asresistancesinthecircuit; inmostRF
andmicrowaveapplications, 100O workswell.
2. ThematrixisreducedtoaP-nodeor P-port admittancematrixasdescribedabove.
3. The added resistance now appears along the main diagonal of the P-dimension
admittancematrix. Theresistanceisremovedsimplybysubtractingitsinversefrom
themain-diagonal terms.
Thisprocessalwaysworksforanodal matrix. Inaportmatrix, itistechnicallypossible
for it tofail, but it almost alwaysworksinordinarycircuits.
Modiedanalysis
Many types of element, such as voltage-controlled voltage sources (VCVS), do not
have an admittance representation. Others, such as ideal transformers, do not have a
Y- or Z-matrix representationat all. It is possibleto circumvent someof theselimita-
tions with other elements; for example, aVCVS can berealized fromacascadeof a
192 Computer-aided design of power ampliers
voltage-controlledcurrent sourceandagyrator; atransformer canalsoberealizedbya
cascadeof gyrators. Modiednodal analysisisasomewhat moreelegant alternative.
Suppose we have a VCVS whose control terminals are (j, k) and voltage source
terminalsare(m, n). Thiselement addsaconstraint onthevoltageof the(m, n) branch,
andthismust beincludedinthenodal matrix. Wenotethat
A
:
V
j
A
:
V
K
V
m
V
n
= 0
I
j
= I
k
= 0
I
m
= I
n
= 0
(5.4)
whereA
v
isthevoltagegainandI isthebranchcurrent. Then, wecanaugmentthenodal
matrixwithanextrarowandcolumnrepresenting(5.4):

[
[ 1
[ 1
[
A
:
A
:
1 1

V
j
V
k
V
m
V
n
I

I
m
I
n

(5.5)
Thiscreatesanewstampfortheelement. All elementsthatcannotbedescribedsimply
byanadmittancematrixrequirethisnewstamp.
A full treatment of modiednodal analysis is beyondthescopeof this chapter. The
interestedreader shouldconsult [3] for moreextensiveinformation.
Sparse-matrixmethod
Imaginealargecircuit havingmanythousandsof nodesandconsistingof simple, two-
terminal elements. Eachof theseelementsisconnectedtoonlytwonodes, creatingfour
entries inthematrix. From(5.2) weseethat amatrix position(j, k) has anentry only
if acircuit element isconnectedbetweenthosenodes. Clearly, most nodesdonot have
elementsconnectedbetweenthem, somost entriesinthematrixarezero.
Storingalargematrix consistingmostly of zeroelementswastesmemory, andcom-
putations with such amatrix largely involvemultiplying zero by zero and adding the
result tozero. Thisisespeciallytroublesome, inviewof thefact that LU decomposition
of amatrixisanN
3
process; thatis, theamountof computationincreasesapproximately
asthecubeof thematrixdimension, N.
Thissituationclearlyiswasteful, somethodshavebeendevelopedtoimproveit. An
earlymethod,developedspecicallyforcircuitanalysisandstill inuse(e.g.,inthecircuit-
analysis programSPICE), involves storingthenonzero matrix values indoubly linked
lists, alist for eachrowandeachcolumn. Inthis way, largenumbers of zeroelements
neednot bestored. Tondmatrix elements, it isnecessary totraversethelists, clearly
aslowprocess. Somekinds of access, however, suchas ndinglocations for element
stamps, canbefacilitatedby savingpointers to thoselocations. LU decompositionof
asparsematrix tends to createll-ins; that is, zero locations areoften replaced by
nonzerovalues, andnewlocationsinthelistsmustbecreatedforthem. Variousheuristics
areusedtominimizell-ins.
5.2 Methods of analysis 193
As thecost of computer memory has decreased, recent sparse-matrix methods have
favoredincreasedcomputational speedover minimizedstorage. Furthermore, thematri-
cesinmost kindsof nonlinear circuit analysisarenot nearlyassparseasalinear, nodal
matrix, andinsuchcaseslarger numbersof ll-instendtobegenerated, sothevalueof
minimizingstorageis, inanycase, minimal. Insuchanenvironment, iterativemethods
that operateonthecompletematrixareoftenused.
Thegoal of suchmethods is to reducetheresidual of thematrix. Specically, sup-
posethat onewishes to ndthevector V givenanadmittancematrix Y andexcitation
sourcesI :
YV = I (5.6)
WeestimateV insomewayanddenetheresidual, r(V):
r(V) = [YV I [ (5.7)
Clearlyweneedtominimizer(V), or, equivalently, r
2
(V).
Onecouldviewthiscase, for example, asanoptimizationproblem. Onemight take
thegradient of r
2
(V), r
2
(V), andminimizer
2
(V) intheindicateddirection. Although
intuitively thisprocessmay seemslow, it scaleswithmatrix sizefar better thansimple
LU decomposition. Other methodsaremoresophisticated, takingadvantageof sparsity,
matrixstructure, andtheavailabilityof agoodapproximateinverse. Inharmonic-balance
analysis, which we describe in Section 5.2.2, a method called GMRES (generalized
minimumresidual), oneof aclassof methodscalledKrylovsubspacemethods, hasbeen
favoredfor manyyears.
Suchmethodsareusedprimarilyforhandlinglargesparsesystemsof linearequations,
wherethescalingof computationwithmatrixsizemaybeontheorder of N
1.5
or better,
insteadof LUs N
3
. Their advantagemay beminor or nonexistent for small systems of
equations, andtheir abilitytohandleill-conditionedcases(thoseinwhichthematrixis
nearlysingular) areworsethanclassical LU decomposition. For thisreason, theuser of
nonlinear circuit-analysissoftwaremust beespeciallycareful toavoidsituationswhere
ill conditioning can occur. Useof certain kinds of time-domain model in frequency-
domain simulators; useof models that arepoorly dened, disconnected, or shortedin
certainfrequencyranges; poor choiceof thermal parametersinself-heatingmodels; and
model parametersthat creatediscontinuitiesarecommonproblemsthat areoftenunder
thecontrol of theuser. Weexaminethesematterslater inthischapter.
5.2.2 Harmonic-balance analysis
Harmonic-balanceanalysisseemstohavebeendevelopedsimultaneouslybyanumber
of individuals[46]. Whilesingle-purposeharmonic-balancesoftwarehasexistedsince
the mid 1970s, large-scale, general-purpose harmonic-balance simulators have been
availableonlysincethemid1980s[7]. Sincethen, however, harmonic-balanceanalysis
hasbecomethedominant tool for power-amplier designersat microwavefrequencies,
andit probablyshouldbeusedmorethanit isfor lower frequency(RFIC) applications.
194 Computer-aided design of power ampliers
I
R
V
s
V
+
+

Figure 5.4 A simpleDC circuit includingadiodecannot beanalyzedalgebraically. Thevoltage
andcurrent at thediodecanbefoundonlybyiterativemeans.
Anheuristicintroductiontoharmonic-balanceanalysis
Letsconsider theproblemof ndingtheDC voltageof adiodeinthesimplecircuit of
Figure5.4. Thecircuit isdescribedbytheequation,
I =
V
s
V
R
= I
sat
[exp(V) 1] (5.8)
where
= q,(KT). (5.9)
Thequantities in(5.8) and(5.9) arewhat onemight expect: qis electroncharge, K is
Boltzmannsconstant, T isabsolutetemperature, isthediodeidealityfactor, I
sat
isthe
currentparameter, Visthejunctionvoltage, andI isthejunctioncurrent. Therestof the
terms aredenedby thegure. It shouldbeclear frominspectionthat (5.8) cannot be
solvedalgebraically. Wecould, however, ndVbymeansof thefollowingalgorithm:
1. Denetheerror equation,
f (V) =
V
R
I
sat
[exp(V) 1]
V
s
R
(5.10)
ThisissimplyKirchhoffscurrent law; f(V) = 0whenit issatised.
2. Select somevalueof V as arst estimateof thesolution. If wehavesomeideaof
whatVshouldbe, obviouslythatestimateshouldbeused. Inmostcases, however, we
havenoidea,
1
soperhapssimplychoosingV=0mightbeareasonableplacetostart.
3. Calculatef(V).
4. Bymeansof someappropriatenumerical method, modifyVsothat[ f(V)[ decreases.
5. Repeat theprocessuntil [ f(V)[ issmall enough.
Thisidearaisestwoimmediatequestions; rst, wheredoweobtaintheappropriate
numerical method, and, second, what, precisely is small enough? Therst question
is answeredeasily: themathematicians havebeenhereaheadof us. Any goodtext on
numerical methodswill describemanynumerical techniquesthat might beappropriate.
Onegood, general methodfor ndingthezero of afunctionis Newtons method. This
technique, illustrated in Figure 5.5a, consists of repeatedly estimating the zero by a
linear extrapolation fromaknown point on thecurve. Given somefunction f(x), and
1
Or, moreprecisely, that big stupid machineon which wedepend, called acomputer, has no ideaof the
solution.
5.2 Methods of analysis 195
f(x)
f(x
0
)
x
0
x
0
x
x
0
x
x
0
x
x
df
dx
df
dx
(a) (b)
f(x)
f(x
0
)
Figure 5.5 Estimatingthezeroof anonlinear functionf(x) involvesusingthederivativeto
extrapolatetothexaxis(a). Thisprocessisrepeateduntil thezeroisfoundtoadequateaccuracy.
Themethodcanfail, however, if theinitial point x
0
ispoorlychosen; (b), for example, showsa
casewheretheprocesshasbeentrappedbyarelativeminimum.
aninitial estimatex
0
, wecalculatef(x
0
) anddf(x),dxat x
0
. Theequationfor thelinear
extrapolationis
f (x
0
)
df
dx

Lx = 0
x=x
0
(5.11)
fromwhichweobtainL x. Wethenestimatethezeroas
x = x
0
Lx (5.12)
thusobtainingabetter estimateof thezero. Wenowsimplyrepeat theprocesswiththe
newestimateof thezero as thestartingpoint. If thecurveis smoothandtheoriginal
estimatex
0
was reasonably closetothezero, eventually theprocess will convergetoa
solution.
Theperformanceof thismethoddependsonthestrengthof thenonlinearity andthe
quality of theinitial estimate, x
0
. If it is applied to alinear equation, it will converge
exactly in a single iteration, but if it is applied to a strongly nonlinear function, it
may requiremany iterations. Insomecases, it may fail completely. Figure5.5bshows
an example of a convergence failure, in which the process has been trapped by a
relativeminimum. Other quirks, suchasaninectionpointnear thezero, canalsocause
convergencefailure. Modicationsof themethodcansometimescircumventsomesuch
problems; for example, reducing thestep sizefromthefull Lx to something smaller
easilysolvestheinection-point problem.
Thesecondproblem, determiningwhether thesolutionhasconvergedadequately, is
moresubtle. In theone-dimensional casewehaveexamined here, theanswer simply
dependsonthenecessaryprecision. Inmostpractical cases, however, wehaveavectorof
harmonicvoltages, V=[V
0
, V(
p
), V(2
p
). . . ]
T
where
p
isthefundamental excitation
196 Computer-aided design of power ampliers
frequency. Then, insteadof ascalar f(V), wehaveF(V), avector of current errors. In
this case, the criterion for a solution is much less clear. Should we specify a limit
for the vector magnitude [F(V)[, the magnitude of each component of F(V), or the
fractional error in each component of F(V)? Theanswer largely depends on thetype
of problemweareaddressing. Intherst case, [F(V)[ - , where isthelimit, small
components of F(V) couldbehighly inaccurateeventhough issmall. Thiscouldbe
troublesome for intermodulation analysis, where one expects large differences in the
magnitudesof variousfrequencycomponents. Thesecondcriterion, f
k
(V) - , for all
K components of thevector, is nefor small components but may befar toostringent
for largecomponents. Thethird, fractional error, isdifcult toestimatewhentheerror
is largeand thecorrect valueof each component is unknown. It also tends to betoo
stringentfor small error components. Usually, somecombinationof thesecriteriaworks
best.
Itisimportanttorecognizetheideaunderlyingthislittleexercise: wehaveshownthat
it is not necessary to beableto analyzeanonlinear circuit directly. Infact, except for
trivial cases, it isimpossibletodoso. It isonlynecessarytondsomemethodthat can
reliably improveahypothetical solution. Then, by applyingthat methodrepeatedly, we
canreducetheerror tothepoint at whichit isnegligible.
Amoregeneral case
Now, lets make the problem a little more difcult. Consider the situation in
Figure5.6, wherethediodeis excited by asinusoidal sourceat thefrequency
p
. In
general, thesourceimpedanceiscomplexand, of course, differsateachharmonicof the
excitationfrequency. Wenowhavemadetheproblemmultidimensional, sinceweneed
tosatisfyour equationsat anumber of voltageharmonics, or, equivalently, at anumber
of voltagesamplesinthetimedomain. Wecannolonger writeasimpleequationhaving
the formof (5.8), because the diode junction must be described in the time domain
whilewehavedescribedthelinear part of thecircuit inthefrequencydomain. Howdo
weaccomplishthis?
For themoment, letsassumethatweknowthediodevoltage. Thisisexpressedinthe
frequency domainby V, avector of harmonic components, or equivalently inthetime
domainby thesampledwaveformv(t). Figure5.6(b) showsthat wecanndI
LIN
(k
p
),
thefrequency-domaincurrentinthelinearpartof thecircuitateachharmonicfrequency
k
p
, includingDC, as
I
LIN
(k
p
) =
V(k
p
) V
s
(k
p
)
Z(k
p
)
(5.13)
Notethat V
s
has acomponent only at
p
, theexcitation frequency, and is zero when
k,= 1. Thetime-domaincurrent inthediode, i
NL
(t) inFigure5.6c, is
i
NL
(t) = I
sat
[exp(:(t)) 1] (5.14)
Thetime-domainjunctionvoltagev(t) isperiodicsoit canbefoundbyinverseFourier-
transformingV. Similarly, weFourier transformthetime-domaincurrent to obtainits
5.2 Methods of analysis 197
V
(a)
(b)
(c)
V
s
Z()
V
s
I
LIN
I
LIN
i
NL
(t)
I
NL
(t ) = I
sat
[exp(v(t ) ) 1]
I
NL
+

V
+

v(t )
+

Z()
Figure 5.6 Themorecomplicatedcase, inwhichthediodeisexcitedbyasinusoidal sourceanda
complexsourceimpedance; (a) showsthiscase; (b) and(c) showthedecompositionintolinear
andnonlinear subcircuits, respectively.
harmonic components, I
NL
(k
p
). To satisfy Kirchhoffs current lawat each harmonic,
werequire
I
LIN
(k
p
) I
NL
(k
p
) = 0 (5.15)
Nowwemust facethefact that wedont really knowthevoltagecomponents V(k
p
).
Tondavalidsolution, wemustndthesetof voltagecomponentsV(k
p
), k=0. . . K,
whereK is thegreatest signicant harmonic, that satises (5.15) at each k. In effect,
wehaveK1equations of theform(5.15) andK1variables, thevoltages V(k
p
).
Theproblemis not much different fromtheprevious one; wemust ndthezero of a
nonlinear function. Inthiscase, however, theproblemismultidimensional.
Fortunately, Newtons methodis easily modiedto accommodatemultidimensional
problems. Weformulateourvoltagesandcurrentsinvectorformandemployaniterative
processentirelyanalogousto(5.11) and(5.12). Wedeneour error functionas
F(V) = I
LIN
(V) I
NL
(V) (5.16)
andasolutionisfoundwhen
F(V) = 0 (5.17)
198 Computer-aided design of power ampliers
Thezeroisestimatedas
F(V)
_

V
F(V)
_
LV = 0 (5.18)
whichissolvedfor LV. Thenewestimateof V is

V = V LV. (5.19)
Thederivativeof avector withrespect toavector, whichweseein(5.18), isaJ acobian
matrix. This matrix contains all thederivatives of each component of F with respect
toeachvoltagecomponent V(k
p
). Assuch, it containsinformationabout theeffect of
every voltagecomponent onevery error component. This is all theinformationabout
thelocal error that onecouldpossibly have, andit implies that themethodshouldbe
verypowerful for ndingthezero.
For this reason, as well as considerable successful empirical experience, multidi-
mensional Newtons method has become the favored technique for both time- and
frequency-domainnonlinear-circuitsimulation.CompareNewtonsmethodto,forexam-
ple, anoptimizationapproach, inwhichthegradient, [F(V)[, isusedtodeterminethe
direction in which changes in V should go. That formulation would includeinforma-
tion about theeffect of each V(k
p
) component on [F(V)[, but not on theindividual
components of F(V). It should be expected that such a method would be distinctly
inferior to multidimensional Newton, as implementations of both methods quickly
demonstrate[7].
Fortunately, theJ acobianmatrixissurprisinglyeasytocreate. Thetermsof thematrix
aresimply theFourier components of thediodes conductancewaveform(i.e., its IV
derivativeevaluatedat v(t)) addedtotheadmittancesY(k
p
) = 1/Z(k
p
) inappropriate
locations. Inlargecircuits, however, theJ acobianis invariably large, so solving(5.18)
to obtain LV can be computationally costly. Iterative methods such as GMRES are
extremelyhelpful inminimizingthat cost.
Our nal matter istoshowhowharmonic-balanceanalysisisappliedtolargecircuits.
It should be clear that (5.16) represents Kirchhoffs current law, so it is valid when
V, I
LIN
, andI
NL
represent voltages andcurrents at bothcircuit nodes andfrequencies.
Specically, V couldjust aswell be
V = [V
1
(0). V
1
(
p
). V
1
(2
p
). . . . . V
1
(k
p
). V
2
(0). V
2
(
p
). . .]
T
(5.20)
where V
n
(k
p
) is the voltage at node n and frequency k
p
, and (5.185.19) remain
unchanged. It isnecessaryonlytogeneralize(5.13) intheobviousmanner,
I
LIN
= Y(V V
s
) (5.21)
where Y is the admittance matrix of the linear parts of the circuit, at all harmonic
frequencies, arrangedintheformof (5.20). Althoughpreviously weassumedV
s
tobe
asingle, sinusoidal excitation, (5.21) shows that this restrictionneednot beimposed.
V
s
could be, for example, a nonsinusoidal source or a set of nonsinusoidal sources
connectedtooneor morenodesof thecircuit anddescribedbytheir Fourier series.
5.2 Methods of analysis 199
Harmonicbalancevariants
Asonemightexpect, thestoryisnotassimpleaspresentedabove. A numberof methods
havebeendevelopedtoimprovethespeedandrobustnessof harmonic-balanceanalysis
andtoaccommodatemoretypesof analysis. Theseincludethefollowing:
Normreduction
It isfrequentlyobservedthat takingthefull Newtonstepdenedby(5.18) usuallydoes
not result inrobust convergence. Dynamically adjustingthesizeof thesteptoprovide
anoptimumreductionintheerror functionisinvariablyabetter approach. Thus, (5.19)
becomes

V = V LV (5.22)
where is aconstant that canbevariedas needed. Usually, is initially small andis
increasedby thesimulator until theerror isminimized. SincetheJ acobianneednot be
factoredduringthis process, it is computationally relatively inexpensiveandincreases
thesimulatorsrobustnesssignicantly.
Semanskii iteration
Semanskii iterationissimplyafancynamefor reusingtheJ acobianinsteadof reformu-
lating it. Especially if thecircuit is not too strongly nonlinear, or theprocess is close
to asolution, using asingleJ acobian formulation for several iterations can speed the
solutionprocess.
Semanskii iterationcanbeusedonlywhenLUdecompositionisusedtosolve(5.18);
it is not applicable to Krylov methods. Since literally all modern harmonic-balance
simulatorsuseKrylovmethods, Semanskii iterationisnolonger of great interest.
Krylovsubspacemethods
Ateachiterationof theharmonic-balanceprocess, wemustsolvethematrixequation,
J LV = F(V) (5.23)
where J is theJ acobian. When J is large and at least somewhat sparse, as it usually
is, Krylovsubspacemethods, particularlyGMRES, canbeextremelyhelpful insolving
(5.23) rapidly. This process requires that J and theright sideof (5.23) bemultiplied
by a preconditioner. The preconditioner is an estimate of the inverse of J ; the exact
inverseis, of course, unknown; if it wereknown, theproblemwouldbesolvedby the
preconditionermultiplication. Thesuccessof theprocessdependsstronglyonthequality
of thepreconditioner. Thecloser it is to theinverse, themoreefcient thesolutionof
(5.23).
By now it should beobvious that it is not necessary to solve(5.23) completely. It
is necessary only toreachthepoint whereLV, appliedto(5.22), decreases thecircuit
error. Thus, thesolutionof (5.23) neednot beexact, andit canbeterminatedwhenever
an improvement in theerror is reached. This is especially useful in theearly steps of
a harmonic-balance analysis, when even an exact solution of (5.23) would not result
200 Computer-aided design of power ampliers
in a good estimate of the zero, and thus would represent wasted effort. Because of
thedependenceonsuchpartial solutions, thesemethods aresometimes calledinexact
Newtonmethods.
Weightingof theerror equations
Wehintedat theproblemof determining theaqdequacy of thesolutionearlier inthis
section. We noted that the norm[F(V)[
2
, while the default method for determining
convergence, is by itself apoor criterion, as it discriminates against small components
of F(V). In fact, theproblemis worsethat this. It can beshown that, in general, the
gradient of F(V) does not point in the same direction as LV, and, in some types of
circuit, itisactuallyperpendicular [8]. Theproblemisespeciallyacuteincircuitshaving
controlledsources, whichincludevirtuallyall of solid-stateelectronics. Thismeansthat
agoodNewtonstep, inthesenseof improvingmost of thecomponents of F(V), does
not necessarilyimprovethenorm.
This problemcanbesolvedby weightingF(V) beforedeterminingthenorm. Mul-
tiplyingF(V) by theJ acobianis anappropriateweightingfunction. Usingthis simple
method, beforeevaluating thecircuit error, is asimpleand highly effectivetechnique
for improvingtherobustnessof harmonic-balanceanalysis.
Multitoneexcitations
Sofar, wehaveassumedtheexcitationtobeperiodic, soitcouldbeexpressedasaFourier
series. Thisis, however, anunnecessaryrestriction. Nothinginthepreviousformulation
requiresthat thefrequencycomponentsbeharmonicsor theexcitationsourceshavethe
samefundamental frequencies; theycanbewhatever excitationfrequenciesandmixing
productsareusedandproducedbythecircuit. Ingeneral, thefrequenciesinthecircuit
are
= m
p1
n
p2
(5.24)
wherem, n, . . . , areintegersand
p1
,
p2
, . . . , aretheexcitationfrequencies, assumed
tobenoncommensurate; thatis, notharmonicallyrelated. Equation(5.20) thenbecomes
V = [V
1
(0). V
1
(
1
). V
1
(
2
). . . . . V
1
(
K
). V
2
(0). V
2
(
1
). V
2
(
2
). . . . . V
2
(
K
). . . .]
T
(5.25)
where
k
, k=1, . . . ,K arethesetof nonharmonicmixingfrequenciesdenedby(5.24).
TheonlyproblemistheFouriertransformation; sincethevoltagesandcurrentsingeneral
arenot periodic, wecannot useasimplefast Fourier transform(FFT) to stepbetween
thetimeandfrequencydomains.
A number of methodscanbeusedtoperformthenecessarytime-to-frequencytrans-
formation. Indeed, a cottage industry in developing such methods existed for a time
during the1980s and 90s [916]. Oneobvious choiceis theuseof adiscreteFourier
transform(DFT). Thisworkswell aslongasthefrequencycomponentsarenot closely
spaced, asituationthat istoorestrictivefor manykindsof analysis. Theill-conditioning
of theDFT in such cases can beavoided by using nonuniformly selected timepoints
inthetransform; someof thetransformmethods focus specically onthat time-point
5.2 Methods of analysis 201
selection process [9]. Theuseof an n-dimensional Fourier transformis equivalent to
selectingthetimepointsoptimally[10], but it isuseful onlywhennnoncommensurate
excitationfrequenciesareused. Thislimitsntoapproximatelyn 3. Higher valuesof
naretheoreticallypossiblebut becomecomputationallyexpensiveinpractice.
Envelopeanalysis
It is valuable to be able to use circuit-simulation techniques for excitations that are
modulatedwaveforms. Suchananalysisisstraightforwardinatime-domainsimulator,
but time-domain analysis may not beappropriatefor certain kinds of circuit. For this
reason, harmonic-balancemethodsthatcanhandlesuchwaveformshavebeendeveloped
[1719].
A naive approach to this problemmight be to generate the modulated excitation
waveform, sample it periodically at a rate based on the modulation time scale, and
performaharmonic-balanceanalysisfor eachsample. Thisapproachhastwoproblems:
rst,ityieldsnomoreinformationthananAMAM/AMPMbehavioral model,inwhich
thecircuits amplitudeand phaseresponseto arangeof sinusoidal signal amplitudes
is determinedandstoredinalook-uptable. Second, it does not account for long-term
memory(memoryontheorder of theinversebandwidth) ineither thelinear or nonlinear
parts of thecircuit. In envelopeanalysis, weperformaharmonic-balanceanalysis by
samplingthemodulatedcarrier ataraterelatedtothemodulationbandwidth. Thetricky
part isincluding, inanapproximatemanner, theeffectsof circuit memoryontheorder
of thesampleperiod.
Dealingwithmemory inthenonlinear subcircuit is simple; for example, consider a
capacitor. Thecapacitorschargeis
Q(t) =
1
2
K

k=K
Q
k
(t)exp(j k
p
t) (5.26)
whereQ
k
(t) is themodulationwaveformfor thespectrumat thekthcarrier harmonic,
k
p
. ThecapacitivechargeisQ(V) andthecurrent isdQ(V),dt. Differentiatinggives
i (t) =
dQ(V)
dt

V=:(t)
=
1
2
K

k=K
_
j k
p
Q
k
(t)
dQ
k
(t)
dt
_
exp(j k
p
t) (5.27)
Sincevirtuallyall thecircuit elementsinthenonlinear subcircuit aresmall, thismodi-
cationhasonlyminor effects. Theeffectof thelinear subcircuitismuchmoreimportant.
Dealing with thelinear subcircuit is moreof aproblem. Weneed somemethod to
ndthecircuit currentsinresponsetotheexternal nodevoltages, whicharemodulated
sinusoids. This problemis not much different fromthat of using frequency-domain
datainatime-domainsimulator, andcanbehandledinmuchthesameway. Existing
approachesaretouseaniteimpulseresponse(FIR) model, aninniteimpulseresponse
(IIR) model, or to expand thefrequency responsein aTaylor series [17]. Depending
uponthemethodemployed, theharmonic-balanceprocedurecanthenbeperformedin
thecustomaryfrequencydomainor, alternatively, inthetimedomain(sometimescalled
awaveformbalanceapproach). Theiterativeprocess, in any case, is somewhat more
complexthanfor thesimplesinusoidal analysis.
202 Computer-aided design of power ampliers
Envelopemethodsareclaimedtoreducecomputational cost relativetotime-domain
methods or multitoneharmonic-balancemethods. It is nowunderstood, however, that
thelatter methodsdonot requireuniformsamplingintervalsof afractionof thecarrier
period, andwhenmoreintelligent approachesareused, thecomputational effortsof all
arecomparable. At thesametime, thegrowingsophisticationof behavioral modeling
methodshasmovedmuchof thiskindof analysisfromthecircuittothesystemsimulator;
indeed, most of theinformation provided by envelopeanalysis is used at thesystem-
analysis level, wherethesystems effect onthemodulatedwaveformcanbeincluded.
In the systemsimulator, the computational cost is far lower, as the nonlinear circuit
needs to beanalyzed only as necessary to generateamodel. Systemcalculations can
then be performed indenitely with no further attention to the nonlinear component.
Furthermore, afundamental dependenceonbehavioral modelsformodulated-waveform
analysis allows modeling frommeasurements of real components as well as circuit
analysis. For these reasons, dependence on envelope analysis, which never has been
great, iscurrentlydecreasinginfavor of behavioral modelingapproaches.
5.2.3 Time-domain analysis
Itiswell knownthatalinear circuitcontainingNindependentreactiveelements(i.e., not
includingsuchtrivialitiesastwocapacitorsconnectedinparallel) canbedescribedinthe
timedomainby anNth-order linear differential equation. Furthermore, any Nth-order
linear differential equationcanbeexpressedasaset of Nlinear, rst-order differential
equations. Thesameisgenerallytrueof nonlinear circuits.
A number of methodsexistfor formulatingthetime-domaincircuitequationsdirectly
inmatrix form. Whileit ispossibletouseordinary nodal analysis, inwhichonly node
voltages arethevariables, it is usually moreconvenient to useamodiednodal form,
allowingcurrentstobevariablesaswell. Thecircuit isdescribedbytheequation,
dX
dt
G(X) S= 0 (5.28)
whereX isavector of time-domainnodevoltagesandbranchcurrents, G(X) isavector
of nonlinear functions of those quantities, and S is a vector of source voltages and
currents.
Thekeytotime-domainanalysisistheintegrationof (5.28).Aswithharmonic-balance
analysis, manymethodsof solutionareconceivablypossible, all of whichhavediffering
numerical characteristics. In all cases, it is necessary to represent thederivativeby a
discreteapproximation, whichconverts(5.28) intoaset of nonlinear equationsthat can
besolvedsequentially.
Onesimpleapproachistoestimatethederivativeas
dX
dt

tn
=
X(t
n1
) X(t
n
)
Lt
(5.29)
5.2 Methods of analysis 203
whereLt is thetimeinterval betweenpoints at whichX is evaluated. This expression
converts(5.28) into
X(t
n1
) X(t
n
)
Lt
G(X(t
n
)) S(t
n
) = 0 (5.30)
whichcanbesolvedalgebraicallyforX(t
n1
). Theprocessisthenrepeatedatsubsequent
time points. This method, while simple and fast to evaluate, has unacceptably poor
numerical characteristics. Inparticular, itserror-propagationcharacteristicsarepoor, as
well asitsabilitytohandlestiff systems.
2
A better methodistouse
dX
dt

t
n1
=
X(t
n1
) X(t
n
)
Lt
(5.31)
that is, to treat this as thederivativeat thenext timestep, rather thanthecurrent step.
Then(5.28) becomes
X(t
n1
) X(t
n
)
Lt
G(X(t
n1
)) S(t
n1
) = 0 (5.32)
Wenowhaveasystemof nonlinearequationsthatmustbesolvediterativelyforX(t
n1
);
analgebraicsolutionisnolongerpossible. If thevectorXhasdimensionK, wenowmust
ndthezerosof K nonlinear equations, eachof whichisK-dimensional. Wehaveseen
this problembefore, of course, inharmonic-balanceanalysis, whereNewtons method
wasusedfor thesolution. Thesamemethodisapplicablehere.
Althoughthisapproachrequiresaniterativesolutionat eachtimeinterval, it ismuch
morerobustthantheearlierone. Of course, thecomputational costappearsmuchgreater,
butisactuallynotassevereasonemightexpect. Intime-domainanalysis, thechangesin
X(t) fromsteptosteparegenerallyfairlysmall, soconvergenceisrapid. Thiscontrasts
markedly with harmonic-balance analysis, where Newton steps are often extremely
large. Thegreatest convergencedifcultyintime-domainanalysisusuallyoccursat the
beginning of an analysis, wherethesimulator must determinetheDC bias point and
initial conditionsfor theanalysis, andasolutionmust befoundinamuchlarger space.
The rules for convergence of time-domain methods are largely the same as in
harmonic-balanceanalysis. Therequirementswhichwill bepresentedinSection5.6.1,
for example, applyequallytotime-domainandharmonic-balanceanalysis.
Time-domain variants
Shootingmethods
J ust asharmonic-balanceanalysisinherentlyndsasteady-stateresponsetoaperiodic
excitation, time-domain analysis nds anetworks transient response, withor without
anexcitationthat neednot beperiodic.
To ndsteady-stateconditions withtime-domainanalysis, it may appear necessary
tointegrateuntil thetransient hasdiedout. Thismay beimpossible, inpractice, asthe
circuit may havetimeconstants that areorders of magnitudelonger thantheperiodof
theexcitation, sointegrationthroughalargenumber of cyclesmaybenecessary. After
2
Stiff systemsarethosehavingmultiple, widelyvaryingtimeconstants.
204 Computer-aided design of power ampliers
this longintegration, numerical errors couldbecomeso great that theresults couldbe
meaningless. This situation acombinationof longandshort timeconstants exists
moreoften than not in RF and microwavecircuits. Shooting methods avoid this long
integrationbysearchingdirectlyfor thesteady-stateconditions.
Thesteadystateisreachedwhen
X(t T) = X(t) (5.33)
whereT istheperiodof theexcitation. Theproblem, inessence, istondsomeinitial
conditionX(t) that remainsunchangedafter integrationthroughaperiodT. Again, this
isaprocessof ndingK zerosof K nonlinear equations, andcanbeapproachedinthe
samemanner assimilarlydenedproblems.
Frequency-domainmodels
Many passive-element models, suchasstriptransmissionlinesanddiscontinuities, are
bestdescribedinthefrequency-domainandcanbeanalyzedinastraightforwardmanner
by frequency-domain electromagnetic (EM) simulators. Theinability of time-domain
simulators to accommodatefrequency-domaindatahas beenasignicant impediment
totheir acceptancebydesignersof high-frequencyelectronics.
Over theyears, methodshavebeendevelopedtoallowtime-domainsimulatorstouse
frequency-domaindata. Oneobviousapproachistoderiveanimpulse-responsefunction
by Fourier transformation. For example, givenanimpedancefunctionZ(), aFFT can
beused to createan impulse-responsefunction z(t), which emerges fromtheFFT in
discreteformandcanbeusedin(5.32) inastraightforwardconvolution.
This process has a number of problems. SinceZ() is truncated in frequency, z(t)
extendsover all timeandisthusnoncausal. Furthermore, it happensthat Z() must be
verytightlysampledor artifactsandnonconvergenceinthetime-domainsimulationcan
result. Straightforwardpractical problemscanariseaswell; for example, Z() andz(t)
must havecompatibleintervals, or somekindof interpolationis necessary. This is not
onlyacomplication, it canalsocausenonconvergence.
Although methods for ameliorating these deciencies exist, the process is at best
inefcient. Better methods attempt to determine a Laplace-domain expression of the
form,
Y
i j
= Y
0.i j
sY
1.i j

K

k=1
_
A
k.i j
s p
k

A

k.i j
s p

k
_
(5.34)
whereY
ij
isaY-parameter of amultiport structure. Asmoderntime-domainsimulators
invariablyincludeafacilityfor handlingelementscharacterizedbytheir Laplacetrans-
forms, this methodis very straightforwardto implement. Its numerical characteristics
aregoodandthecharacterizationiscausal.
Multitoneanalysis
WenotedinSection5.2.2that efcient analysis of circuits under multitoneexcitation
required nonuniformsampling intervals. The same is true of time-domain analysis.
Whiletheyarenot asintuitiveasinharmonic-balanceanalysis, techniquesfor handling
5.3 Passive circuit structures and simulation accuracy 205
multitoneexcitations haveextended time-domain methods to such problems as inter-
modulationanalysisof powerampliers.Adescriptionof thesetechniquesiswell beyond
thescopeof thischapter; wenotethat theyexist andareavailableinsuchsoftware.
5.2.4 Applications of analytical methods
Asgeneral-purposecircuit-analysistools, time-domainmethodsareconsiderablyolder
thanharmonic-balanceones, datingfromthelate1960s. Oneof theearliest nonlinear
circuit analysis programs, SPICE, developedat theUniversity of Californiaat Berke-
ley, becameavailableintheearly 1970s. It was releasedas apublic-domainsoftware,
guaranteeingitswideavailability. SPICE still isusedextensivelyfor digital andanalog
integrated-circuit design. SincesiliconRFIC designgrewlargely fromthesiliconana-
logworld, SPICE andlater time-domainprogramshavebeenthedominant softwarefor
thosetechnologiesaswell.
Harmonic-balanceanalysiswasadoptedbythemicrowavecircuit designcommunity
largely because SPICE was not well suited to circuits having distributed structures.
Distributedcircuit elements, suchasnonideal transmissionlinesandmicrostripdiscon-
tinuities, aremorereadily described in thefrequency domain. Furthermore, transient
response, whichiswhattime-domainsoftwareinherentlycalculates, israrelyof interest
to microwavedesigners, whilesteady-stateresponse, which is provided by harmonic-
balanceanalysis, is precisely what they need. RFICs, operatingat frequencies belowa
few GHz, rarely usetransmission-linestructures. Interconnects in such ICs areoften
electrically short andcanbemodeledinother ways; for example, as RC transmission
lines.
Even so, many characteristics of thesemethods aremerging. Modern time-domain
software often includes methods for using frequency-domain models, and harmonic-
balanceanalysisincludesmethodsfor handlingnonperiodicwaveformsandsimulating
transientcharacteristics. Similarly, systemsimulatorsaretakingonsomeof thecapabil-
itiesof circuitsimulators, includingtheabilitytoaccountfor componentmismatches. In
thisway, differenttypesof simulationcanbeintegratedintoasingleprocessfor solving
problemsthat donot t convenientlyintoasinglemethod.
5.3 Passive circuit structures and simulation accuracy
Theproblemof modeling passiveelements in RF and microwavecircuits has been a
dauntingoneaslongashigh-frequencydesignhasexisted. Eventoday, theaccuracyof
circuit simulationismorestronglydependent onmodel accuracythanonthesimulator
itself.
Manyyearsago, most discontinuities(e.g., irisesinwaveguides) hadtobemeasured
andtheresultstabulated. Occasionallytheresultscouldbenormalizedinfrequencyand
dimension, sotheycouldbescaledandappliedtoawiderangeof structures. Eventually,
closed-formexpressions for theelement models werederivedfromthemeasuredand
tabulated data. Today, efcient EM simulators can do much of the heavy lifting
206 Computer-aided design of power ampliers
involvedinmodelingcircuit structures. They areespecially valuablefor themodeling
of power-amplier components, asclosed-formmodelssometimesarenot accuratefor
theconditions of high current and low impedanceoften encountered. Such tools can
becomputationally costly, however, so thedesigner should becareful in using them.
A littleresourcefulness indesign, especially favoringelements that areeasy to model
accurately, candomuchtoensuretheaccuracyof thedesignprocess.
5.3.1 Scattering parameter models
Thesimplest way to model any linear component is by its scattering (S) parameters.
Modern calibration techniques now allow accurate S-parameter measurements to be
madewell intothemillimeter-waveregion. Theuniversality of S-parameters is largely
anhistoricphenomenon, datingfromtimesbeforethewidespreaduseof circuit-analysis
software. Indeed, anyother setof hybridparameterscandescribelinear circuitelements;
admittance(Y) parameters, whichcarry exactly thesameinformationasS-parameters,
havelongbeenthecustomarywaytodescribeRF transistors. Moreover, onecouldclaim
that characterizingdevicesbyY-parametersmakesmoresensethanbyS-parameters, as
all suchdataarerepresentedwithinthesimulator inadmittanceform.
S-parameter models areactually moregeneral than onemight at rst assume. The
output fromEM simulators is invariably in the formof S-parameters (even though,
like circuit simulators, they calculate Y-parameters directly), as is the data from
database models, described later, in Section 5.3.4. Closed-formmodels, discussed in
Section5.3.2, startoutlifeasS-parameter measurementsaswell. Thus, thepointsmade
in this section, which examines several considerations in the use of S-parameters to
model circuit elements, applytoawidevarietyof modelingmethods.
S-parameter models aresomewhat inexible. It is only rarely possibletoscaleS- or
Y-parameterstodescribearangeof element typesor sizes, andtheparametersmust be
interpolatedtoobtainvaluesatfrequenciesbetweenthoseatwhichtheyweremeasured.
The method of interpolation can affect the results of the simulation; simple linear
interpolation between complex values is often unacceptable, as it results in gain and
VSWR curves having aclearly nonphysical, scalloped appearance. Interpolation in a
polar senseismuchbetter, asaresplineandrational-functionmethods[2].
An important consideration in all models (most easily illustrated, however, with
S-parameter models) is that themanner inwhichthecircuit element is measuredmust
beconsistentwiththewayinwhichitisused. Moreprecisely, themodesattheinterface
of themodel, inuse, mustmatchthoseof themeasurement. Thispointisbestillustrated
byanexample.
Consider the measurement of a chip capacitors S-parameters. The capacitor is
mounted on a carrier, with short, precise, 50 O transmission lines, and thecarrier is
placed in acalibrated test xture. Thesituation is illustrated in Figure5.7. Sincethe
test xturesreferenceplanesarelocatedat theedgeof thecarrier, theS-parametersare
thoseof thecapacitor plusitstransmission-lineconnections.
Normally, the transmission lines should not be part of the characterization, so the
referenceplanesaremovedcloser tothecapacitor; oneconventionistoplacetheplanes
5.3 Passive circuit structures and simulation accuracy 207
(a)
(b)
Figure 5.7 A chipcomponent isusuallymodeledfrommeasurementstakeninaparticular
conguration(a). If it isusedinanother conguration(b), themodel maynot bevalidbecause
themodesat theinterconnectionarenot thesame. Intheaboveexample, useof ateejunction
doesnot completelysolvetheproblem.
attheedgeof thecapacitorselectrode.
3
Atthispointweencounterasubtleproblem. The
elds at thecapacitors edgedo not consist solely of thequasi-TEM microstripmode;
theyincludeanumber of higher-order, evanescent modesthat areconcentratednear the
microstrip-to-chipdiscontinuity. Bymovingthereferenceplanetothecapacitorsedge,
wehaveeliminatedthedominantmodebutnottheevanescentones. Whenthecapacitor
isplacedinacircuit, thosemodes must thesameasinthemeasurement, or themodel
may loseits validity. Themodes arethesameonly if thecapacitor is connectedviaa
50O microstripthat islongenoughtoallowthoseevanescent modestodissipate. If the
capacitor isconnectedviaashorter strip, or oneof adifferentimpedance, themodesare
different andthusthemodel is, tosomedegree, invalid.
A second consideration arises when S-parameter models are used in a harmonic-
balanceanalysis. Suppose, forexample, thatwearesimulatinga10GHzpoweramplier
and use 12 harmonics of the fundamental frequency, plus DC, in the analysis. This
appears to suggest that weneedS-parameters fromzero to 120GHz. Not only would
this entail adifcult measurement, but it simply might not bepossibleto model many
elementsat suchahighfrequency. Most microstripdiscontinuities, for example, cannot
bemodeledaccurately at frequencies wherehigh-order modes canpropagateor where
radiation and surface waves may occur. The latter depend on the dimensions of the
3
Another conventionistoplacethereferenceplanesatthecenter of thechip. Inthiscase, thecircuitdesigner
mustaddctitioustransmissionlinesections, eachequal tohalf thecapacitorslength, tomovethereference
planestothemoredesirablelocationat theedgeof thechip.
208 Computer-aided design of power ampliers
circuits housingandthelocationof adjacent structures, whichmight not beknownat
thetimeof thesimulation.
Inpractice, this problemis not as severeas onemight fear. Solid-statedevices have
parasitic capacitances that shunt the nonlinear elements of the device or the device
terminals, sohigh-frequency currents intheexternal circuit areusually negligible: a1
pF gate-to-sourcecapacitanceof alarge, 10GHz power FET doesapretty goodjobof
short-circuitingthegateat120GHz! Thus, itisrarelynecessarythatmodelsbeaccurate
atsuchhighharmonicfrequencies. Itisnecessary, though, thattheybewell behaved; that
is, theS-parametersvarysmoothlywithfrequencyanddonottakeonimpossiblevalues.
S-parameter models often take on bizarre values (e.g., a passive element becoming
active) when asimulator extrapolates low-frequency measurements to obtain missing
high-frequencydata. Toavoidthisproblem, onecansimplyadddummy S-parameters
(e.g., representingashort-circuit) atafrequencywell abovethehighestharmonic. Then,
thesimulator interpolatesthedata, insteadof extrapolatingit, andtheresultsaremore
rmlybounded.
A secondproblemoccurs at DC. Suchelements as strip-transmission-linedisconti-
nuitymodelsmust havelowimpedancesat dc, andextrapolationtoDC fromRF values
rarelyensuresthis. Again, DCS-parametersmustbeprovided; thisisinvariablyasimple
thingtodo.
At DC, most modelsconsist of short circuits(or at least very lowresistances), open
circuits, or simpleresistances. Short circuits can createaproblemin formulating the
admittance matrix of the linear subcircuit, as connecting two nodes by a very low
impedance creates large matrix entries. Conversely, an open circuit can leave a node
oating, makingtheadmittancematrixsingular. Most modernharmonic-balancesimu-
latorshavewaystohandlesuchproblems; simpleonesaretoformulatetheadmittance
matrixdifferentlyat DC thanat RF or toconnect nodesbyanite, but negligiblysmall
resistance, insteadof zero. Other methods, moremathematicallyelegant, operateat the
matrix level. In any case, theuser should beawareof thesepotential difculties and
avoidthemwhenever possible.
This discussionillustrates oneimportant advantageof lumped-element models over
S-parameter models: theformer neednot beinterpolated, andtheyarewell denedand
guaranteedpassiveatall frequencies. Thecontinuousnatureof suchmodelsisanadvan-
tageinsometypesof circuit, especiallyoscillators, wheretheinevitablegraininess of
S-parameterscancausepoor convergence. For thisreason, manykindsof model, while
generatedfrommeasurement dataor EM simulation, areoftenrealizedinthesimulator
inlumped-element form.
5.3.2 Closed-form models
Closed-formmodelsconsistof lumpedanddistributedcircuitelementswhosevaluesare
determinedbyalgebraicexpressions, or, atworst, arelativelysimplenumerical process.
Thoseexpressionscanbederivedinanumber of ways. Frequently, theyarebasedonan
approximateanalysisof thedevice, but sometimesthey arecompletely empirical, with
parameter valuesdeterminedbymeasurements. Most modelsusedincircuit simulation
5.3 Passive circuit structures and simulation accuracy 209
(a)
R L
C
p
C
1
C
2
Air Bridge or
Undercrossing
(b)
Figure 5.8 Single-layer spiral inductor (a) andequivalent circuit (b) inaIVtechnology.
Multilayer inductorsmayrequireamorecomplexequivalent circuit andsiliconimplementations
mayhavetoaccount for additional lossmechanisms.
C
p
C
1
C
3
C
2
R L
Figure 5.9 Equivalent circuit of achipcapacitor (Figure5.7a. C
s
istheratedcapacitanceandC
p
is
theparallel capacitiveparasitic. TheinductanceL arisesfromthecurrentsinthecapacitors
platesandcausesbothparallel andseriesresonances.
areclosed-form, butincreasedcapabilitiesof bothanalytical softwareandEMsimulators
hascreatednewkindsof model withsignicant advantages.
Closed-formmodels consisting of lumped elements often can successfully model
distributedstructures. Anexampleis theuseof suchmodels to describemicrostripor
other strip-transmission-linediscontinuities. J ust as onecanmodel atransmissionline
by a cascade of series inductors and shunt capacitors, a discontinuity usually can be
modeledsuccessfullybylumpedelements.
As anexample, consider theplanar spiral inductor shown, alongwithits model, in
Figure5.8. Aswell asinductance, thespiral hasloss, capacitancebetweenitstheturns
of windings, andcapacitancefromthewindingstoground. If thespiral isnot toolarge
relativetoawavelength(andif itis, theinductor will likelybetoolargefor useanyway),
theseparasitics canbemodeled as lumpedelements. Theinterwindingcapacitanceis
modeled, to a good approximation, by a single capacitor across the terminals of the
spiral, andthecapacitancetogroundbycapacitorsat eachend. Thelossismodeledby
aseriesresistor, and, of course, theinductancebyasimpleinductor.
A second example, a model of the chip capacitor of Figure 5.7a, is shown in
Figure5.9. Thecapacitorhasseriesinductance, simplybyvirtueof itslengthandthefact
210 Computer-aided design of power ampliers
thatitcarriesatime-varyingelectriceld. Italsohasdielectricandmetallizationlosses,
and shunt capacitance between its electrodes and bond pads. The inductance creates
aseries resonanceandtheinterelectrodecapacitances, combinedwiththeinductance,
createaparallel resonance. Thelatterresonantfrequencyismuchhigherthantheformer.
Determiningthevaluesof themodel elementsisusuallystraightforward. Themethod
depends strongly onthetypeof device. For example, many of thecapacitor parasitics
canbefoundfromtheseries andparallel resonant frequencies, andtheloss resistance
fromtransmissionlossatresonance. If nothingelseworks, themodel canbedetermined
fromttingitsparameter valuestomeasuredS-parameters.
Closed-formmodelsarefrequently usedfor striptransmissionlines. Over theyears,
equationsfor suchlineshavebeendevelopedandpolished, inmanycases, toimpressive
accuracy. The critical characteristics of the lines characteristic impedance, phase
velocity, loss, and non-TEM dispersion are expressed in such models by algebraic
equations. Although theexpressions aresometimes fairly long, they can beevaluated
rapidlyandrarelyhaveasignicant effect oncomputationtime.
As one might expect, models for the most frequently used types of line are most
accurate. Microstripmodelsaremorematurethanmodelsforother typesof line; models
of coplanar waveguideandsuspended-substratelinesareprobablynext best. Modelsof
lessusedstructures, suchasslotlines, arenot asgood.
Most closed-formtransmission-linemodels work well incircuit simulators, as they
involvesimply calculating aset of admittanceparameters algebraically fromtheline
dimensions. Whilemostmodelsarerelativelysimple, andarenotcostlytoevaluate, some
can be relatively complex; certain coupled-line models and microstrip-discontinuity
models arean exampleof thelatter. Even so, in comparison to EM simulation, such
modelsinvariablymakequitemodest demandsoncomputational resources.
5.3.3 Models from EM simulation
Ascomputer capabilitieshaveadvanced, sohasthepracticalityof usingEM simulation
for characterizingthepassiveparts of high-frequency circuits. As of this writing, it is
practical toanalyzetheentirepatternof metal interconnectionsinasmall ICasasingle
structure. With time, and predictable improvements in both software and computer
hardware, it should bepossibleto do even more, and it is not unreasonableto expect
that, eventually, virtuallyall but thesimplest passivestructureswill becharacterizedby
EM simulation.
Several kindsof EM simulator, usingvariousmeansfor analysis, areavailabletoday.
A detaileddiscussionof thesesimulatorsisoutsidethescopeof thischapter; Swanson
[20] has given agood discussion of their useand technology. Below, weoutlineonly
their characteristicsasrelevant topower-amplier design.
Two-dimensional simulators
2D simulators analyze the cross-section of a transmission line or similar structure,
determiningitsinductance, capacitance, series-resistanceandshunt-conductancematri-
ces. It is assumed that the structure is innite in length. From these matrices, all
5.3 Passive circuit structures and simulation accuracy 211
characteristics of the line can be determined for its quasi-TEM mode only, although
non-TEM dispersioneffectssometimescanbeincludedfromempirical relations.
Such simulators arevery versatilein thekinds of structurethey can accommodate
andarequitevaluableincaseswhereclosed-formmodelsarepoor. Closed-formmodels
oftenareinaccurateindealingwiththickmetal, especiallyincoupledstriptransmission
lines, cannot analyze multiple, asymmetrical strips at all, and often cannot describe
lines onmultipledielectric layers. 2D EM simulators candeal easily withthesecases.
They areespecially useful for creatingcoupled-microstripmodels inICs, wheremetal
thicknessisoftennot small comparedtothegapwidths.
The lack of any need to specify a strip transmission lines length during the EM
analysis is asignicant advantage. Becauseof this, thestrips lengthcanbevariedin
thecircuitsimulator (e.g., duringnumerical optimization) withoutneedtorepeatthe2D
simulation. For most structures, 2D simulation is very fast, often only slightly slower
thantheevaluationof aclosed-formmodel.
Planar simulators
Thesesimulators, sometimescalled2
1
/
2
-Dsimulators, shouldmorecorrectlybecalled
3Dpredominantlyplanar simulators. Theyarebasedfundamentallyonspectral-domain
momentmethods, whichoriginallywerelimitedtozero-thicknessmetal stripsonlayered
dielectricsandimposedrestrictionsonthegeometry andcurrent distributiononmetal,
suchasviaholes, that wereperpendicular tothesubstrate. Virtuallyall of theseoriginal
limitations havebeencircumventedover theyears, however, andsuchsimulators now
canaccommodateawidevarietyof structures, includingthickmetal, dielectricbricks,
(rectangularareasof dielectricthatdifferfromthesurroundingdielectric), internal ports,
vertical structures, andsoon.
Planar simulators canuseeither anopenor closedformulation. Intheopenformu-
lation, themetal is placed on dielectric layers of inniteextent; on closed structures,
thedielectric is placedinsideametal box. Theopenformulationis perhaps morever-
satile, allowingtheanalysis of patchantennas, for example; theclosedformulationis
somewhat moreaccurate. Similarly, someformulations requirethat metal edges align
withapredeterminedgrid; somedonot. Again, theformer, whilemorerestrictive, are
generally moreaccurate. Thelatter canbemadeequally accurate, althoughsometimes
at thecost of increasedcomputationtime.
Planar simulators are much faster than full 3D simulators. While slower than 2D,
they includeall non-TEM effects. Unlike2D, they can analyzestructures that havea
complex 2D shape and need not be innite in any dimension or have any particular
symmetry. Thismakesthemideal for striptransmissionlinesandtheir discontinuities.
The speed of many simulators is impressive; because of this, they can be used for
determiningtheS-parametersof completecircuit nets, theentirepatternof metal used
for interconnectionsonICs.
Three-dimensional simulators
3D simulators arethemost general but also theslowest of thesimulators considered
here, andtheymakethegreatest demandsoncomputer resources. Full 3D simulators
212 Computer-aided design of power ampliers
can treat a wide variety of problems, including structures having great complexity
in all dimensions. They are not restricted to layered dielectrics. Typical applications
of 3D simulators aretheanalysis of waveguidediscontinuities, waveguide-to-coax or
waveguide-to-microstriptransitions, andcoaxial rotaryjoints.
3D simulatorshaverelatively littleapplicability inplanar circuits; planar simulators
candeal withvirtuallyall problemsthat ariseinsuchcircuits. It isfrequentlyassumed,
quiteincorrectly, thatthepresenceof anyvertical structureinanotherwiseplanar circuit
requirestheuseof full 3Dsimulation. Whilethismayhavebeentrueinthepast, itisno
longer truetoday.
5.3.4 Database models
WhileEM simulationof suchstructuresasmicrostripdiscontinuitiescanbequitefast,
its speedstill canbeprohibitivewhenlargenumbers of suchelements areinvolvedor
manyfrequenciesmust beused. OnesolutiontothisproblemistoprecomputetheS- or
Y-parametersof awidevarietyof structures, savetheminadatabase, andrecall themin
acircuit simulation. Sincethestructures inthedatabasemay not correspondprecisely
tothedimensionsor other characteristicsof thecircuit element, someappropriatetype
of interpolationisnecessary.
Technologies exist today for automatically convertingthedatabaseparameters toan
accuratelumped-elementequivalentcircuit[21]. Doingsoprovidessmoothinterpolation
between frequencies and insures passivity of theresulting network. It also eliminates
small discontinuitiesinthefrequencyresponse,whichcouldcauseconvergenceproblems
insomecalculations.
5.3.5 Parasitic extraction
Earlyanaloganddigital ICsweretraditionallydesignedaslumped-element circuits. As
circuit speedandcomplexity increased, theinterconnections betweentransistors hada
signicant effect onthecircuitsperformance. Thus, it becamenecessary tomodel the
interconnectingconductors. Thedesignow, however, oftenevolvedintoonewherethe
circuits initial designwas basedonideal interconnections. Then, theinterconnections
wereanalyzedandtheir effectincludedasanal stageof thedesign. Thisoftenresulted
inacircuitthatdidnotwork, anditbecamenecessarytoredesignthechipwith, perhaps,
shorter connections. SincesiliconRFIC designevolvedfromanalogdesign, thisrather
disjointedapproachhasbeenadoptedfor RFICsaswell.
Many kinds of softwarehavebeen developed for parasitic extraction, and they use
various methods for modeling the connections. All are, in some sense, types of EM
simulator. ModernRF anddigital ICsuseshort, narrowconductors, whichusually can
be modeled acceptably as RC circuits. Some extractors boast an inductance aware
extraction, whichincludestheconductors inductance.
A preferabledesignowusesconcurrentlayoutandcircuitdesign, inwhichthelayout
iscreatedsimultaneouslywiththecircuitdesign. Somekindsof moderndesignsoftware
5.4 Solid-state device models 213
support this methodology. In those, the design, layout, and parasitic extraction are
integrated, sothedesigner issparedanunpleasant surpriseafter thelayout iscomplete.
Theneedforcharacterizingcircuitmetal aspartof thedesignprocesshasalwaysbeen
obvious in thedevelopment of microwavecircuits. Even in microwaveICs, however,
when layout is delayed to the end of the design process, it often happens that some
structuressimplydonott, andredesignbecomesnecessary. Concurrentdesignprevents
thisfromoccurring.
5.4 Solid-state device models
Whilepower-devicemodelingis covered inChapter 2, andthermal effects indevices
arecoveredinChapter 9, certainaspectsof thedevicemodel affect thewaysimulations
proceed, and, indeed, determinewhether theamplier canbesimulatedsuccessfully at
all. Weconsider someof thosemattersinthissection.
5.4.1 Power device models
Power devices are large, as they must handle large currents and high voltages. To
accommodateahighcurrent, thetotal gatewidthof apower FET oftenislargerelative
to awavelength. To prevent degradationof deviceperformanceby distributedeffects,
the device must be divided into a number of cells, each a smaller FET with its own
gate; sourceanddrainregionsaregenerallysharedwithadjacent cells. Similarly, BJ Ts
andHBTsarerealizedasanumber of individual cellsconnectedinparallel, oftenwith
sharedelectrodesaswell.
InICs, thedesigner may havesomedegreeof freedomindecidinghowmany cells
can beused in a particular device and how they arearranged. Thedesign must then
includeanalysisof theinterconnectionparasiticsandmustdescribethemulticell device
byasingletransistor model or, if necessary, at most afewtransistors.
5.4.2 Modeling cell interconnections in large devices
Thecellsof apower deviceinvariably includealargenumber of interconnections. The
way thoseconnectionsarearrangedandtheamount of metal inthoseinterconnections
canaffect theperformanceof thedevice. Inacellular handset amplier, for example,
theload impedanceat thedevicemay beon theorder of 1 or 2 O; in this case, even
0.1nH of inductancerepresents approximately 1O of reactanceat 1.8GHz, clearly a
nonnegligiblequantity. Attheoppositeendof thedevice, thewaythatthegatesor bases
areconnectedisalsoimportant. Simplestisaparallel connection, althoughinverylarge
devicesthisconnectionmaynot provideuniformdrivetoall thecells. A tree-structured
connectionusually providesmoreuniformdrivebut islarger andmorecomplicatedto
model.
Although it is a frequent practice, modeling interconnect metal by conventional
transmission-lineanddiscontinuity modelsisrarely successful. Suchmodelsareoften
214 Computer-aided design of power ampliers
notveryaccurateinalow-impedanceenvironmentandarecorrectonlywhenwell sepa-
ratedfromeachother. Inapower device, bothdiscontinuitiesandstraight transmission
linesarecloseenoughtogether thattheir eldscouple, violatingafundamental assump-
tion in themodels formulation. EM simulation is a preferabletool for modeling the
interconnectionparasitics.
Since theinterconnects feed individual cells, it may betempting to treat each cell
in thesimulation as a separate transistor. The computational cost of this approach is
rarelyjustiedbythegaininaccuracy. Sinceaproperlydesignedinterconnect structure
providesuniformdrivetothecells, andproper thermal designshouldresult inuniform
cell temperatures, thereis littleto gain by treating thecells as separatedevices. It is
almostalwaysacceptabletoreducethelargedevicetoascaled, singledeviceor atworst
averyfewdevices.
5.4.3 Thermal effects in device models
Inpowerdevices,highpowerdissipationistobeexpected,soitisalmostalwaysnecessary
toincludeself heatingindevicemodels. Oftenself-heatingmodelsarenot available, or
thesimulator does not support them(SPICE does not). Most devicemodels, however,
include at least thermal scaling; that is, at the outset of the simulation, the user can
specify atemperaturefor eachdevice. Oncethesimulationis completeandthepower
dissipationhasbeendetermined, theuser cancorrect thetemperaturesasnecessaryand
rerunthesimulation. Thisisaclumsyprocess, especiallywhenalargenumberof devices
areinvolved.
Self-heatingmodelsdeterminethedevicetemperaturebycalculatingthepower dissi-
pationfromtheterminal voltageandcurrent waveformsandtheuser-speciedthermal
resistance. Thepower dissipatedinthedeviceat anyinstant t is
P
d
(t) =
K

k=1
:
k
(t)i
k
(t) (5.35)
summedover thedevicesK terminals. If thethermal massof thedeviceislargeenough,
andthetimescaleof thevariationsissmall, devicetemperatureis
T
d
= T
BP


j c

_
P
d
(t)dt (5.36)
whereT
d
is thedevicetemperature, T
BP
is themounting-surface(or baseplate) tem-
perature,
jc
isthethermal resistancebetweenthedevicesactiveareaandthebaseplate,
and issomelongperiodof time.
If thethermal timeconstant isnot longcomparedtothetimescaleof theexcitation,
thedevicetemperaturevarieswithtimeandmustbeincludedintheanalysis. Thiscanbe
accomplishedby theelectrothermal equivalent circuit inFigure5.10. Thetemperature
T(t) then becomes a variable quantity within the model, much like any other control
voltageor current in satisfying (5.17). In thegure, thethermal resistanceis treated
asalinear quantity, but inreality thethermal resistanceof all semiconductor materials
is nonlinear, increasing with temperature. This is an important effect in determining
5.4 Solid-state device models 215
C
th
T(t )

jc
P
d
(t )
T
BP
+
+

Figure 5.10 Electrothermal equivalent circuit for determiningthedevice-temperaturewaveform.


Thecurrent isset numericallyequal tothepower dissipationwaveformP
d
(t) from(5.35), isthe
thermal resistance, andC
th
isthethermal capacitance. Thedevicetemperatureisnumerically
equal tothevoltageacrossC
th
.
V
cc
I
c
+

V
ce
+

V
be
+

V
bb
R
bb
+

Figure 5.11 Biasedbipolar transistor subject toself heating. TheresistanceR


bb
providesstability.
devicetemperature, butfewdevicemodelsincludeit. Whenincluded, itcansignicantly
degradethenumerical conditioningof theproblem.
While self-heating models are considerably more satisfactory than thermal scal-
ing, they do tendtobeill-conditioned, sometimes causingconvergencefailureinboth
harmonic-balanceandtime-domainanalysis. Ill conditioningandtheresultingconver-
gencefailurecanbeasignof thermal instabilityinthecircuit, aswell. Suchinstability
canbedifculttopredict, andwhenitoccurs, itcanbedifculttorecognizeasthecause
of convergencefailure. Theproblemcan also beexacerbated by poor behavior of the
model outsideitsnormal operatinglimits.
Theproblemcanbeillustratedby thesimple, dc-biasedbipolar transistor shownin
Figure5.11. Thepower dissipationinthedevice, P
d
, is
P
d
= V
ce
I
c
(5.37)
whereV
ce
andI
c
arethecollector voltageandcurrent, respectively; wehaveassumedthe
basepower to benegligible. Thetemperatureincreasecausedby this dissipation, LT,
is
LT =
j c
P
d
(5.38)
216 Computer-aided design of power ampliers
where
jc
isin

C/W. Finally, wecansay


I
c
= (LT)I
b
(5.39)
and
I
b
=
V
bb
V
be
R
bb
(5.40)
where is thecurrent gain, V
be
is thebase-to-emitter voltage, whichweapproximate
asafunctionof temperatureonly, andtheother termsareasshowninthegure. R
bb
, a
ballast resistor, isincludedspecicallytoimprovethermal stability. Substituting(5.38)
to(5.40) into(5.37) gives
LT =

j c
V
ce
R
bb
(LT)(V
bb
V
be
(LT)) = C
tb
f
b
(LT) (5.41)
whereC
tb
isaconstant andf
b
isthepart of (5.41) dependent onLT.
Theresult isatranscendental equation, whichmust besolvedgraphicallyor numeri-
cally. Thisisdonebyexpressing(5.41) as
LT
/
= C
tb
f
b
(LT) (5.42)
LT
/
= LT (5.43)
andsolvingsimultaneously. ThisisshowninFigure5.12. InsiliconBJ Ts, increases
with temperatureand V
be
decreases. As aresult, (5.42) increases monotonically with
LT. If C
tb
is small enough, the solution is well dened. As C
tb
increases, however,
thesolutionbecomesmultiple, poorly dened, andnally nosolutionexists. Thelatter
casecorrespondstothermal runaway, awell knownpropertyof siliconbipolar devices.
In HBTs, by contrast, decreases with temperature, so the situation is much better.
However, eventhen, ill-conditioningcanoccur if C
tb
istoolarge.
Inasimilarmanner,itispossibletoshowthattheadditionof emitterresistanceremoves
theeffectof , sothethermal stabilityof thesilicondeviceismuchimproved; however, in
HBTs, thenegativethermal feedbackprovidedby islost. Forthisreason, siliconpower
devices areusually emitter-ballasted. Althoughbaseballast provides better stability in
HBTs, it decreases gain signicantly, so most HBT ampliers use a combination of
emitter andbaseballast.
Clearly, if the device itself is not thermally stable, any analysis of the circuit that
includesself heatingislikelytofail. However, evenincaseswherethedeviceisthermally
stable, aself-heatingmodel thatisbadlybehavedoutsideof thenormal rangeof operation
may exhibit ill conditioned behavior. We consider the importance of model behavior
outsidethenormal rangeof operationinSection5.6.
5.5 Special aspects of power-amplier modeling
Somecharacteristicsof circuit-elementmodelshaveanespeciallystrongeffectonpower
ampliers andtheir simulation. Circuit losses, for example, areimportant inall types
5.5 Special aspects of power-amplier modeling 217
T


=

T
T


=

T
T


=

C
tb
f
b
(T)
T


=

C
tb
f
b
(T)
(a)
Very large C
tb
Stable Temperatures
Stable Temperatures
Large C
tb
Large C
tb
Small C
tb
Small C
tb
(b)
T
T
T

Figure 5.12 Stablethermal operatingpointsarefoundbysolving(5.42) and(5.43) simultaneously.


When increaseswithtemperature, asinsiliconBJ Ts, it mayhappenthat nooperatingpoint is
possible. Thissituationcorrespondstothermal runaway. Conversely, inHBTs, decreaseswith
current andthusprovidesinherent stability. Thermal instabilityisstill possible, however, in
HBTs.
of circuit, but in power ampliers they becomecritical. Similarly, whileall chips use
bondwires, largenetworksof bondwiresarecommoninpower devicesandthusmust
betreatedinspecial ways.
5.5.1 Loss in circuit metalizations
Power ampliers have high current not only in their devices but also in their circuit
metal. Asaresult, I
2
Rlossesinthemetal canbesurprisinglyhigh; thisisespeciallythe
caseinICs, wheremetal layersarethin.
TheDC resistanceof arectangular metal sheet isgivenby
R = R
sq
L
W
(5.44)
whereL is thelengthof themetal sheet, Wis its width, andR
sq
is thesheet resistance
in O/square. Thesheet resistanceis theresistanceof asquaresection of themetal, a
quantity that isindependent of thesizeof thesquare. R
sq
issimply ,t, where isthe
metalsresistivityandt isthethicknessincompatibleunits.
218 Computer-aided design of power ampliers
Estimatingmetal lossesisusually straightforward, but afewmatterscancomplicate
it. Thesearelistedbelow.
1. Skineffect: Asfrequencyincreases, thecurrent becomesconcentratedatthesurfaces
of theconductor. Theskindepth, , thedepthat whichthecurrent densitydecreases
toexp(1) of itssurfacevalue, isgivenby
=
1

f
(5.45)
whereisthepermeabilityof thematerial, istheconductivity,andfisthefrequency.
InRFICs, whichoperateat lowfrequencies, skineffect israrelymuchof aconcern,
but inmicrowaveICs it may besignicant. If themetal thickness is morethantwo
or threeskindepths, increasingitsthicknessdoesnot measurablydecreaseitslosses.
Most strip-transmission-linelossmodelsaccount for skindepth.
2. Current distribution: Current in at conductors tends to concentrate at the edges.
Thisistrueof DCaswell asRF currents. Unfortunately, theconductor edgesusually
arefairly rough, especially if they aredenedby chemical etching. This roughness
increasesthelengthof thepaththat thecurrent must follow, thusincreasingresistive
losses.Asonemightexpect,thecurrentdistributionislessuniforminwideconductors
thaninnarrowones.
Microstriplossmodelsaccountfor thisnonuniformcurrentdistribution, asdoloss
estimatesfromEM simulations. They generally donot account for edgeroughness,
althoughtheysometimesincludecorrectionsfor surfaceroughness.
3. Multilayer metallizations: Many types of circuit board and IC use more than one
layer of metal for their metallizations. If thefrequencyislowenoughthat skineffect
canbeignored, thelayers canbetreatedto agoodapproximationas resistances in
parallel; thus,
R
sq
=
R
sq1
R
sq2
R
sq1
R
sq2
(5.46)
whereR
sq1
andR
sq2
arethesheet resistancesof thetwometal layers.
4. Metal imperfections: Especially in ICs, the resistivities of metal layers are invari-
ably greater thantheir handbook values. Thelatter aredeterminedfromlarge, pure
samples of the metal, but deposition technologies rarely provide such perfection.
Changes ingrainstructureandinclusionof impurities canincreasethemetal resis-
tivitysubstantially. Alloys, for example, invariablyhavemuchhigher resistivitythan
puremetals.
5. Metal oxidation and surface roughness: Especially at high frequencies, where the
current isconcentratedat themetal surface, suchimperfectionsasroughnessandan
oxidelayer increaselosses. Inmicrostripcircuits, most of thesurfacecurrent is on
theundersideof theconductor, sothesubstratessmoothnesslargelydeterminesthe
metalssmoothness.
Manyof thesephenomenaaredifcult toquantify. Theycanresult inaneffectivemetal
resistivity that appears to vary with frequency and metal dimensions. Even in simple
5.5 Special aspects of power-amplier modeling 219
cases (e.g., lowfrequencies andsimplemetal structures) many strip-transmission-line
models are not terribly accurate in predicting losses. Perhaps the best simple way to
treat themistousethestandardmodelsincombinationwithaconservativeestimateof
themetal resistivity. Theauthorsgeneral practiceistouseat least doublethehandbook
resistivityvaluesinall transmission-linemodels.
Calculationof losses by means of anEM simulator sometimes is not as rigorous as
one might assume. The usual process is to calculate the surface current distribution
ontheconductors, thento determinethesheet resistance, accountingfor skineffect if
necessary,andtodeterminethelossesbyintegrating.Thismethodisaccurateforlow-loss
conductorsthatareotherwiseideal, butdoesnotdirectlyaccountformetal imperfections
describedabove, anditsusefor multilayer metallizationsisproblematical.
Metal losseshavelittleeffectonthecircuit-simulationprocess. If anything, theytend
to improvetheconditioning of theadmittancematrices, and thus may haveat least a
theoretical effect inpreventingconvergencedifculties. This is likely to beimportant
only in inherently ill-conditioned cases, such as transmission lines that are precisely
one-half wavelengthlong.
5.5.2 Loss in circuit components
Becauseof thehighcurrentsinpower-ampliercomponents, lossesinnominallylossless
components capacitorsandinductors canbehighaswell. It isnot unknownfor chip
capacitors, whichideally dissipateno power, to becomehot enoughto melt thesolder
connecting themto the circuit board! For this reason, it is important in simulations
to monitor thecurrents in such elements and, along with information about their Qs,
calculatetheir power dissipation. Thisisespeciallyimportant for componentsinoutput
circuits, whereresonator currents canbequitehigh, andevensmall lossesmay havea
largeeffect onefciency.
A simulation of a WCDMA handset amplier illustrates the effect of circuit loss.
The matching circuit consists of a simple structure with two shunt capacitors and a
seriestransmissionline, astructurethatistypical for suchampliers. Figure5.13shows
theresults of theamplier simulation. Thesimulationis just of thepower stage; such
ampliers usually includeadriver stageas well. Thegureshows thetotal RF output
power of thecompleteamplier (i.e., includingall harmonics) andthetotal RF power
at theinput of theoutput matchingcircuit. Thedifferencebetweenthesecurves, 0.5dB
at maximumefciency, represents thepower dissipation in thematching circuit. This
analysis shows that 11%of theoutput power is dissipated in thematching circuit. At
rstglance, thislossisdistressing, butincellular handsetPAs, wheresmall, inexpensive
capacitors and inductors must be used in the output matching circuit, it is largely
inevitable.
5.5.3 Bond wires
Whilebondwiresexist inall kindsof circuit, theyareusuallyusedsimplyasintercon-
nections. Inpowerampliers, however, bondwires, whichhaveinductancesof afraction
220 Computer-aided design of power ampliers
0
5
10
15
20
25
30
35
40
0
0 2 4 6 8 10 2 4 6
Power (dB m)
WCDMA Amplifier Power Sweep
Total Output Power (L, dB m)
Power Sweep
Efficiency (R)
Power Sweep
Gain (L)
Power Sweep
20.04 dB m
20.04 dB m
31.59 dB m
31.08 dB m
Total Pwr at MC Inp (La,dB m)
Power Sweep
O
u
t
p
u
t

P
o
w
e
r

(
d
B

m
)
E
f
f
.

(
%
)
8 10 12 14 16 18 20 2223
10
20
30
40
50
60
70
80
Figure 5.13 Simulationof acellular handset power amplier, showinginput andoutput power at
theoutput matchingcircuit. Theoutput losscausedbymatching-circuit elementsinthiscaseis
0.5dB.
of onenanohenry, areoftenusedas matchingelements. This is anespecially common
practiceinoutput circuits, whereverylowinductancesareoftenneeded.
Theuseof bondwires for inductances creates threedifculties: (a) determiningthe
wiresinductanceandresistance, (b) makingsurethat thewirescancarry therequired
current, and (c) making certain that thedesired wirelength and shapeis consistently
produced in a production environment. Even where the bond wire is not used as a
matchingelement, thelowimpedancelevel of thecircuitmaycausethewireinductance
tohaveasignicant effect onmatchingor port VSWR.
TheDC fusingcurrent of a25mdiameter goldbondwireis approximately 0.6A
anditsDCresistanceisapproximately0.05O/mmof length. Thefusingcurrentdepends
somewhat onthebondwires length, andtheresistancemay beaffectedby skineffect
and the kinds of imperfection described earlier. The inductance of the wire is more
difcult to determine. A singlestraight wireover a ground planecan bemodeled as
a transmission line, but all practical bond wires are asymmetrically arched, a more
complicatedsituation. Finally, power devicesrarelyusesinglebondwires; theyusually
usemultiple, closelyspacedwires. Thewiresareinvariablymagneticallycoupled, sothe
impedanceof Nwiresisnotsimply1,Ntimestheimpedanceof asingleone. Suchlarge
bond-wirenetworksmust beanalyzedasawhole, not scaledfromsingle-wireanalyses.
The determination of bond-wire impedance is a straightforward problemfor a 3D
EM simulator (Section5.3.3). Withcare, abondwiresometimes canalso bemodeled
acceptably by aplanar EM simulator, withstraight vertical andhorizontal sections, as
longasthetotal lengthof themodeledwireisthesameasthereal one. Thesesimulations
aregenerally costly, so they should beminimized, perhaps by limiting thenumber of
bond-wirecongurationsinthedesign.
5.6 Practical aspects of nonlinear circuit simulation 221
Theproblemof uniformity may beless than it at rst appears. Automatic bonding
equipmentproduceshighlyuniformbond-wireshapes; aslongastheprocesssstandard
shapeisacceptable, wireuniformityshouldnot bedifcult toachieve.
5.6 Practical aspects of nonlinear circuit simulation
5.6.1 Convergence difculties
Wenotedearlier thatNewtonsmethodisnotguaranteedtoconverge; harmonic-balance
simulators regularly remind us of this fact. Newton-based harmonic-balance analysis
isneverthelessquiterobust, evenwhenappliedtostunningly complex problems. Most
convergenceproblems arenot inherent in thesimulator; they arisefrompoor charac-
teristics of models, which areoften under thecontrol of theuser, and fromtheusers
misunderstandingof thebest waytoset upananalysis.
Model characteristics
Many standardmodelsarenot well conceivedfor useinharmonic-balancesimulators.
Others have undocumented constraints on parameter values, which, if not observed,
causeconvergencefailure. Finally, modelsdesignedfor useintime-domainsimulators
are often transferred unmodied to harmonic-balance simulators, where they do not
workaswell.
A fundamental ruleof Newton-basedcircuitanalysisisthefollowing: all expressions
describingnonlinear circuit elements must becontinuous throughtheir secondderiva-
tives. This is equivalent to saying that aplot of therst derivativemust not haveany
kinksinit. Clearly, sinceNewtonsmethoddependsonderivativestoestimatethezero
of afunction, anysuddenchangeinthederivativemakesconvergencemoredifcult.
Theexistenceof suchproblemscanbeinherent inthemodel or canbecausedbythe
wayparametersaredetermined. Consider, for example, anonlinear passiveconductance
element describedbytheI,Vequations,
I (V) = a
0
a
1
V a
2
V
2
a
3
V
3
V > V
th
I (V) = 0 V V
th
(5.47)
Inthiscase, theparametersa
n
must beselectednot onlytomatchtheI/V characteristic
of thereal device, butalsosuchthatI(V
th
) =0anddI,dV=0atV=. Itcanbeshown
that theseconstraints denetwo of thea
n
values. This leaves only two coefcients to
adjust theshapeandoverall magnitudeof thecharacteristic. A naiveuser of thismodel,
however, might not recognizethis, andselect all thepolynomial coefcients to obtain
thebest overall t to themeasuredI,V curve. Thevirtually certainresult wouldbea
discontinuityat V= V
th
.
Another potential problemis aconsequenceof theway harmonic-balanceanalysis
operates. In the early iterations of an analysis, it is possible, indeed likely, that the
independent variables intheanalysis (usually nodevoltages) becomequitelarge, well
beyond thenormal operating rangeof thedevice. In this case, it is essential that the
222 Computer-aided design of power ampliers
model bewell behaved not only in its normal rangeof operation but at voltages well
outsidethat range. TheSPICE diodemodel serves as agoodillustration. Supposewe
weretouseanordinarytextbook characteristicfor thejunctioncurrent,
I (V) = I
sat
exp(V) (5.48)
where I
sat
is the diodes current parameter and 40. In the initial iterations of a
harmonic-balanceanalysis of adiodecircuit, thevoltagecanreachseveral hundredor
evenseveral thousandvolts, clearlycausinganumerical overowor underow. Tosolve
thisproblem, thefunctionusesaquadratic extensionabovesomelargethresholdvalue
of V; theextensionisdesignedsothederivativesarecontinuousat thethresholdvalue.
Note that a linear extension of the function would introduce a discontinuous second
derivativeat thethresholdvoltage, whichwouldnot beacceptable.
Valuesof independent variablesneednot behugetocausetrouble. Consider adevice
model thatincludesself-heating. Itislikelythatthemodel isnotwell denedattemper-
aturesbelowabsolutezero, but it ispossiblethat thesimulator, whichdoesnt knowthe
differencebetweenavoltandadegree, might, atsomepoint, createathermal valuethat
islessthanzero. Often, naivemodel developerssimplycreateahardlimit of T
0
. Thisis
anexcellent waytoobtainpoor convergencecharacteristics.
This kind of problemis greater in harmonic-balanceanalysis than in time-domain
analysis, asNewtoniterationsintime-domainanalysisbeginwithavalueof voltageor
current, ateachtimeinterval, thatisclosetothecorrectvalue. Theiterativeprocessusu-
allychangesthevalueof theindependent variableonlyslightly. Occasionally, however,
largevaluesof theindependent variablescanoccur intime-domainanalysis, usually at
start-upor whenastepfunctionof theexcitationoccurs.
It shouldgo without sayingthat derivatives of nonlinear model characteristics must
be programmed correctly. This is not as easy to ensure as it may seem, however, as
many nonlinear devicemodels useexpressions that areexceedingly complicated, and
their derivative expressions are even more so. It is quite common for printed model
documentation to contain errors, and for thoseerrors to propagatethrough simulator
implementationsforyears. Onesolution, oftenobservedtoday, isforthemodel developer
to createastandardimplementation, usually inSPICE but sometimes inpseudo-code
or ahigh-level languagesuchas VerilogA. This standardizes theimplementationand
reduces thedanger of multipleimplementations havingtheir ownerrors. Instead, they
all containthesameerrors.
Another solution is the use of automatic differentiation, a technology for creating
exact, analytical derivatives fromafunctional expressioninsourcecode. Inthis case,
the derivatives of the nonlinearity need not be programmed, but derivatives for each
elemental function (such as an exponential, sine function, and so on) must be pro-
grammed, as well as the chain-rule process. The advantage of this technology is the
guaranteeof correct derivatives, aslongasthederivative-generatingprocessiscorrect.
Thedisadvantage, of course, isthatanerrorintheprocessaffectsall models, notjustone.
A nal possibilityistheuseof numerical derivatives; that is, simplyestimating
df (:)
d:

Lf (:)
L:
(5.49)
5.6 Practical aspects of nonlinear circuit simulation 223
usingsomesmall incrementL:. Thisisalmostneverdoneineitherharmonic-balanceor
time-domainanalysis. Itintroducesmanynumerical problems; forexample, determining
thesizeof L: sothat it issmall enoughtoproduceareasonablyaccuratederivativebut
not sosmall that itisaffectedbylossof numerical precision. Empirical experiencewith
numerical derivatives shows that they oftenarenot sufciently accuratetoallowgood
convergence, especiallynear thesolution.
It iscommoninsomesimulatorstoaddlow-valueconductancesacrossall nonlinear
elements, or fromall nodestoground, toimproveconvergencecharacteristics. Theneed
for this canbeunderstoodintuitively by recognizingthat theJ acobianis similar to an
admittancematrix. If anonlinear elementisturnedoff, say, bybiasingaFET well below
its threshold voltage, someof its nodes may beopen-circuited. This results in a row
of theJ acobianhavingzeroor very small entries, renderingit singular or nearly so. In
SPICE, thedefault valuefor theconductanceis10
12
O
1
. Thisvalueof conductance
rarely hasasignicant effect ontheaccuracy of thesolution, but it still canbehelpful
inprovidinggoodconvergence. Thevaluecanbeincreasedby statements inSPICEs
optionsblock. Harmonic-balancesimulatorsusuallyhavesimilar capabilities.
Analysis characteristics
Continuationmethods
Wenoted earlier that Newtons method converges reliably in asingleiteration in any
linear circuit. Thus, onepossibilityfor improvingtheconvergenceissimplytolinearize
thecircuit insomeway.
Thestrengthof any nonlinearity is directly relatedto thelevel of its excitation; any
nonlinear element approaches linearity as its RF voltage or current approaches zero.
This simplefact canbeusedtoobtainconvergenceindifcult conditions: analyzethe
circuit at somelowexcitation level, then increasethelevel using theprevious results
(perhaps scaled according to theexcitation level) as theinitial estimate. Theanalysis
proceedsinthismanner until thedesiredexcitationlevel isreached. Thisprocesstakes
advantageof thenear-linearity of thecircuit at lowlevels, andusessolutionsat higher
levelsasinitial estimates. Theseexpedientsusually areenoughtoprevent convergence
failure.
Thismethodissometimescalledsourcestepping. It isoneof amoregeneral classof
methodscalledcontinuationmethods, inwhichsomeparameter of thecircuit isvaried
stepwiseandasolutionisobtainedat eachstep. Besidessourcestepping, continuation
methods may include parameters that vary the linearity of nonlinear elements from
nearly linear to their speciednonlinearity. Bothhavebeenusedincircuit simulators;
however, becauseof itseaseandgeneralityof implementation, sourcesteppingismost
common.
It isimportant torecognizethat source-stepping, or other continuationmethods, are
effectiveonlywhenstrongnonlinearityorlargeexcitationcausesconvergencedifculty.
Continuationcannot provideasolutionif theproblemisill-conditioned, say, bytheuse
of apoorlyconceivedself-heatingmodel.
224 Computer-aided design of power ampliers
Frequencyset
The selection of a frequency set can affect convergence. By frequency set, we mean
simply the set of frequency components used in the harmonic-balance analysis. In a
simplesingle-toneanalysis, thefrequency set issimply theset of harmonicsfrom0to
K
p
, where
p
istheexcitationfrequencyandK isaharmonicgreat enoughsothat the
result hasadequateaccuracy. Inamultitoneanalysis, thesituationismorecomplicated.
Theset of frequenciesintheanalysisis
= k
p1
l
p2
m
p3
(5.50)
where
pn
are the excitation tones, and the range of the integers k, l, m, . . . must be
limitedinsomeway. A number of limitingschemesarepossible; for example,
k = K . . . K
l = 0. . . L
. . . .
(5.51)
andsoon. Notethat it isnot necessarytoincludel - 0, asthissimplycreatesharmonic
components that already exist in(5.51). This set is sometimes calledarectangular or
boxtruncation[14], asplottingthe(k, l) pairsonarectangular gridcreatesaboxpattern.
Another possibility, calledatriangular truncation, involves theuseof (5.51) withthe
additional constraint that KL - Q, whereQ is somemaximumorder of themixing
productsof interest. A thirdpossibilityisthemixer set, inwhich
= k
p

0
(5.52)
andkislimitedasinthesingle-tonecase. Thisset isusedfor mixer analysis, inwhich

p
isthelocal oscillator and
0
istheIF.
Although thefrequency set is rightly selected according to theproblemat hand, it
nonethelesshasastrongeffectonconvergence, analysistime, andmemoryuse. Itshould
beobviousthat agreater number of frequencycomponentscausesgreater memoryuse
andslower analysis. Theeffect onconvergencemay belessclear, however. Intuitively,
onemight expect theuseof agreater number of frequency components to providea
better estimateof thesolution, andthusconvergencemight bemorerobust. Infact, the
frequencysethasarelativelyweakeffectonconvergence, andminimizingthenumberof
harmonicswhilesignicantly oversamplinginthetimedomainusually providesbetter
convergence.
Thereasonfor thismildlynonintuitivesituationcanbeilluminatedbyanexamination
of thewayharmonic-balanceanalysisoperates. For simplicity, weconsider asingle-tone
problemlimitedtoK harmonicsandasinglenonlinear function. If theindependentvari-
ables arevoltages (whichis always thecaseinnodal analysis), limitingtheharmonics
toK makesV
k
=0, k>K. Thisisequivalenttosettingall theembeddingimpedancesin
thecircuittozeroatthosefrequencies. Sincethefrequencyspectrumisstrictlybandlim-
ited, the:(t) waveformusedtocalculatethecurrent inthenonlinear subcircuit isvery
clean; that is, unaffectedby aliasingor other Fourier-transformerrors. Thecurrent,
i(t), isthenobtainedfromthenonlinear function, i(t) = f
NL
(:(t)). Thefourier transform
5.6 Practical aspects of nonlinear circuit simulation 225
of thisquantityisnot strictlybandlimitedtoK
p
. If thewaveformisoversampled(i.e.,
the sampling interval is much less than the Nyquist limit), the harmonics of interest
arenot affected signicantly by thosehigher harmonics, so theharmonics k > K are
discarded without incurring error. If the sampling interval is minimal, however, the
lower harmonicscanincur aliasingerrors, aformof numerical noisethat changesfrom
iterationtoiteration, makingconvergencedifcult or impossible.
Terminationcriteria
Earlier we made the point that harmonic-balance analysis is a process of iteratively
improving an estimateof thesolution. At somepoint, that solution is good enough
andtheprocessmust terminate. Howdowedecidewhat isgoodenough?
A number of possibilitiesareimmediatelyevident. Therst issimplytorequirethat
themagnitudeof thecurrent-error vector belessthansomethreshold:
[I
LIN
(V) I
NL
(V)[ - (5.53)
Another isthat theindividual current errorsat eachharmonicbebelowsomethreshold;
that is,
[I
LIN
(k
0
) I
NL
(k
0
)[ - (5.54)
for all harmonicsat all nonlinear elements. Finally, wecouldrequirethat thefractional
error ineachharmonicbebelowsomethreshold:
[I
LIN
(k
0
) I
NL
(k
0
)[
([I
LIN
(k
0
)[ [I
NL
(k
0
)[),2
- (5.55)
wherethedenominator of (5.55) isanestimateof theelement current at that particular
harmonic.
All of thesemethods havepitfalls, introducedby thepresenceof harmonics having
very large and very small magnitudes. In (5.53), it is possible for the error to be
relatively small, while errors in individual weak harmonics, which are often of most
interest, arequitelarge. Suppose, for example, weareanalyzingapower amplier under
multitoneexcitation, andweareespecially interestedinits intermodulationdistortion.
An error limit of, say, = 10
6
would be far too small for the fundamental tones,
and might well prevent successful convergence. That error might still betoo great to
resolveintermodulationtones, however, whichcouldbewell belowthislevel. A similar
problemexistswith(5.54); anabsoluteerror limitmaybetoosmall for larger frequency
componentsyet toolargefor smaller ones.
Thelimit shown in (5.55) has theoppositeproblem. A fractional error of, say, 1%
( = 0.01) might be ne for larger components, such as the fundamental-frequency
output, but it ispointlesstodetermineintermodulationtonestosuchasmall error.
Onesolutiontothisdilemmaistheuseof ahybridcriterion. For example, wecould
examineeach current-error component and determinewhether either (5.54) or (5.55)
is satised. If all components satisfy oneor theother, theproblemterminates. When
226 Computer-aided design of power ampliers
this criterion is used, the absolute error of (5.54) can be specied for weak compo-
nents, andthefractional error of (5.55) for larger components. Thisapproachnaturally
accommodatesbothlargeandsmall componentswithappropriateerror criteria.
5.6.2 SPICE models in harmonic-balance analysis
When general-purpose harmonic-balance simulators were originally developed, few
nonlineardevicemodelswereavailable. TheobvioussourceforsuchmodelswasSPICE,
soSPICEmodelsweresimplytransferredtotheharmonic-balancesimulator. Sincethen,
manymoredevicemodelshavebeendeveloped, andtheoriginal implementationof these
models oftenwas inSPICE as well. As aresult, many suchmodels areoptimizedfor
SPICE, andsimilar time-domainprograms, butarenotwell suitedtoharmonicbalance.
The reason for this situation arises in the differences between silicon monolithic
ICs, for which SPICE was created, and microwave hybrid and monolithic ICs, for
whichharmonicbalancesimulatorsaregenerallyused. SiliconICsconsist primarilyof
nonlinearcircuitelements, withrelativelyfewlinearones; microwaveICsconsistmostly
of linear circuitelements, whichareincorporatedintoasingleadmittancematrix. Many
SPICEdevicemodels, however, consistof manynonlinear elementsandfewlinearones.
Separatingthesemodelsintolinearandnonlinearsubcircuitsoftenleavesisolatednodes,
resultinginanill-conditionedharmonic-balanceJ acobianmatrix.
Often, parts of such models that do not affect microwave circuits are included in
SPICE models, introducing unnecessary computational overhead. A good exampleis
the inclusion of a substrate parasitic transistor in the VBIC BJ T model. Microwave
devices, both HBT and BJ T, do not havethis parasitic. Its effects can beremoved by
using device parameters that turn off the elements, but this practice still may create
isolatednodes, and, inany case, adds useless overheadinanalyzingdead nonlinear
elements.
5.6.3 Problem size minimization and solution optimization
Minimizing the size of the problemcan do much to reduce the computational cost
of a nonlinear analysis. At this point, it should be clear that the size of the problem
is essentially the size of the J acobian matrix, which is proportional to the number
of harmonics and to the number of nodes or ports at which nonlinear elements are
connected. Minimizingeither of thesequantitieshelpstospeedtheanalysis.
We have already touched on the matter of selecting a sensible frequency set and
minimizingmodel complexity. Other technologiescandomuchtominimizethesizeof
theproblemthat must besolved.
Inthepast, nonlinear circuits rarely includedmuchDC circuitry. Intodays RF and
microwaveICs, however, it is common to havefairly complex circuitry, such as bias
circuitry, whichcanbetreatedaslinear. Treatingsuchcircuitsaspart of theRF circuit
iswasteful andunnecessary.
Simulator technologies exist that can identify the parts of the circuit that have no
signicant RF voltageand treat themas linear. Thoseparts can then beincorporated
intothelinear subcircuit, reducingtheproblemsize. Insimulatorshavingthiscapability,
5.6 Practical aspects of nonlinear circuit simulation 227
dramatic improvements in simulation speed are observed; reducing the size of the
nonlinear problemalsocanimprovesimulator robustness.
Harmonicbalancecanbeformulatedsuchthattheindependentquantities, thenonlin-
ear element voltages, areat either portsor nodes. Useof aport formulationminimizes
problemsize, whilethenodal formulationismoreversatile.
Becauseof theimportanceof minimizingproblemsize(andthus, presumably, simu-
lationtime), virtually all early microwavesimulators usedaport formulation. Intime,
however, numerical methodsfor handlinglarge, sparsematricesimproved, allowingthe
nodal formulationtohavesimulationefciencyvirtuallyasgoodasaport formulation.
This evolutionparallels theevolutionof linear circuit simulators, which, intheir earli-
est incarnations, usedport concepts. Today, suchmethods areobsolete, andnodal (or
modiednodal) methodsarevirtuallyuniversal.
Eachtimeanewsimulationbegins, thesimulatormust, inessence, nditswaythrough
avariety of possibilities to obtainasolution. It does this by usingtheJ acobianmatrix
topoint tothedirection, at eachiteration, of thefastest decreaseintheerror function.
Unfortunately, someof thestepsinthat processaregoodonesandsomearenot.
Itispossiblefor thesimulator toremember whichstepsweresuccessful indecreasing
the error function and which werepoor. Then, the information can beused to speed
subsequent analyses. The idea is useful as long as the circuit does not change much
betweenanalyses, whichisoftenthecase; after all, intheprocessof tweakingacircuit
to optimizeit, most circuit modications areminor. Suchmethods canbevery useful
inpower-amplier analysis, where, for example, largepumpedcapacitancesseemtobe
quiteeffectiveinsendingthesimulator off towardplaceswhereit shouldnever go.
5.6.4 Numerical considerations
Muchof harmonic-balanceandtime-domainanalysisinvolvessolvinglinear equations.
This is obvious in the case of (5.18), but even the process of performing a Fourier
transformisinherentlyamatrixoperation.
It iswell knownthat ill conditioning(i.e., near singularity) of thematrixcanresult in
largeerrors. GiventheN-dimensional systemof linear equations
Ax = b (5.56)
theerror inthesolutionvector, x, canbeboundedas
|x|
|x|
(A)
|b|
|b|
(5.57)
where (A) is the condition number of the matrix, and is the maximumnormof the
vector v,
|:| = max[:
i
[ 1 i N (5.58)
Theconditionnumber canbefoundinanyof several ways; see[2].
Equation (5.57) says, in essence, that any fractional variation in b is amplied by
theconditionnumber indeterminingthefractional error inx. In(5.18), theright-side
vector isF(V), whichissubjecttoconsiderablenumerical noiseanderror, asitinvolves
228 Computer-aided design of power ampliers
multipleFouriertransformsandlossof precisionfromextensivecomputation.Thus,poor
conditioningof theJ acobiancaneasilycreateerrorslargeenoughtomakeconvergence
impossible.
Wehavediscussedthesourceof ill conditioningperiodicallythroughout thischapter.
Themostcommonsourcesof ill-conditioningprobablyare(a) poorlyconceivedmodels,
and(b) characteristicsof thecircuit itself. Amongtheformer arepoorlyconceivedself-
heatingmodelsandthelatter includepartsof thecircuitthatwouldbedisconnectedsave
for somelargeimpedance.
Modelsfrequentlyareill-conditioned, inthesensethattheyleadtoanill-conditioned
admittancematrix of thelinear subcircuit or toanill-conditionedJ acobian. Many phe-
nomena that affect conditioning havebeen described earlier (e.g., Sections 5.6.1 and
5.6.2), soit sufcessimplytomakethepoint oncemore.
Ingeneral, nonlinear capacitors arecharacterizedby their charge-voltagefunctions,
Q(V), andthecurrentisfoundbydifferentiationinthefrequencydomain, whichinvolves
simply multiplicationby j. Another approach, whichis useful insomemodels, is to
usethecapacitance, denedas dQ(V),dV. Inthat casetheindependent quantity isthe
voltagederivative, not thevoltageitself.
TheJ acobiansentriesnormally havethemagnitudesof circuit admittances, but this
formulationcreatesentrieswhosemagnitudesarethoseof thecapacitances. Thesesmall
entriescreatenear-zerovaluesinthematrix, whichcauseill conditioning. Thesolution
inthis caseis very simple: scalethecapacitances larger (by afactor of, say, 10
9
) and
maketheindependent voltagesaffectingthemsmaller bythesamefactor.
Itisamusingtonotethatthisproblemhasoccasionallybeenpresentedasafundamen-
tal difculty inusingacapacitiveformulation. However, this simpleexpedient solves
theproblemcompletely.
Inaport formulation, loopsof independent voltages(e.g., threenonlinear capacitors
inapi conguration) cancauseill conditioning. Theproblemarisesfromthefactthatthe
loopvoltagesarelinearlydependent; onevoltageisextraneous, asit canbedetermined
fromtheothers. Thisisthereasonfor therequirementinSPICE thatloopsof capacitors
andvoltagesourcescannot beused.
4
Thisproblemisverydifcult toavoid, asthenonlinear elementsinacircuit areoften
hidden insidemodels, and such loops can becreated without any obvious indication.
Thenconvergenceispoor. Useof anodal ormodiednodal formulationusuallyprevents
thisproblem.
5.6.5 Design ow
A fast, robustsimulatorcannotdomuchtospeedthetaskof designinganamplier if the
designersdevelopment processisfraught withbottlenecks. Thedifferencebetweenthe
fastestandslowestcircuit-simulatorenginesmightmake, atmost, afewweeksdifference
4
SPICEalsoproscribescutsetsof inductorsandcurrentsources, forthesamereason. Thisrestrictionisfound
insimulatorsthat usemixedvoltagesandcurrentsasindependent quantities.
5.6 Practical aspects of nonlinear circuit simulation 229
inaproject of several months, but theuseof acumbersomedesignowmight easily
doubleor eventripledevelopment time.
Onegreat impediment toasmoothdesignowisthecommondivisionof thedesign
task into separate, disjointed efforts, such as initial design, parasitic extraction, EM
analysisof critical circuit elements, andlayout. Eachof thesestagescanuncover aaw
requiringsubstantial redesignat atimewhenmucheffort has already beenexpended.
Integratingthesetasksintoasingle, concurrentowcandomuchtoexpeditethedesign
process. Softwarecanbeanimportant part of improvingthedesignprocess, but it must
bedesignednotonlyfor itsanalytical capabilities, buttosupportanefcientdesignow
aswell.
AstheRF/microwaveindustryevolvesfromalmostcompletelymilitaryandaerospace
functions to more commercial ones, with more stringent cost requirements and tight
schedulesdrivenbytheneedforashorttimetomarket, thesoftwareindustryisbecoming
awareof theneed for human as well as analytical functionality. This is aworthwhile
development.
Theintegrationof EM analysis softwarewithcircuit-analysis softwareserves as an
exampletoillustratethisphenomenon. Evenwell intothelate1990s, it wascustomary
for EMsoftwaretoruninbatchmodeononeor morepowerful computers. Thedesigner
oftendidnot knowwhat partsof acircuit hadtobeEM simulateduntil acircuit layout
wascompleted. Then, thecircuitelementstobesimulatedhadtoberedrawnfor theEM
simulator, asthelayoutandEMsoftwaresgraphicsmoduleweregenerallyincompatible,
withconcomitantrisksof error, andthecircuitelementsnallysimulated. Theseresults
werefedback intothecircuit-analysissoftwareandthecircuit resimulated. Theresults
of theresimulation often werenot acceptable, so redesign was necessary, along with
another loopthroughthesimulation-layout-EM process.
Animprovement camewithcosimulation, theabilityof disparatetoolstorunsimul-
taneously and to sharedata. This could bedonein anumber of ways, supported to a
greater or lesser degreebythecomputersoperatingsystem. Examplesof thelatter were
Unix pipes andMicrosoft Windows dynamic data exchange(DDE) capability. These
allowedacertaindegreeof interprocesscommunication, especiallythedirect transmis-
sionof databetweensimulatorswithouttheusersintervention. Whilethesetechnologies
allowedinputandoutputdatasharing, theydidnotconstitutefull integration, asthetypes
of interactionwerelimited.
Today, full integrationof circuitsimulationwithEM, layout, andevensystemsimula-
tionispossible. Thiscapabilityissupportedinpart byoperatingsystemtechnology, in
particular Microsoft Windows component object model (COM) technology, astandard
that allows separatesoftwaremodules to beintegrated at theobject-code level. As a
result, simulatorscanbefully awareof theoperationof other simulatorsandobtainall
theinformationthey needabout theeffect of onesimulator ondatathey deal with. For
example, if theuser changesthedimensionsof amicrostripteejunction, thatchangecan
bereectedinstantlyinthelayout, andtheEM simulator becomesawareof thechange
andcanresimulatethejunction. Inthisway, multipleiterationsthroughthesimulation-
layout-EM loop areavoided, and many sources of error involved in copying dataare
fullyeliminated.
230 Computer-aided design of power ampliers
However valuable these technologies are, they do not let the user off the hook.
Engineeringjudgment intheir useis still essential. For example, it is not yet possible
(althoughit may be, eventually) for softwaretodeterminetheoptimumsetup, interms
of frequency sets and termination criteria, for aharmonic-balanceanalysis. Theuser
must understand the matter and make appropriate decisions. Similarly, some circuit
elements can be modeled more easily and more accurately than others; for example,
straight microstrip transmission-line models are invariably much better than closed-
formmicrostripjunctionmodels. Suchelementsshouldbeusedpreferentially, wherever
possible, inmicrowavedesigns. Finally, theuser must beawareof theeffect of certain
kinds of model on analyses. The interpolation of S-parameters, for example, can be
critical for various types of analysis: linear interpolation can result in passband plots
havingascallopedappearanceandthelackof smoothnesscancauseconvergencefailure.
Earlier, wedescribedtheproblemof S-parametersthat donot spanthefrequencyspace
used in a nonlinear analysis. This also can and usually does cause convergence
difculty.
References
1. N. BalabianandT. A. Bickart, Electrical NetworkTheory, Wiley, NewYork, 1969.
2. G. Dahlquist andA. Bj ork, Numerical Methods, EnglewoodCliffs, NJ : Prentice-Hall, 1974.
3. J . VlachandK. Singhal, Computer Methods for Circuit AnalysisandDesign, SecondEdn.,
Norwood, MA: ArtechHouse, 1994.
4. M. S. NakhlaandJ . Vlach, A piecewiseharmonic balancetechniquefor determinationof
periodicresponseof nonlinear systems, IEEE Trans. Circ. Syst., vol. CAS-23, p. 85, 1976.
5. S. W. Director andK. W. Current, Optimizationof forcednonlinear periodiccurrents,IEEE
Trans. Circ. Syst., vol. CAS-23, p. 329, 1976.
6. F. R. Colon and T. N. Trick, Fast periodic steady-stateanalysis for large-signal electronic
circuits, IEEE J. Solid-StateCirc., vol. SC-8, p. 260, 1973.
7. K. S. Kundert and A. SangiovannIVincentelli, Simulation of nonlinear circuits in the
frequencydomain, IEEE Trans. Computer-AidedDes., vol. CAD-5, , p. 521, 1986.
8. H. YeagerandR. W. Dutton, Improvementinnorm-reducingmethodsforcircuitsimulation,
IEEE Trans. Computer-AidedDes., vol. 8, p. 538, 1989.
9. G. B. Sorkin, K. S. Kundert, and A. Sangiovanni-Vincentelli, An almost-periodic Fourier
transformfor use with harmonic balance, IEEE MTT-S Int. Microw. Symp. Dig., p. 717,
1987.
10. V. Rizzoli, C. Cecchetti, andA. Lipparini, A general-purposeprogramfor theanalysis of
nonlinear microwavecircuits under multitoneexcitationby multidimensional Fourier trans-
form, Proceedingsof the17thEuropeanMicrowaveConference, 1987.
11. E. Ngoya, J. Rousset, M. Gayral, R. Quere, andJ. Obregon, Efcient Algorithmsfor spectra
calculationsinnonlinear microwavecircuitssimulators, IEEE Trans. CircuitsSyst., vol. 37,
p. 1339, 1990.
12. P. Rodrigues, A general mappingtechniquefor fourier transformcomputationinnonlinear
circuit analysis, IEEE Microw. GuidedWaveLett., vol. 7, p. 374, 1997.
13. P. Rodrigues, Anorthogonal almost-periodic fourier transformfor useinnonlinear circuit
simulation, IEEE Microw. GuidedWaveLett., vol. 4, p. 74, 1994.
References 231
14. K. S. Kundert, J. K. White, andA. Sangiovanni-Vincentelli, Steady-StateMethodsfor Simu-
latingAnalogandMicrowaveCircuits, Boston: Kluwer, 1990.
15. J. C. PedroandN. BorgesdeCarvalho, Articial frequencymappingtechniquesformultitone
harmonicbalance, IEEE MTT-SInt. Microw. Symp. Dig. Workshops, 2000.
16. V. Boric, J . East, and G. Haddad, An efcient Fourier transformalgorithmfor multitone
harmonicbalance, IEEE Trans. Microw. TheoryTech., vol. MTT-47, p. 182, 1999.
17. V. Rizzoli, A. Neri, and F. Mastri, A modulation-oriented piecewise harmonic-balance
techniquesuitablefor transient analysisanddigitallymodulatedsignals, Proceedingsof the
26thEuropeanMicrowaveConference, 1996, p. 546.
18. E. Ngoya, J . Sombrin, andJ . Rousset, Simulationdecircuitsetsystemes: methodes, actuelles
et tendances, SeminaireAntennesActives-MMIC, Arles, Arles, France, 1994.
19. E. NgoyaandR. Larcheveque, Envelop[sic]transientanalysis: anewmethodforthetransient
andsteady-stateanalysis of microwavecommunicationcircuits andsystems, IEEE MTT-S
Int. Microw. Symp. Dig., p. 1365, 1996.
20. D. G. Swanson and W. J. R. Hoefer, Microwave Circuit Modeling Using Electromagnetic
FieldSimulation, ArtechHouse, Norwood, MA, 2003.
21. J . Rautio, Synthesisof compactlumpedmodelsfromelectromagneticanalysisresults,IEEE
Trans. Microw. TheoryTech., vol. MTT-55, p. 2548, 2007.
6 Practical HF/VHF/UHF RF power
amplier realization
Daniel P. Myer
Communication Power Corporation (CPC)
6.1 Introduction
Thisdiscussionfocusesonthepractical realizationof radiofrequencypower ampliers
(RFPAs),theprocessthatexistsbetweennothingandsomething,thepathanRFPAdesign
engineer can takefromtheRFPA application conceptual phaseto theconstruction of
actual hardware.
Since theend useapplication/market denes and drives theneed for an RFPA, an
overviewof major applicationareas is coveredinitially. Theapplications will demand
that certainRFPA specicationsaresatised, soanoverviewof genericamplier speci-
cationsrelativetoseveral applicationsislogicallyprovidednext. Thespecicationsare
viewedfromseveral vantagepoints, i.e., they arecoveredqualitatively, inother words,
for aparticular application, whichRFPA specicationsaremost relevant. Thentheyare
dened quantitatively, not so much for a unique application, but with a bias towards
theRFPA itself, withaneffort to provideaguidelineas to what constitutes arealistic
specicationvalue, andwhat doesnot.
Thechapter will end off with adesign examplethat originates with ahypothetical
applicationandusestheconceptspresentedtogenerateaspecicationandRFPA module
designtosatisfytherequirement. Again, thisisachapter onpractical realization, while
itwill cover sometheoretical aspectsof RFPA design, itwill alsocover howtoconstruct
theamplierandemphasizetestconguration/dataanalysis. Thegoal istohelpfacilitate
adesignthatcannotonlybemanufacturedonce, butinvolumewithdesignmargin, and
protability.
6.2 RF power amplier markets
Thereareseveral majormarketsorenduseapplicationareasof RFPAs,themorecommon
onesare:
r
military;
r
medical;
r
scientic;
r
industrial;
r
commercial.
6.3 The realization process 233
Within thesebroad areas thereexist ahost of uniqueapplications wheretheRFPA
ndsahome:
r
Military: communications, communication jamming, improvised explosive device
(IED) J amming, countermeasures, radar, andpsychological warfare;
r
Medical:magneticresonanceimaging/spectroscopy(MRI/MRS),thermotherapy,car-
diactissueablation, benignprostatichyperplasia(BPH) treatment, RF cauterizing;
r
Scientic: nuclear magneticresonance(NMR) spectroscopy, nuclear quadrupoleres-
onance(NQR), electronparamagneticresonance(EPR)
r
Industrial: electromagnetic compatibility testing(EMC), RF heating/drying, adhe-
sivecompoundcuring;
r
Commercial:semiconductorwaferplasmaprocessing, cellularbasestations, AM/FM
radio, HDTV broadcast.
All theseapplications haveonethingincommon; anRFPA is essential andvital to
theprocess. ButjusthavinganyRFPA, will notsufceeither, i.e., anRFPA designedfor
MRI couldnotbeusedeffectivelyfor HDTV broadcastandviceversa. Eachapplication
carries withit auniqueset of requirements or specications that theRFPA, if it were
to beused successfully, must meet. All RFPAs havecertain operating characteristics,
and for each uniqueapplication, somearemoreimportant than others. In asatellite-
based RFPA, for example, efciency is very critical dueto limitedpower availability,
ontheother handanMRI RFPA specicationismorefocusedonlinearity andlesson
efciency.
For the applications listed above, a brief overview of some of the required RFPA
specicationsfor eachdisciplineisprovidedinthefollowingparagraphs.
6.3 The realization process
RFPA realizationis, inabroadsense, athree-stepprocess:
1. RFPA qualitativespecication delineation: A task concernedwithassessingapar-
ticular proposedapplicationthatrequiresanRFPA anddistillingoutof themultitude
of existingamplier performancespecications, only theones necessary andsuf-
cient(inotherwords, requiredspecications) thatoncequantied, will denealistof
amplier characteristicstosatisfytheenduseapplication. For example, consider the
applicationof RF heating. RFPAsareusedinthisrequirementtoheatmaterials(plas-
tics, humantissue, etc.) withitsoutput power. Clearly, thereisnoneed, for instance,
for goodphaselinearity, thematerial tobeheatedwill not respondanydifferentlyto
anRFPA that hasexcellent phaselinearity thanwithonethat doesnot. Thisstepof
qualitativespecicationdelineationisby nomeansatrivial processandisexecuted
best by maintaining a close working relationship between the RFPA engineer and
thesystemengineer intimatewith thegiven application. Simply becausean RFPA
Engineer knows, for example, how to design an amplier with excellent linearity
does not necessarily meanheis awareof what distortiontypes toassess inorder to
234 Practical HF/VHF/UHF RF power amplier realization
enablegoodpicturequalityfromaTV transmitter. TheTV systemengineerwill know
theseparametersbasedonhiseldexperience. Sincetherearemanyapplicationsfor
RFPAs, thisstepisonlycoveredhereinacursorysense, suchthattheRFPA Engineer
isawareandinformedof itsimportance.
2. RFPA specication quantication: Withalist of requiredRFPA specicationsrel-
ativeto agivenapplicationassembled, thenext stepis to assignnumeric values to
each particular one along with a clarication of what the numbers represent; i.e.,
minimum, maximumor typical. Anarrival at aparticular number for acertainspec-
icationusually is theresult of eldtrials wheresystemperformanceis monitored
whileonly theamplier parameter of interest is successively degradedto thepoint
whereitbecomesevidentintheoverall systemperformance. AnRFPA Engineermust
bevery familiar withamplier specications not only for what they imply but also
for whatvaluerepresentsarealisticapplicationdemandandonethatisnotphysically
or economically realizable. Therefore, anoverviewanddenitionof RFPA speci-
cations is providedalongwithhighlightingbothideal andtypical values toprovide
theRFPA Engineer withaframeof referenceor boundaries fromwhichtowork in
duringtherealizationprocess. Thetypical values arewhat onemight expect to get
fromagenericRFPA without anyformof error correctionapplied.
3. RFPA hardwarerealization: Followingthespecicationdelineationandquantica-
tion, adesignexampleis providedto illustratemethods to physically realizeRFPA
hardware. Thisstepwill denethebasicarchitectural componentsof anRFPA mod-
ule: theRF transistor, matchingnetworks, feedback networks, DC bias andsupply
networks. AnRFPA moduledesignthatcanbeappliedtoseveral applicationswill be
shownasadesignexample.
6.3.1 RFPA qualitative specication delineation
Military ampliers: For just about any application in themilitary or defensemarket,
oneamplier characteristic is paramount: reliability. RFPAs for military applications
must endureextreme, harsh environmental conditions (temperature, altitude, salt fog,
exposuretoaviationfuelsandsand, etc.) andperformawlessly. Intheevent that they
doencounter somepartial malfunction, thentheyneedtobebattlesure. Thisimplies
theRFPA must providesomelevel of performanceand beexpected to overridefault
protectionshutdowncircuitryinspiteof thefact that it maybedamaged.
Military communications requireanRFPA tobeextremely broadband, adverseload
VSWR tolerant, andif themodulationformat demands it, haveexcellent linearity. For
applications whereanRFPA is battery powered, highlevels of amplier efciency are
needed.
J amming/countermeasures applications require extreme broadband capability and
antennaloadVSWR tolerance. Linearityisnot overlyimportant asthegoal maysome-
timesbetooverpower enemycommunicationsanddistortioncomponentsmayactually
augment theprocess.
6.3 The realization process 235
Radar RFPAs will need to work well in thetimedomain and maintain good pulse
delity; fast riseandfall transitiondurations (formerly knownas rise/fall times), low-
pulsetilt (amplitudedroop), andhaveandlowlevelsof pulseovershoot andringing.
Ampliersusedforpsychological warfareoperations(Psy-Ops) aregenerallyusedfor
emulationof enemy civilianandmilitary communicationsystems whichcanbeeither
AM/FMradioortelevisionbroadcast. Inthisevent, RFPAswill needextremebandwidth
to cover multiple channels along with high linearity and very low intermodulation
distortion(IMD).
Medical Ampliers: Ampliers for themedical market needto bereliableas well;
however, theenvironment they areexposedto is very benign. Usually RFPAs inmed-
ical applications are located in hospitals or research institutions where the ambient
temperatureremainsat approximately25

C.
MRI andMRS, whichprovidedetailedanatomical andmetabolicallyproledhuman
images, demand the RFPA deliver certain levels of performance in three domains:
time, power andfrequency. Inthetimedomain, theRFPA must deliver highlevels of
pulsedelity (fast risingandfallingtransitiondurations, low-pulsetilt, lowovershoot
andringing). Inthepower domain, theRFPA must exhibit lowlevels of AM/AM and
AM/PM (gainandphaselinearity, respectively) distortion. Inthefrequencydomain, the
MRI/MRS RFPA must deliver uniformperformanceat several key frequencies, while
havinglownoiseoutputatfrequenciesotherthanthecarrier, specicallywhiletheRFPA
istransmittingthecarrier.
For medical heating (thermotherapy, cardiac tissue ablation and benign prostatic
hyperplasia treatments), the focus is on precise power control. To heat human tissue
safely, a feedback control (ALC) loop is the best method for keeping the RF power
output variations extremely low. Linearity is not necessarily critical but does enable
theRFPA to bemoreeasily controlled by feedback loops. Medical heating is usually
narrowband(i.e., 915MHz/ 5MHz), andwhiletheloadVSWR maybeharsh, the
RFPAsoutput canbeprotectedwithCirculators.
Scientic Ampliers: NMR spectroscopy employs all the same principles as MRI
except, instead of analyzing patients, an NMR Spectrometer will evaluate chemical
compoundsor pharmaceuticals. NMRessentiallymakesthesamedemandsonanRFPA
that MRI would, however an amplier for NMR/NQR and EPR will require more
precisepulsedelity(faster rising/fallingtransitiondurations, lower droopandvirtually
nonexistent pulseringing/overshoot).
I ndustrial Ampliers: EMC RFPAs must provideRF power over ultrabroadband-
widthsspanningseveral octavesfromtheaudiofrequencyrangeupintothemicrowave
frequency range (10 kHz to over 1 GHz). EMC RFPAs are used to test the radiated
susceptibility of electronic products. Thisistheproductsability tomaintainnormal
operating functions while being subjected to external RF radiation. The EMC RFPA
output will befedinto widebandantennas whichwill radiateRF energy into products
under evaluation.
Material heatingandCompoundcuringdemandthat theRF power bepreciselycon-
trollableandstableover temperatureandtime.
236 Practical HF/VHF/UHF RF power amplier realization
Commercial ampliers: Ampliers for semiconductor wafer processing must be
able to withstand severe load VSWR. While these RFPAs usually have a matching
network which works to actively match theimpedanceof theplasma, therestill exist
severe transient load VSWR excursions. These ampliers must also have extremely
reliableperformanceasanamplier failurecanleadtoshuttingdownwafer fabrication
productionlines.
Cellular basestationRFPAsmusthandlemultiplecarrierssimultaneously. Duetothis
requirement, theseRFPAs must beextremely linear suchthat IMD distortionis mini-
mized. Typically, theIMDrequirement ismuchlower thancanbeachievedwithastand
alone, un- correctedRFPA. Therefore, error correctionschemes suchas pre-distortion
and feed-forward are employed to reduce IMD components below required limits.
AM radio transmitters require good linearity while FM transmitters have a stronger
emphasis on efciency and low cost. Conventional television transmitters place high
demandsonsignal linearity.
Reviewingtheapplications, itisapparent that certainRFPA operatingparametersare
instrumental indeninganRFPA for oneapplication, but areabsent inanother while
somearecommontoall.
6.3.2 RFPA specications, generic list and quantication guidelines
TheRFPA specicationssimplydene, quantitatively, themanner inwhichaparticular
RFPA must behaveunder agivenset of conditions. Theconditions areinput stimulus
signal characteristics, expectedoutput signal responseperformance(transfer function),
outputloadVSWR, supplyvoltages, environmental (operating/storage) conditions(tem-
perature, shock, vibrationandaltitude).
A listof genericRFPA specicationsisprovidedbelowwithdenitionsaccompanied
by quantications of what anideal amplier woulddeliver, followedby what atypical
onemight provide. Thetypical values represent what is readily accomplished with a
genericbroadbandamplier, themorearequirement demandsaparticular specication
quanticationtopropagatetowardsanideal value, themoredifcult(andcostly) it will
betodesignandmanufacturetheamplier. Thequanticationsarenotindicativeof any
particular enduseapplication, thegoal istoprovidetheRFPA engineer withacommon
sense, afeel for what isreadilyattainable, andwhat isnot.
r
Power output(units: W): theamountof power anRFPA candeliver intoaparticular
load VSWR, over a given frequency and dynamic range. What is ideal: theRFPA
would deliver theprecise amount of power demanded by a particular application,
This power level wouldbeexact, proportionallycontrollable, withzero power level
uctuationsduetotemperature, component variationandfreeof noiseanddistortion
components. What istypical: apower level that variesinaquasi linear fashionand
drifts a fewwatts for every fewdegrees shift in ambient temperature along with a
power spectrumof noiseanddistortioncomponents.
r
Frequency response/range(units: Hz): the range of frequencies that an RFPA is
expectedto uniformly meet all specications. What is ideal: a rangeof frequencies
6.3 The realization process 237
weretheRFPAexhibitsawlessuniform(identical timeandpower domainresponses)
performance(stablepower, no distortionor noise), outsideof this rangetheampli-
er has no responseto any other frequencies. What is typical: a rangeof frequen-
cies where performance is not uniform; i.e.: gain will vary by several dB, distor-
tionandnoisewill bepresent, riseandfallingtransitiondurations varyalongwith
efciency.
r
Gain(units: dB): themeasureof howmuchgreater inpower anRFPA will increase
thelevel of an input signal. What is ideal: theoutput power is an exact, constant,
linear multipleof theinput power that isindependent of frequency, temperatureand
drivelevel. Whatistypical: gainvariationof 15dBacrossagivenbandwidth, ashift
invalueof afewtenthsof adBfor everyfewdegreeschangeinambient temperature.
r
Gainatness(units:/dB): theamount of gainvariationover thespeciedfre-
quency range. What is ideal: absolutely no (/ 0 dB) of gain variation over the
requiredfrequencyrange. What istypical: dependingontherequiredbandwidth, the
gainatnesscanvaryabout anominal valuefrom/ 0.5dBto/ 4dBor more.
Gainatnessisverydifcult tomaintainover widefrequencyranges(>1octave).
r
Gain linearity/AM-AM distortion (units:/dB versus a specied power
domaindynamicrange): theabilityof anamplier toholditsgainconstantthrough-
out theapplicationof anRF input signal withvaryingpower levels. What is ideal:
theRFPAs nominal gainvalue(gain= G dB/ 0dB) remains perfectlyconstant
fromanoutput power of 0Wto themaximumpower demandedbytheapplication.
What is typical: gain variations of/ 1 dB arereadily achieved by Class A and
AB ampliers, over adynamic rangeof 4060dB. Gainlinearityisclassdependent
withClass A beingthemost linear andleast efcient, whileClass D/E arethemost
nonlinear but most efcient.
r
Gaintemperaturestability(units:/dB): theabilityof anRFPA toholditsgain
constant over varying levels of ambient temperature. What is ideal: absolutely no
(/ 0 dB) of gain variation regardless of ambient temperature variations. What
is typical: gain variations of 6 dB over temperatureswings of 10 to80

C are
common. Thevariationsareeasilycorrectedfor withALCcontrol loopsor openloop
gainstabilizationnetworks. Gainstabilityof/ 0.25dBover 4050

Cisachievable
with open loop temperaturecompensation networks. ALC loops can improvethese
valuesfurther.
r
Phaselinearity/AMPMdistortion(units:/

versusaspeciedpowerdomain
dynamicrange): insertionphaselinearity or AM toPM distortion, istheability for
anRFPA toholditsinsertionphaseconstantovervaryingoutputpowerlevels. Whatis
ideal: aninsertionphasevariationof / 0

fromzeropower output tofull rated


power. Whatistypical: aninsertionphasevariationof/ 10to/ 15

arounda
nominal insertionphasevalue() over a4060dBdynamicrangeiseasilyachieved
viaClassAampliers. Predistortedandfeed-forwardamplierscanhavemuchless
phasevariation.
r
Dynamicrange(units: dB):therangeof outputpowerlevelsthatanRFPA mustwork
over. Usuallythemaximumpower outputistheupper limit. Whatisideal: theRFPAs
output wouldbelinearlycontrollablewithnononlinear deviationsfromexactly0W
238 Practical HF/VHF/UHF RF power amplier realization
totherequiredmaximumratedpower. What is typical: thelinear classes of RFPAs;
A, AB, and B offer thebest dynamic range(approx 4060 dB), Class C, D, and E
havelimiteddynamic ranges (-1015dB uncorrected; i.e., without pre-distortion).
Thelowendof thedynamic rangeis limitedbyit noiseoor, thehighendwouldbe
boundedbyunacceptablelevelsof nonlinear gaincompressionor expansion.
r
Efciency(units:%):theamountof DCinputpoweranRFPA will requiretoproduce
agivenamount of RF output power. What is ideal: theoretical maximumefciency
for thespecic amplicationclass. What istypical: ClassC, D, andE offer thebest
methodsfor highefciency, >5070%andrelativelyconstantover alimiteddynamic
range; however, linearity (both gain and phase) will suffer. Broadband Class AB
efciency runs in the 40%range, but drops substantially at reduced power output
levels.
r
Risetime(risingtransitionduration)(units:s): theamountof timeittakesanRFPA
to progress from10 to 90%(in voltage) of any given rectangular RF pulseoutput.
What isideal: theampliersoutput power risingtransitiondurationisexactlyequal
intimeto theRF input signals risingtransitionduration, regardless of howfast or
slow. What istypical: risetimesof 250750nsarereadilyaccomplished.
r
Fall time(fallingtransition duration) (units: s): the amount of time it takes an
RFPA to progress from90%to 10%of any given RF pulseoutput. What is ideal:
theampliersoutput power fallingtransitiondurationisexactlyequal intimetothe
RF input signalsfallingtransitionduration, regardlessof howfast or slow. What is
typical: fall timesof 50500nSarereadilyaccomplished.
r
Pulseovershoot(units: %): theamountanRFPAsoutputdeviatesfromanexpected
100%output power valueduringtheperioddirectlyfollowingtherisetimetransition
duration. What is ideal: 0% overshoot, the ampliers output exactly follows the
input. What is typical: 1015%overshoot is common though controlling overshoot
becomes more problematic with faster rising transition duration times along with
lower operatingfrequencyrangeintothe130MHzrange.
r
Pulsedroop(pulsetilt) (units: %): theamount an RFPAs output either decreases
(negative tilt) or increases (positive tilt) across the duration of a rectangular RF
output pulse. What isideal: 0%, anRFPA withaperfectlyat rectangular RF input
pulse delivers an amplied exact replica on the output. Note: pulse tilt can be an
extremelyelusivepulseparameter todenelet alonedesignfor or evenmeasure. The
most problematicissuewithpulsetilt isthepinpoint assignment of the100%power
amplitudediscretelocationontherectangular RF pulseenvelopewhichwill serveas
thereferencepoint. Pulsewaveformscanmanifestthemselvesinaninniteamountof
subtlydifferent shapes, evenif theyareall classiedasrectangular pulses. What
istypical: apulsetilt valueof 10%iscommon. Lessthan5%becomesverydifcult
tomanageover broadfrequencyanddynamicranges.
r
Ringing/settlingtime: (units: seconds): Thedurationof timethat anRFPAsoutput
overshootsandexponentiallydecayssinusoidalydowntoa100%pulsepower output.
Whatisideal: absolutelynoringingor overshootwhichmightinitiateringing. Whatis
typical: dependingonthefrequencyrangeandrisingtransitiondurationtime, ringing
canoccur andlast for 20500nanosecondsor perhapslonger.
6.3 The realization process 239
r
Distortion,harmonic(units: -dBc): thelevel of unwantedsignal componentswhich
areinteger multiples of theRF input signal frequency that aremeasuredrelativeto
themagnitudeof theRF output signal. What is ideal: absolutelyno harmonics, the
onlyoutput of theamplier isareplicaof theinput RF spectrum, withall frequency
components amplied exactlythesame. What is typical: even-order harmonics are
less than 20 dBc at thesecond-order harmonic and decreasing further at higher
even-order frequencies,Odd-order harmonicsarelessthan 12dBcatthethird-order
harmonicanddecreasingfurther at higher odd-order frequencies.
r
Distortion,inter-modulation(units: dBc): thelevel of unwantedsignal components
that arisefromtheapplicationof twoor moreRF input signalspropagatingthrougha
nonlinear medium. Thedistortionsignalsarecloseinfrequencytotheoriginal input
signals. What isideal: therearenoIMDcomponents, theoutput frequencyspectrum
isanexact, ampliedreplicaof theinput spectrum. What istypical: IMDdistortion
componentswill typicallyfall 20to 30dBcdownfromthetwo-toneoutputsignals.
r
Noiseoor (units: dB relativeto thermal noiseoor): the amount of noise an
amplierputsoutwhenitsinputisterminatedwitha50Oresistor.RFpowerampliers
typicallyarenotconcernedwithnoiseastheirprimarytaskistoprovidelargeamounts
of electrical energy. Inother words, theamplier, per se, canbeasubstantial noise
source. Thereare, however, situationswhereanRFPA mayberequiredtoemitaslittle
transmittednoiseaspossibleat frequenciesother thanthecarrier. What isideal: the
ampliers noiseoutput is zero dB over thenoisepower of a 50 resistor. What is
typical: anoiseoutput level of 1015dBabovethethermal noiseof a50 resistor.
r
VSWR, input (units: dimensionless): a measureof theRFPAs ability to keep its
input impedancecloseto aspecic value(i.e., 50O) over agiven frequency range
so as to achieveamaximumtransfer of power fromasignal sourceto theamplier
input. Whatisideal: a1:1VSWRisaperfectmatch, all thesignal sourcespower will
enter intotheinputportof theRFPAfor all frequencies. Whatistypical: a2:1VSWR
(or less) over abroadfrequencyrangeiscommonlyacceptable. Usuallytheinput of
anRFPA requiresasmall amount of signal power (ontheorder of 0dBm), soa2:1
VSWR corresponds to approximately 90%of thesignal sourcepower entering into
theRFPAsinput port.
r
VSWR,load(units: dimensionless): ameasureof theRFPAsoutputimpedancerel-
ativetoagivenload. AnRFPAsoutputimpedanceisadynamicparameter depending
on avariety of variables; power output, supply voltageand frequency. It is adesir-
ableto matchtheRF transistor to its loadimpedancefor maximumpower transfer.
Unfortunately, for manyapplications, theloadwill varywidelyandpresent aserious
challengetotheRFPA Engineer todesignanRFPA that canwithstandadverseload
VSWRs and maintain specied performance. What is ideal: a perfect match, 1:1
VSWRfor all frequenciesandpower levels. What istypical: thisdependsheavilyon
theenduseapplication, but canvaryanywherefromaclosematch1.2:1toanopen
or shortedload(:1).
r
Stability,spuriousoutput,loadpull dependent(units: -dBc): thisdenesanampli-
ers ability to maintain stable operation (i.e., not generate any unwanted spurious
signals and maintain an output power that remains controlled by the input power
240 Practical HF/VHF/UHF RF power amplier realization
andastabletransfer function) whiletheinput/output loadVSWR is varied. This is
sometimesdenedasloadpull stability. A wordof cautionheretothosewhospecify
or havebeenrequestedto designanamplier as unconditionally stable, implying
the amplier will not oscillate terminated by any input/output load VSWR. While
theredoesexist waystotheoreticallyshowanamplier isunconditionallystableinto
adverseloads, it is strongly argued herethat no such thing as a truly uncondi-
tionallystableamplier hasor ever will exist in thereal world. Thebasisfor this
argument is that any physical amplier whether broadband or narrowband, can be
subjectedto virtually innitenumber of operatingpoints, assembly process control
variations, devicelot/datecodevariationsandchangingenvironments, beitfrequency,
output power levels, operating temperatures, modulation formats, input/output port
isolationvalues andcombinations of complex input/output loadterminations. Tobe
certain, therewill beonecombination of thelistedoperating points that will cause
anoscillationor somelevel of spuriousoutput. Sinceaninniteamount of operating
points exist, it would require an innite amount of time to test and verify a given
amplier is unconditionally stable, unfortunately (or perhaps fortunately, at least
for thepoor soul taskedwithtestinganRFPA for unconditional stability) noonecan
livelongenoughto test andconrmthis. What is ideal: unconditional stability, no
oscillationsfor anyconditionof operation. What istypical: conditional stability, the
amplier will bestableunder adened, discretesetof conditionssuchasLoadVSWR
uptoagivenpoint (i.e., 3:1, fullyrotational about theSmithChart), dynamicrange,
xedfrequencyranges, or atanoutputfor spuriousfrequenciesthatareanacceptable
amountbelowthecarrier. Typical valuesof loadpull spuriousare 40to 60dBc.
r
Operatingtemperaturerange(units: degrees): thetemperaturerangeover which
theamplier will beexpectedtomeetall specications. EverycomponentinanRFPA
will haveelectrical characteristics that aretemperaturedependent. This dependency
can cause an RFPA to be specication compliant at one temperature and out of
specicationat another. Thekey istodesigntheRFPA sothat theeffectsof varying
temperature are minimized. What is ideal: the RFPA will operate uniformly at all
temperatureswithnovariationingain, output power, distortion, etc. What istypical:
theRFPAwill operateover alimitedtemperaturerange(50to125

C, for example)
wherethehighendofthetemperaturerangeisdeterminedbytheRF power transistors
junctiontemperatureandrequiredfailurerate.
r
Altitude(units: feet, metersabovemeansealevel (AMSL)): thealtitudes within
which the amplier is expected to meet full specication. Altitude can impact an
RFPAs performanceprimarily withones that useforcedair coolingas air becomes
thinner arehigher altitudes, renderingtheefcacyof thiscoolingmethodproblemat-
ical. What isideal: anRFPA isoperational fromSubmarinetoOuter Spacewithno
variationinperformance. What istypical: theRFPAislimitedtocertainaltitudesby
themethodbywhichheatisremoved, for lowaltitudesforcedair coolingisadequate,
for highaltitudesandspace, liquidcoolingbecomesmoreeffective.
r
Shock/vibration(units: G, rms): thelevel of six axes (x, y, z and rotational: yaw,
pitch, androll) mechanical energy anRFPA canwithstandandstill bespecication
compliant. What is ideal: theRFPA canwithstandexposureto shock andvibration
6.3 The realization process 241
resultingfromtransportation(shipping) andapplication(militaryapplications: air-
borne, groundtransport, colocatedordinanceetc.) andremainfunctional regardless
of what level of mechanical shock is imparted. What is typical: anRFPA will have
set limits of howmuchshock theunit canendure, ampliers havebeendesignedto
withstandasmuchas5000Gof transient shockandasmuchas60Gof continuous,
random, sixaxisvibration.
r
Conducted/radiatedemissions: thelevel of unwantedRF noisewhether signal, spu-
rious, distortionor other thatgetsoutof theRFPA either onphysical wires(conducted
emissions) or throughanimproperly shieldedenclosure(radiatedemissions). What
is ideal: theRFPA will containall radiofrequencyenergywithintheconnes of the
physical housing of the amplier system. The RF energy will only exit the chassis
through coaxial cables and connectors. What is typical: all RF power ampliers
will emit and conduct somelevel of RF energy unintentionally to other collocated
circuits, subsystems, andequipment, shieldingmeasuresmust bedeployedsuchthat
theemissionsarewithinacceptablelimitsbasedontheparticular applicationEMC
guidelines.
r
Conducted/radiated susceptibility: the ability of an RFPA to maintain its speci-
edperformancewithunwantedsignal/spurious/noiseenergy fromother collocated
circuits, subsystemsandequipment gettingintoitsonphysical wires(conductedsus-
ceptibility) or throughimproperlyshieldedRFPA enclosures(radiatedsusceptibility).
What is ideal: anRFPA canoperatenormallyregardless of beingsubjectedto any
level of electromagnetic(EM) interferenceor impulse. What istypical: All RF power
ampliers will beaffected at somelevel of RF energy unintentionally coupled into
it fromcollocated circuits, subsystems and equipment. Shielding measures must be
deployedsuchthat thesusceptibilitythresholdsarewithinacceptablelimitsbasedon
theparticular applicationEMC guidelines.
r
Mean time to failure (units: hours): the average amount of time an amplier
will function before experiencing a malfunction or failure. What is ideal: a par-
ticular amplier will be operated within its specied ranges and performaw-
lessly indenitely. What is typical: it depends heavily on the temperature of the
RFPAs semiconductor or die temperatures, MTTFs of 20,000 to 100,000 h are
common.
6.3.3 Specication/hardware realization
Regardlessof theapplication, aspecicationdeninganRFPA will drawfromsomeor
all theabovelistedOperatingSpecications. Howtheseparametersarespeciedclosely
inuencehowaparticular RFPA designisrealized.
Therearemultitudesof applicationsforRFPAs, itisnearlyimpossibletoillustrateone
particular methodto realizeanRFPA designfor each. However, it is possibletocover
techniquesthat canaddressSpecicationsthat arecommontoseveral applications. The
stepsexhibitedandtakenwill progressfromspecicationdelineationandquantication
toblock/wiredesign, thentoRFPA moduledesign.
242 Practical HF/VHF/UHF RF power amplier realization
Figure 6.1 Simpliedsystemlevel blockandwiringdiagramfor atypical RFPA system.
RFPA systemdesigncanbebest accomplishedby workingbackwards if youwill.
Thatis, anRFPA systemdesignbeginsbystartingwiththemaximumRF power require-
mentof aparticular application, anddesigningtheRFPA outputsectionrst, asopposed
tolast. Webeginwithoutput sectionrst for thefollowingreasons:
1. Theapplication(or enduse) demandfor RF power istherst andforemost require-
ment for anRFPA tomeet andtheoutput sectionaddressesthisdirectly.
2. Howtheoutput moduledesignof theRFPA evolves, andwhat itstransfer/distortion
characteristicsare, will dictatethedesignof thestagesthat will precedeit (low-level
driver andintermediatepower amplier stages).
3. Dependingonwhat RF power transistors arechosenfor theRFPA sectionandhow
they performfroma DC standpoint (i.e., DC operating voltages and DC current
demands) will alsodeterminewhat typeof DC power suppliesarerequired.
6.4 RFPA system level design overview
ThestepfollowingspecicationdelineationandquanticationforaRFPA systemdesign
istheSystemBlockandWirediagram. A simpliedSystemBlockandWirediagramis
showninFigure6.1for a1kWamplier example. Thearchitectureinthisdiagramcan
beusedtorealizeanyRFPA systemapplicationrequirement. Itshowsthebasicelements
or subsystems:
r
low-level driver stage;
r
intermediatepower amplier stage;
r
RF power divider;
6.4 RFPA system level design overview 243
r
RF power amplier section(usuallyconsistingof multiple, identical RFPAs);
r
RF power combiner;
r
directional coupler;
r
DC supplysection;
r
digital control section.
Thetopof thesystemlevel blockandwirediagramshowstheoutputpower requirement
of eachstageandaboveeachsubsystemblockdiagramaretypical gain/lossvaluesrela-
tivetoeachstage. Workingthepowerrequirementfromrighttoleft, itisapparenthowthe
applicationpower requirementdemandsafocusontheoutputRFPA sectionrst. Based
ontheapplicationpower requirement, weneedtoselectaNRF power transistor thatcan
provideadequateRF power, andmost importantly, providethenecessary power while
concurrentlysatisfyingtheapplicationrequirementsfor linearity, efciency, distortion,
transition duration response times and anticipated load VSWR excursions. Another
reasonfor workingontheRFPA output rst istheinitial vericationof meetingspeci-
cationsonamodular, scaleddownlevel. If, for example, distortionlevelscannotbemet
onamodular level, either thedesigner hastoimprovetheRFPA moduledesignor plan
for waystoprovidesystemlevel error correction.
Thereareavarietyof processesoccurringinanyRFPA system; however, if youwere
tobreakthemdownandclassifythem, thereareprimarilytwo:
r
power amplication;
r
power transfer.
Power amplication, obviously, isaccomplishedwiththeRF power transistors; power
transfer is accomplished with matching networks, dividers and combiners. Therefore,
it isreadily apparent that RF deviceselectionandimpedancematchingwill becritical
steps.
6.4.1 RF power amplier module design overview
After theblock/wiring top level systemdesign, theoutput RFPA section itself can be
broken down further into ageneric lower level block diagramas show in Figure6.2.
RF power moduleshavethesomeor all of thefollowingbasicsections:
r
RF power transistor;
r
devicebias/temperaturecompensationnetwork;
r
input/output RF andDC coupling/decouplingnetworks;
r
input/output matchingnetworks;
r
feedbacknetworks;
r
heat removal.
Thisleadstotherst major taskinRF power amplier stagerealization: selectionof
anRF power transistor. ThisisperhapsthesinglemostimportantdecisiontheRF power
amplier designengineer makes, thereareother decisions, for sure, but thisisthemost
critical. Thisisalsonot adecisionthat ismadebymerelycomparingRF transistor data
244 Practical HF/VHF/UHF RF power amplier realization
Figure 6.2 Blockdiagramof thecomponent level viewof anRFPA module.
sheets of different RF transistor manufacturers; it is adecisionthat is madeafter data
sheetreview, CADsimulation, prototyping, rigoroustestingof individual PA stagesand
thencomparisonof actual, applicationspecicresults. Careful reviewof RF transistor
datasheetsisaveryimportant, albeit initial step.
Figure6.3ispart of atypical RF power transistor datasheet. Althoughthedatasheet
containsalargeamountof data, determiningwhetherornotaparticulardeviceissuitable
for agivenapplicationmaynotbereadilyapparentwhenreviewingit. Thereasonisthat
RF power transistor manufacturerssimplycannotfullyanticipateall theapplicationsfor
which aparticular devicemay bedeployed, they will makegeneric recommendations
for applications, but it isuptotheRF power amplier designengineer tomakethenal
judgment call, andonlyafter several deviceshavebeentestedandevaluated.
Inspiteof itsvaguenature, theRF transistor datasheetisatleastastartingpoint. The
followingisabrief overviewof itsmajor sections:
1. Applications: manufacturers recommendation of potential applications for the
device; i.e.; medical, broadband, VHF communications, etc.
2. Absolutemaximumratings: maximumvaluesfor power dissipation, junctiontem-
perature, supply/breakdownvoltagesanddevicecurrents.
3. Electrical characteristics: quanticationof keyparameters:
r
power output: howmuchpower thedevicecanreliablydeliver whenmatchedinto
a50O load.
r
frequencyrange: what frequenciesthedevicecanbeusedover.
r
gain: typical power gainlevel, usuallyat themaximumoperatingfrequency.
r
efciency: howefcientlythedevicecanconvert DC power intoRF power.
r
thermal resistance: a measure of the devices ability to remove the heat of its
semiconductor diestoanoutsidesurface.
6.4 RFPA system level design overview 245
DIM Millimeters Tol. Inches Tol.
A 19.05 0.50 0.75 0.020
B 10.77 0.13 0.424 0.005
C 45 5 45 5
D 9.78 0.13 0.385 0.005
E 5.71 0.13 0.225 0.005
F 27.94 0.13 1.100 0.005
G 1.52R 0.13 0.060R 0.005
H 10.16 0.13 0.400 0.005
I 22.22 MAX 0.875 MAX
J 0.13 0.02 0.005 0.001
K 2.72 0.13 0.107 0.005
M 1.70 0.13 0.067 0.005
N 5.08 0.50 0.200 0.020
O 34.03 0.13 1.340 0.005
P 1.61R 0.08 0.064R 0.003
D1020UK
Document Number 2599
Issue 5
Semelab plc. Telephone +44(0)1455 556565. Fax +44(0)1455 552612.
E-mail: sales@semelab.co.uk Website: http://www.semelab.co.uk
Semelab Plc reserves the right to change test conditions, parameter limits and package dimensions without notice. Information furnished by Semelab is believed
to be both accurate and reliable at the time of going to press. However Semelab assumes no responsibility for any errors or omissions discovered in its use.
Semelab encourages customers to verify that datasheets are current before placing orders.
P
D
Power Dissipation
BV
DSS
Drain Source Breakdown Voltage *
BV
GSS
Gate Source Breakdown Voltage *
I
D(sat)
Drain Current *
T
stg
Storage Temperature
T
j
Maximum Operating Junction Temperature
389 W
70 V
20 V
25 A
65 to 150 C
200 C
MECHANICAL DATA
M K J
I
O N
A
C
(2 pls)
D
F
E
(4 pls)
B
G
(t yp)
P
(2 pls)
1
5 4
3 2
H
GOLD METALLIZED
MULTI-PURPOSE SILICON
DMOS RF FET
150 W 28 V 400 MHz
PUSHPULL
FEATURES
EXTRA LOW C
rss
SIMPLIFIED AMPLIFIER DESIGN
SUITABLE FOR BROADBAND APPLICATIONS
SIMPLE BIAS CIRCUITS
LOW NOISE
HIGH GAIN 10 dB MINIMUM
DR
PIN 1 SOURCE (COMMON) PIN 2 DRAIN 1
PIN 3 DRAIN 2 PIN 4 GATE 2
PIN 5 GATE 1
ABSOLUTE MAXIMUM RATINGS (T
case
= 25 C unless otherwise stated)
APPLICATIONS
HF/VHF/UHF COMMUNICATIONS
from 1 MHz to 500 MHz
METAL GATE RF SILICON FET
TetraFET
* Per Side
Figure 6.3 Typical datasheet of anRF power transistor (courtesySemelabLtd., UK).
246 Practical HF/VHF/UHF RF power amplier realization
r
breakdownvoltages: voltagelevels(atthedeviceterminals) wherethedevicewill
breakdownandfail.
r
thresholdvoltages: rangeof DCvoltagelevels(for FETs) wherethedevicebegins
toconduct current.
r
loadmismatchtolerance: ameasureof what level of loadVSWR thedevicecan
safelytolerate.
r
largesignal impedances: usually plots of largesignal input/output impedances
plottedonaSmithchart that thedeviceneeds to seeinorder to deliver its rated
power output, gain and efciency at a specic power input, frequency, supply
voltageandbiascurrent.
r
typicaltransferfunctionplots:poweroutput,efciency,gainanddistortionversus
power input andoutput.
r
typical scattering(S)parametersversusfrequencyforbroadbandcomputeraided
simulation.
r
input, reversetransfer and output capacitances versus supply voltage plots
whichvisually showhowthedevicesparasitic capacitancevariesasafunctionof
supplyvoltage.
6.4.2 RF power transistor device selection process guidelines
The following is a discussion in more detail of each major section of a typical RF
transistor datasheet.
Proper RF transistor selection starts by taking into consideration the intended
application, in other words, primarily what RF signal/modulation format the RFPA
will ultimately beexpectedtoamplify, towhat power level withanacceptablelevel of
distortion.
A particular application may not demand apower level that will exceed that of an
individual transistor, however if it does, combiners and dividers must beemployed to
reachadesiredpower output level. Ineither case, whether anRFPA designrequiresone
or moreRFPA stages, thesystemlevel specicationmustbemetwithsubstantial design
margin at theindividual nal output stagelevel (if onetransistor stagehas adequate
power) or scaledup(if onetransistor stagedoesnot haveenoughpower).Thismargin
will beerodedasmoreamplicationstagesarecascaded.
Insidethedeviceselection process exists thedecision not only for what particular
part withinaclass of transistors but also aselectionof thespecic class of transistor
itself. By class of transistor, it is meant bipolar junction transistor (BJ T), vertically
diffusedMOSFET(VDMOS), laterallydiffusedMOSFET (LDMOS), galliumarsenide
FET (GaAsFET), galliumnitride(GaN) and silicon carbide(SiC) transistors. No one
class of transistor is universally better thantheothers, eachclass of devicehas salient
featuresthat makeit moreamenabletoaparticular applicationthananother.
While BJ T transistors have less gain and are more difcult to match across broad
bandwidthsthantheirMOSFETcounterparts,insomepulseapplicationstheycanexhibit
faster risingandfallingtransitiondurations. A disadvantageof BJ Ts currently is that
there are fewer companies manufacturing these devices. MOSFETs (both vertically
6.4 RFPA system level design overview 247
andlaterally diffused) offer higher Gain, easier biascongurations, higher largesignal
impedances and are less prone to thermal runaway. A limitation of MOS FETs is
theavailability of devices operatingbroadbandover 1GHz. GaAsFET andespecially
GaN devices offer excellent choices for ultra-broadband operation from20 MHz to
over 6 GHz. However, GaAS and GaN devices aremoreexpensiveand requiremore
sophisticatedbias schemes (sequencing) tosafely turnthedevices on. SiliconCarbide
transistors offer a signicantly higher maximumjunction temperature (255

C as
opposedto200

C for LDMOS).
Therefore, inthedeviceselectionprocess, theinitial stepisselectionof whichclass
of RF power transistor, thenselectionof aparticular devicewithinthat class amongst
devicepower levelsanddifferent manufacturers.
Althoughit maybedesirabletouseasfewRF power transistorsaspossible, thereare
applicationsandsituationswhereitcanbeprudenttousemultipledevicesasopposedto
fewer. Forexample, inmissioncritical Militaryapplications, wherereliabilityandbattle
sure characteristicsarekey, it ispreferabletousemoreRF power transistorssincethe
heat will bespreadout over moredevices(whichcanyieldlower dietemperatures) and
intheevent thereisasingledevicefailure, theimpact onoverall systemperformanceis
minimized.
With this in mind, RF power transistor data sheets within a preselected class are
rst comparedintermsof enduseapplicationcompatibility. AlthoughRF deviceman-
ufacturers would prefer to make one transistor suitable for all uses, they do at some
pointoptimizetransistorstolendthemselvesbetter tocertainapplications. For example,
AvionicsRFPAsoperateprimarilyinpulsemodeandthereexist RF transistorsthat are
designedtoput out substantial power, but expresslyinpulseformat. Trytoget thesame
power out of this deviceinCW mode(or evenextendedpulsewidths for that matter)
andthedevicewill bedestroyed.
A close review of absolute maximumratings will cover just how far the device
will hold up under extreme conditions such as maximum dissipation and junction
temperature.
Thepower outputof atransistor stateshowmuchRF power adevicecandeliver. Take
careinreviewingthisparameterandnotetheconditionsinwhichthedevicemanufacturer
hasspeciedtheoutput power. Remember, theRFPA hastodeliver power, but ismore
accuratetostatetheRFPA hastodeliver concurrent power, that is, deliver power while
concurrentlymaintainingavarietyof other specicationssuchasdistortionlevels, pulse
delity, efciency, etc. A particular transistor may deliver 300 W of RF power, but if
theapplicationdemands300Wof power withlessthan1dB of GainCompressionand
your deviceis compressing5dB, thedevice, whilecapableof deliveringthepower is
notcapableof concurrentlydeliveringthepower attherequiredGainandGainLinearity
level.
Thefrequencyrangeof aparticular RF deviceshouldnotbethoughtof inanabsolute
sense, that is, if apower transistor has amaximumspecied frequency of 500 MHz,
this does not mean the device will cease to function at 501 MHz. It will function at
501MHz, itmayevenfunctionat600MHz, andyoumaybeabletouseitthere, butbear
inmindif youdo other parameters may not remaininspecicationsuchas minimum
248 Practical HF/VHF/UHF RF power amplier realization
Gain. Thedesigner who does operatetransistors far abovetheir maximumfrequency
shoulddosoonlywithagoodamount of designmargin.
Thegain specicationof RF power transistors depends onmany factors, frequency
of usage, how the device is matched (narrowband versus broadband), output power
level, temperature and load VSWR. Usually, a manufacturer will rate a device at a
minimumgainat aparticular frequency. Thisisaminimumvalue; however thedevices
they supply will usually havegaininexcess of this amount. For theapplicationwhere
large quantities of ampliers are expected to be produced, be cautious to design an
RFPA stagethat anticipates the minimum, and NOT typical gain of thedevice.
Thereason is that over time, thewafer fabrication process may yield transistors with
lower gainthanthetypical value, andif youhavedesignedastageto requireadevice
with typical gain, if a lot code of transistors is delivered with minimumgain, your
amplierwill beoutof specicationandtherewill benorecoursewiththemanufacturer.
A way of insuring your transistors are more uniformin performance is to put their
performanceunder therestrictionsof asourcecontrol drawing, or SCD. TheSCD isa
documentof mutuallyagreeduponRF Transistor performancespecications, wherethe
two parties in theagreement arethetransistor manufacturer and RFPA manufacturer.
This document calls out tighter performancespecications than exist on thestandard
devicedatasheet. It will forcethedevicemanufacturer tocherrypick devicesfroma
lot that meet thevaluesintheSCD. Thiswill invariably leadtohigher transistor costs,
especiallyif thevolumeislow,butthisissuecanbeeradicatedbyhigh-volumeproduction
quantities.
Howefcient atransistor operatesistiedinclosely withwhat classof amplication
thetransistor isbiasedto, if it isoperatedbroadbandor narrowband, what loadVSWR
it seesandwhat typeof power combiner (zerodegreeversusquadrature) isusedtosum
thepower of multiplestages. Usually, theefciencylistedonadatasheet wasmeasured
under narrowband, conjugatematchedconditionssobroadbandefciencywill belower
andfrequency/output power level dependent.
Thethermal resistancevalueis ameasureof howeasily thedevicecanremovethe
heatitsdiegeneratestoanexternal heatsink. Selectingadevicewiththelowestpossible
thermal resistancewill yield lower dietemperatures and failurerates. A low thermal
resistancealsoprovidesfor better pulsetilt performance.
The breakdown voltages quantify what level of voltage the device will fail at, the
larger this value the more a particular device will tolerate load mismatches. Device
manufacturersspecifytransistorstohandlesevereloadVSWRs, andtheymayinfactbe
abletowithstandloadVSWRsof 10:1; however, sometimesasevereloadVSWR may
precipitateoscillationswhichinturncandamagethepart.
Thethresholdvoltages showarangeof wheretheparticular devicebegins to draw
current based on a gate bias voltage. This voltage and its variation as a function of
temperaturewill playakeyroleinthedesignof thebiasthermal trackingnetwork.
Theinput andoutput impedanceof theRF transistor ischaracterizedbylargesignal
impedanceparameters. This is usually presented on thedatasheet as complex series
equivalent impedancethat is plottedonaSmithChart. Thelower theinput andoutput
impedances are the more difcult it becomes to match it to 50 O. The lower the Q
of the impedances the better, as devices with a low Q lend themselves more readily
6.4 RFPA system level design overview 249
Figure 6.4 Genericcircuit architecturefor athermallycompensatedbiasnetwork.
to broadband operation. These impedance levels provide a good starting point when
determininginput andoutput transformationratios.
Transfer function plots provideagoodvisual indicationof howadeviceperforms
over aspecic dynamic rangeof output power levels in terms of gain, efciency and
distortion.
Scatteringor S-parametersandX Parameterswhenprovided, will enablecom-
puteraidedsimulations. CADsimulationsareaninvaluabletool tooptimizeinput/output
matching, broadbandgainandefciency.
I nput, reversetransfer andoutput capacitancesareparasiticcapacitancesthat exist
withinthesemiconductor device. Thesecapacitancevaluesaredynamic(i.e., theyvary
withDC supply voltageandoutput power level). Thelower thecapacitancevalues the
better as they will inuenceahost of parameters includinggain, maximumoperating
frequency, stabilityandphaselinearity.
6.4.3 RF power transistor bias/thermal tracking networks
Asdiscussed, therearethreebroadclassesof RF power transistor:
r
BJ Ts;
r
metal oxide semiconductor FETs (vertically and laterally diffused, VDMOS,
LDMOS);
r
galliumdevices: arsenide(GaAsFET) andnitride(GaN).
All three transistor types require unique bias networks and some formof thermal
trackingtohelpmaintainrelativelyconstantquiescentcurrentswhilebeingsubjectedto
varyingthermal environments. Withoutthethermal trackingnetworks, RF biascurrents
may tend to drift and move into bias points that yield excessive or unstable gain or
undesirabletransfer functions.
Figure6.4showsasimpliedblockdiagramfor athermal trackingnetworkarchitec-
turethatmightbiasBipolar, LDMOS/VDMOSandGaAs/GaNFET transistors. Bipolar
250 Practical HF/VHF/UHF RF power amplier realization
biasingrequires ahigher current capability thanMOSFET devices. Bias networks for
FETs, inthesimplestform, canbeasimplepotentiometer. Inanycase, thebiasnetworks
mustcontainsomesourceof temperaturedependentvoltage. Thermal tracking(or com-
pensation) isaway toreducebiascurrent (or voltage) suchthat thequiescent currents
andbiaspoint of theRF transistor remainsreasonablyconstant over temperature.
Thebiasnetworksfor GaAsFET or GaN devicesaremoreelaboratesequencednet-
works, i.e.; thegateand drain voltages aresequenced or turned on/off in adened
order so as not to damagethedevice. GaAs transistors will draw heavy and perhaps
destructivelevels of draincurrent if adrainvoltageis appliedwithzero gatevoltage.
Tosafely turnthesedeviceson, thegatevoltageneedstobebrought negativerst, the
drainvoltageisthenapplied, andthegatevoltageisincrementallyraised. Theprocessis
reversedtoturnthedeviceoff. Themainroll of thesequencer istocontrol thisprocess.
6.4.4 RF input/output coupling/decoupling networks
TheinputandoutputcouplingcapacitorsarechosentoblockDCandprovideminimum
capacitivereactanceat thelowendof theampliersfrequencyrange. Thesecapacitors
mustmaintainresonancefreeoperationacrosstheampliersoperatingband. Capacitor
manufacturers datasheetsusuallyshowatypical capacitorseriesresonanceperformance
curvesover aparticular frequencyrange. Thesecurvesconveythatevenchipcapacitors
will series self-resonate at some frequency. For narrowband applications, the series
resonant frequency of aparticular chipcapacitor will bethebest frequency to usethe
deviceasacoupling/decouplingcapacitor asit exhibitsthelowest possibleimpedance.
RF chokes areemployedtodecoupletheRF signal andtofeedintheDC operating
bias and supply voltages/currents. As in the case of coupling/decoupling capacitors,
theRF chokes should also exhibit resonance-freeoperation whereaparticular choke
exhibitsonlyinductivereactanceacrosstheentirebandof intendedamplier operation.
6.4.5 Power transistor impedance matching
Thereareavariety of methods to matchtheimpedances of anRF power transistor to
50O. Whatmethodtouseisdeterminedbythefrequencyrangeandrequiredbandwidth.
Highfrequencyandveryhighfrequency(HF, 130MHz, VHF 30300MHz) RFPAs
are best matched with discrete LC networks for narrowband and transmission line
transformersfor broadband(>1octave) applications.
Ultra-high-frequency(UHF, 300MHz-1GHz) RF power transistorsarematchedwith
printedmicro-strip, transmissionlinetransformersor combinationsof thetwo.
For theHF to UHF frequency range, transmission linetransformers areby far the
most versatile matching technique as they are architecturally identical regardless of
whereinthefrequencyspectrumtheyareapplied. Thefrequencyrangemaybedifferent,
however thetransformer coaxial impedances and interconnections arethesame. How
long thecoaxial lineelements are, and whether or not they areferriteloaded arethe
primary differences betweenatransformer operatingat HF or UHF frequency ranges.
Inadditionto extremebandwidthcapability, thetransmissionlinetransformer has the
6.4 RFPA system level design overview 251
Figure 6.5 Schematicof anRLC feedbacknetworkappliedtoanenhancement-mode, N-channel
MOSFET.
ability to convert an unbalanced signal to a balanced drive required for commonly
available Gemini RF Power transistor packages that are prevalent in this frequency
range.
6.4.6 Feedback networks
Feedbackcanbeemployedtoreducelow-frequencygainandhelpimprovetheindividual
amplier modulegainatness. Figure6.5showsthegeneric circuit architecturefor an
resistive-inductive-capacitive(RLC) feedback network. Theinductor (L) andcapacitor
(C) arechosen to resonateat thelowest operating frequency of theRFPA. Theintent
istohavemaximumnegativefeedback wherethegainof thetransistor isgreatest. The
capacitor will alsoblocktheDCsupplyvoltagefromreachingthegates(or bases) of the
RF transistors. Thevalueof (R) adjusts theamount of feedback. This represents only
onemethodof RF Feedback, thereareother morecomplexmethods(transformer based)
that achieveDC isolationbymagneticallycouplingthefeedbacksignal.
6.4.7 Thermal management
Whilegenerallynotconsideredpartof theRFPAscircuitry, themethodbywhichheatis
removedfromanRFPA isequallyvital. Improperheatremoval canleadtodegradationof
anarrayof RF performanceparametersincludinglinearity, efciency, gainandstability,
252 Practical HF/VHF/UHF RF power amplier realization
etc. Inaddition, higher operatingdietemperatureequatestoreducedoperatinglifetime
andincreasedFailureRates.
For most applications, forcedair coolingisadequate, inhigh-altitudeairborneappli-
cations, liquidcoolingis apreferredoptionas thereductioninair density inhibits the
efcacy of forced air. In either case, however, the thermal interface between the RF
power transistor andthemodulebase, heat sinkor chill plateiscritical, sothedetailsof
creatingaproper transistor angethermal interfacearecovered.
6.5 Hypothetical amplier design example: (20400 MHz RFPA subsystem
module for 1 kW amplier application in electronic warfare-
communication jamming)
To helpillustrateandbetter convey atypical RFPA modulerealization, ahypothetical
amplier designexampleispresented. Theprocesswill involve:
r
hypothetical applicationexampleoverview;
r
RFPA qualitativespecicationdelineation;
r
RFPA specicationquantication;
r
RFPA modulehardwaredesign;
r
RFPA modulephysical construction;
r
test setup;
r
test results.
6.5.1 Hypothetical application example overview
RF Power ampliersthatareusedfor electronicwarfare(EW) communicationjamming
applicationsmusthaveverybroadbandwidthcapabilitysuchthattheyhavetheabilityto
jamvariouscommunicationbands. Frequenciesintherangeof 20200MHzarepopular
for land/mobile communications and military aviation bands heavily populate 225
400MHz. It is benecial to haveoneamplier cover bothbands so thedesigngoal is
tospan20400MHz. Theamplier will beusedtoselectivelyinhibit communications;
itsoutput will beconnectedtoabroadbandantenna, sotheloadVSWR will deviatefar
fromaperfect match.
6.5.2 Amplier qualitative specication delineation
Thefollowing is alist of specications that arecritical to broadband jamming appli-
cations. This is acursory initial attempt, only eldtrials andbetatestingwill reveal if
certainparametersaremoreessential thanothers.
1. Highpower: theRFPA must haveenoughoutput capability tooverpower receivers
andblockenemysignal transmissions.
2. Broadbandwidth: theRFPA musthaveadequateoperatingfrequencyrangetocover
avarietyof communicationbands.
6.5 Hypothetical amplier design example 253
3. High gain: theamplier must haveenough gain such that it can bedriven to full
power output byasmall signal level input.
4. Flat frequency response: theamplier shouldperformuniformly at all frequencies
withinthedesiredrange.
5. LoadVSWR tolerant: sincetheRFPA will beusedtojampoint topoint communi-
cations at various frequencies; it will morethanlikely haveto driveantennas that
present less than ideal load VSWRs which may go as high as 5:1. Theamplier
must beabletodriveintotheseloadswithout damage.
6. Stability, spectral loadpull: as theRFPA must not bedamagedby drivingadverse
loadVSWRs, it also shouldnot oscillateat unacceptably highpower levels under
theseconditionsaswell.
7. Linear: althoughhighgainlinearityisnotusuallycritical, certainjammingsituations
will requiretheRFPAsoutput levelstobepreciselycontrolled.
8. Temperaturerange/stability: theamplier will bemost likely usedinadverseeld
environmentswherehightemperaturesarecommon. Theamplier will beexpected
toprovideacceptableperformanceinthesetemperatureextremes.
9. Altitude: theampliermaybeinanavionicsplatformif itisexpectedtojamairborne
communications, inthiscase, forcedaircoolingwill notbeanoption, liquidcooling
andchill platesarepreferable.
10. Shock/vibration: mostmilitaryampliersaredeployedinmobiletransportenviron-
ments. Abilitytowithstandsevereshockandcontinuousvibrationisessential.
11. Radiatedemissionsandsusceptibility: whiletheRFPA isintendedtorender specic
enemy communicationequipment ineffective, it must not interferewithor haveits
own operation impaired by other colocated equipment. The RFPA must then be
adequatelyshieldedfor EMI.
12. Meantimetofailure: theamplier, aboveall, must bereliable, liveswill dependon
it. Toensurethis, thetransistor silicon(or die) temperaturemust bekept aslowas
possible.
6.5.3 Amplier specication quantication
With a generic list of required specications, the next step is to assign quantities to
eachlineitem. SincethefocushereisonHF/VHF/UHF RFPAs, thespecicationsthat
directly address thepower amplier modulewill becovered quantitatively. (Note: for
ease of illustration, quantities will be loosely assigned and may not represent actual
systemrequirements, whichinmanycases, isclassiedinformation).
r
systempower output: 1kW, continuouswave, minimum;
r
bandwidth: 20400MHz, minimum;
r
gain: 60dB, nominal;
r
gainatness: / 3dB, maximum;
r
antennaloadVSWR: -5.0:1, maximum;
r
stability, spectral loadpull-45dBcupto5.0:1loadVSWR, maximum;
254 Practical HF/VHF/UHF RF power amplier realization
Figure 6.6 Systemblockandwiringdiagramfor 20400MHz, 1KWRFPA system.
r
gain linearity (AM to AM distortion): / 1.5 dB over 20 dB dynamic range,
maximum;
r
phase linearity (AM to PM distortion): / 10

C over 20 dB dynamic range,
maximum;
r
temperaturerange: 10to50

C;
r
altitude: 40,000feet AMSL, maximum;
r
shock/vibration: 10Grms, sixaxis, maximum;
r
radiatedemissionsandsusceptibility: Mil-Std-461E;
r
meantimetofailure: >100,000h, minimum, calculated.
6.5.4 Amplier hardware design/realization
Therequirement hasnowbeendenedquantitatively. Theprocesstostart thephysical
realization begins with the SystemBlock and Wire diagramas shown in Figure 6.6.
Thesimplieddiagramshows all thestages intheRFPA system. Whiletheredoexist
RF transistorsthat candeliver 1kWof CWoutput power, thechallengebecomes heat
removal andAC couplingof theRF signal. If anRF deviceputsout 1kWof RF power
at, for example, 50%efciency, then1kW of heat will needto bedissipated. Addin
bad load VSWR and the dissipation will worsen. In addition to heat dissipation, the
RF current that will bepresent at thelow-impedanceoutput of a1kW transistor will
tax even thehighest quality chip capacitor. It will beamorereliableapproach to use
multipleRF power transistors.
One of the benets of a systemlevel block and wiring diagramis it shows the
insertion gain, loss and RF power levels as power propagates through the amplier
6.5 Hypothetical amplier design example 255
stages. Itdisplaysjusthowmuchpower islostthroughdirectional couplers, RF dividers
andcombiners.
Theloss values shownintheblock andwirediagramarefromactual couplers and
hybridcombiners. Notethatfor anamplier toproduce1kWof linear loadpower intoa
5:1VSWR it actuallyneedstobecapableof driving2788Wof power intoa50O load.
After addinglosses for thecoupler andcombiners, thetotal requiredRF transistor die
power is about 4172W. Choosingabinary multipleport combiner with32ports, then
4172Wdividedby32yieldsabout 130W. WenowhaveanapproximatemaximumRF
transistor output power.
Thenext phaseis RF deviceselectionwheretheselectionguidelines arefor anRF
power transistor capableof 20400MHz operational bandwidthat apower level of at
least 130Wof linear power.
Thesystemblock andwirediagramis brokendownfurther inFigure6.7toablock
diagramof thebasicRFPA module.
6.6.5 RF transistor selection
Current popular output power ranges for RF transistors are 100, 150, and 300 W of
output power. Sinceweneedapproximately 130W of CW output power, thefocus is
onthe150W rangeof CW RF power transistors that aredesignedfor operationupto
400MHz.
An overview of classes of transistors was rst considered. Since the frequency of
operationwasonlyto400MHz, GaAsandGaNdeviceswereruledout. Theywill work
farinexcessof 400MHz; theaddedcostforthisunneededcapabilityisnoteconomically
justiable.
BJ Ts were not selected due lower gain and most importantly, a limited amount of
devicemanufacturers. Fewmanufacturersmeanalowprobabilityof obtainingasecond
source (i.e., an alternate manufacturer with an equivalent part that will replace the
primarydeviceintermsof form, tandfunction)of BJ Ttransistors. Itsoundsinnocuous,
butnothavingasecondsourceof areplacementRF transistor isaverydifcultsituation
tobein. Yousimplydonot want toonedayndyourself inthisparticular xandheres
howthismight happen:
1. RF transistor semiconductor wafer fabricationprocessesareckle, althoughtheyare
tightlycontrolled, intheendtheyarerunbyhumans. Atanypointintimeaparticular
device process control can vary yielding devices that may work on a substandard
level andcanrender anRFPA withslimdesignmarginsinviolationof specication.
2. RF transistor devicemanufacturers have, canandsomeday may either discontinue,
de-rateor sell aparticular lineof transistorstoanother manufacturer.
If eitherof thesesituationsoccur, aonceprotableRFPA designcanovernightdevolve
intoalabqueen (anamplier that canonlymeet specicationbycopiousamountsof
tuning, requiringdaysor weeksinthetestlab) or, evenworse, causeastopproduction
modewhereshipmentshaveceasedleavingtheRFPA engineer (yes, thiswouldbeyou)
franticallysearchingfor analternativepart.
256 Practical HF/VHF/UHF RF power amplier realization
Figure 6.7 Multilevel breakdownof anRFPA moduletocomponent level.
6.5 Hypothetical amplier design example 257
4 8
5
5.2
5.4
5.6
2

a
m
p
s
D1020UK V
gs
vs Temp
4
4.2
4.4
4.6
4.8
5
5.2
5.4
5.6
V
g
s
f
o
r

I
d
s
=

2

a
m
p
s
Flange TemperatureC
Figure 6.8 2A I
dq
biaspoint of theD1020UK versustemperature.
This leaves the MOSFET class of devices. For this particular application, either
vertical orlateral MOSFETswill workequallywell. Theselectionprocessnowdescends
tosegregatingpartsthat workacrossthe20400MHZbandat aminimumof 150Wof
linear CWpower withamanufacturer recommendedapplicationfor communicationsin
theHF/VHF andUHF bandandaloadtoleranceat least upto5:1VSWR.
Several devices wereconsidered, their datasheets comparedandRFPA stages were
constructedandactual test datacompared. TheSemelabD1020UK wasselectedbased
onitsabilitytosatisfythedesigncriteria. Thedatasheet for thisdeviceisinFigure6.3.
6.5.6 Gate bias/temperature tracking/compensation network
Thegatevoltageversustemperatureplot of theD1020UK isshowninFigure6.8. This
was obtainedby biasingthedeviceto 2A quiescent andvaryingthegatevoltagefor a
constantI
dq
astheambienttemperaturewasvariedfrom50to150

C. Notethegate
voltagelevel tosustain2A quiescentdropsapproximately4.4mVDC/

C. Inotherwords,
if thegatebias voltageis held constant over increasing temperature, by virtueof the
factthatthe2A biasvoltagepointiscontinuouslyloweringwithincreasingtemperature,
thenthexedgatebiasvoltagemigratesbydefaultintohigher andhigherdraincurrents.
Thismakesthegainandoperatingclasspoint of theFET dependent ontemperature. To
mitigatethisissue, anopenloopthermallytrackedbiasvoltagecanbedeployed. Thisis
accomplishedbymountingatemperaturesensingICmechanicallyadjacenttothedevice
that istobecompensated. Ideally, it isdesiredtohavethebiasvoltagedroppedby the
equivalentamountthatthe2A gatebiasvoltagepointdrops. Whatisniceaboutthebias
voltagevariationof theD1020UK isthatitisapproximatelylinear. Thismakesiteasyto
correct astemperaturesensorsthat havelinear outputs(inmV/

C) arereadilyavailable.
258 Practical HF/VHF/UHF RF power amplier realization
0.1
V
temp
Figure 6.9 Operational amplier basedthermal trackingcircuit for theD1020UK.
2
2.5
3
m
p
s
)
D1020UK Quiescent Bias Current vs Temperature
0
0.5
1
1.5
2
2.5
3
-10 0 10 20 30 40 50
D
1
0
2
0
U
K

I
D
Q
(
A
m
p
s
)
Ambient Temperature (Degrees Celsius)
Uncompensated
Compensated
Figure 6.10 Comparisonof thequiescent currentsof D1020UK without (dashedtrace) andwith
(solidtrace) athermallytrackedbiasvoltage.
All thatneedstobedoneistoadjustthetransferfunctionslopeof thetemperaturesensor
suchthat it hastheinverseslopeof thegatevoltage.
The gate bias/temperature compensation network for the amplier is shown in
Figure 6.9. It consists of a simpleprecision variable voltage divider (5 VDC) net-
work that is summed together with a temperature dependent voltage (Vtemp) that is
mechanically linked to theRF transistor to lower its bias voltageas thedeviceheats
up. Thepart chosenfor thetemperaturesensor istheAnalogDeviceTMP35whichhas
a scale factor of about 10 mVDC/

C. The 5 K O resistor and 10 KO potentiometer


adjustthisscaledownto4.4mVDC/

Candthenitissummedintotheprecisionvariable
5VDC reference.
ThisisanopenloopcompensationnetworkandhelpstheD1020UK maintainamore
temperaturestablebiascurrent. Figure6.10showsthedraincurrent versustemperature
with and without thermal tracking. Without thermal tracking theDrain current varies
6.5 Hypothetical amplier design example 259
1.1A over the 10to50

Ctemperaturerange. Addingthethermal trackingnetwork


reducesthisbyover 80%to0.2A of draincurrent variation.
Whilethis is consideredgoodperformance, it is important to notethat this is open
loopcorrectionandthetemperaturecompensationaccuracy canvary fromlot codeto
lot codeand also on thesamedevicedueto memory effects. Thermal compensation
networkscanbedesignedwithgreater accuracyif theytakeintoaccount thebehavioral
modelingof aparticular classof device.
6.5.7 Input/output RF/DC coupling/decoupling networks
A coupling/decouplingnetwork is merely another namefor capacitors andcoils inan
RFPA. CouplingnetworksareusuallycapacitorsthatpasstheRF power signal fromone
devicetoanother whileblockingDC voltages andcurrents. Decouplingcapacitors are
usedtobypassRF signalstoground. Inductorsincouplingnetworksperformtheduality
function, that istheywill passDC voltagesandcurrentswhilesuppressingRF signals.
InabroadbandRFPA, thevaluesselectedfor thesecomponentsaresolvedfor at the
extremesof theoperatingbandedges. Thesenetworkswill bebasedrelativetothelarge
signal input andoutput impedancesof theD1020UK.
Thecoupling/decouplingcomponentsgenerallyhavetomeet threecriteria:
r
satisfyaminimumreactance(impedance) requirement at thelower frequencylimit;
r
handlehighDC andRF currents, voltages, andpower;
r
sustainresonance-freeoperationbeyondtheRFPA upper frequencylimit.
Byviewingthethreerequirements, oneneedonlysolvefor acomponent valueat the
lower bandedge. Theremainingcriteriaareassessedbymanufacturersdatasheetsand
component vericationtestingonavector networkanalyzer (VNA).
Thevalues for thecouplinganddecouplinginductors andcapacitors aresolvedfor
in thenext section as it will berequired to seewhat impedancematching network is
requiredrst.
6.5.8 Input/output impedance matching networks
Thereisamultitudeof waystomatchtheinput andoutput impedancesof anRF power
transistor. If onelooks at thecharacteristics of theinput andoutput impedanceof the
D1020UK, it becomes apparent (at least for abroadbandamplier application), that it
will bedifcult, if not impossible, toprovideanexact, complexconjugatematchfor the
deviceat all frequenciesandinput drive/output power levelstobeexpectedtobeused.
A balancehastobestruckbetweenwheretochoosetomatchaparticular device. On
theinput, thedeviceshould bematched at thepoint in thefrequency rangewhereits
gain is lowest (thehighest frequency it will operateat). Theoutput is matched at the
highest level of expectedRF output power.
To match impedances over multiple octaves in the HF/VHF/UHF band, the trans-
mission line transformer is the most effective method. In addition, it converts an
260 Practical HF/VHF/UHF RF power amplier realization
unbalancedsignal toabalanceddriverequiredfor Gemini packagedtransistorssuchas
theD1020UK.
The transformer, while able to transformimpedances over wide frequency ranges,
only does axed transformation ratio. RF power transistor terminal impedances will
vary, soatransformerwill transformimpedancesof atransistoreffectivelyoveralimited
frequencyrangeandoutput power level. Outsideof theseareas, input VSWR, gain, and
efciencywill suffer.
Starting with the input matching network, the input terminal impedance of the
D1020UK is extractedfromits Smithchart onthedevicedatasheet. Thegateto gate
seriesequivalentinputimpedanceat400MHzis0.4-j2.3O. Convertingthistoaparallel
equivalent impedanceyieldsareal portionof 13.62O fromgatetogate. Dividing50O
by 13.62equates to 3.67:1. Thenearest realizablebalanceddrivetransformationratio
is4:1.
A rough estimate of output impedance from drain to ground is given by the
equation:
R
o
=
V
2
dd
2P
o
(6.1)
The transistor will be operated at 28 VDC and at approximately 75 W of power
per side. This estimates approximately 5.23 O fromdrain to ground. The pushpull
congurationof theGemini packagedoublesthisto10.45O. Wecanalsoextractaclose
valuealsofromtheSmithchart draintodrainseriesequivalent impedanceaswasdone
for theinput. Theseries equivalent valueis 0.9-j3 O at 400 MHz. Converting to the
parallel equivalent yieldsareal portionof 10.84O. Dividing50O by10.45equatesto
4.78:1. Again, thenearest realizableratiowithabalanceddrivecapabilityis4:1.
Thetermrealizableratiomeansavalueof transformationratiothatcanbephysically
constructedwithanitenumber of transmissionlines. For aparticular transformation
ratio to be physically realized, the square root of the transformation ratio must be a
rational number. If it isnot, aninnitenumber of transmissionlineswouldberequired
torealizetheratio, anobviousimpracticality.
For boththeinput andoutput transformations, anapproximate4:1ratio is required.
Invokingatopological networksynthesisprocedure[1] for transmissionlinetransform-
ers, therst stepis to satisfy thenecessary andsufcient conditions for nitecoaxial
element equal delaytransmissionlinetransformers:

N = Rational Number (6.2)


whereN= requiredtransformationratio. Thesquareroot of 4is2, arational quantity.
Thenecessaryandsufcientrealizabilityconditionissatised. Thesynthesisprocedure
cannowbeginwiththereasonableexpectationof aphysicallyrealizablenetwork.
Therst stepistodeterminethenumber of coaxial linesintherst subgraph:
n
1
=

4= 2. (6.3)
wheren
1
is thetruncationof thesquareroot of thetransformationratio N, andinthis
caseisthenumber 2.
6.5 Hypothetical amplier design example 261
Z
in
Z
o
Z
o
Z
out
Figure 6.11 Subgraphresult of atopological synthesisof a4:1transmissionlinetransformer.
Z
in
Z
o
Z
o
Z
out
Z
o
Figure 6.12 Completedunbalancedtobalanceddrive4:1transformer network.
Thesynthesisprocedurewill terminateif:
N
1
=

N n
1
= 0
N
1
=

42= 0
(6.4)
Theprocess terminates andtwocoaxial lines areinsertedintosubgraph1as shownin
Figure6.11. Thecharacteristicimpedance, Z
0
, of thecoaxial linesissolvedfor by:
Z
0
=
_
R
s
R
l
(6.5)
whereR
s
andR
l
arethesourceandloadresistancesterminatingthetransformer, respec-
tively. These values are 50 and 12.5 O and solve for a characteristic impedance of
25O. Thesynthesisprocedureprovidesatransformer architecturethat isapplicableto
unbalancedtounbalancedloads. TheD1020K inapushpull congurationdemandsa
balanceddrivenetwork. Thereforethetransformer of Figure6.11needstobeconverted
to a true balanced network (one that would present an electromagnetically balanced
distributed network) by interchanging the shield and center conductors of the lower
transmission lineelement. Thetransformer conguration nowprovides abalanced to
balanced drive. A 1:1 balun transformer is added at thehigh impedanceport to pro-
videtheunbalancedtobalanceddriveconversionsuchthat theinput tothetransformer
canbereachedby groundreferencedcoaxial or microstripfeeds. Thenal 4:1unbal-
ancedto balanced transmissionlinetransformer architectureis realizedandshownin
Figure6.12.
Thesynthesized 4:1 architectureis aboiler plate circuit structure, that is, in this
formatitisanengineeringconstructthatcanconceivablyworkinbroadfrequencyspans
262 Practical HF/VHF/UHF RF power amplier realization
Figure 6.13 Simpliedparasiticmodel of 4:1transformer network.
anywherefrom10kHztowell over1GHz. Thatscomforting, buttheRFPA specication
only requires20400MHz. Thetransformer architectureneedssomemassagingtoget
it to have a sweet spot of 20400 MHz. By sweet spot it is desired to have the
transformers absolute values of insertion loss minimized and return loss maximized
from20400MHz.
Intheworldof engineeringconstructs, thephysical transmissionlinetransformer is
anideal transformer nestedinaplethoraof parasitic reactances. Thesereactances will
limittheusablefrequencyrange. Thereactancesthatlimitthelowerandupperfrequency
rangeof thetransmissionlineareprimarilyinductiveinnature. Thelow-frequencyrange
islimitedbyshuntinductance, thehighendislimitedbyparasiticseriesinductance, and
theseareshownschematically inFigure6.13. Parasitic distributedgradient capacitive
reactances will resonate with line inductances and may cause in-band insertion loss
suck-outs, a narrow band of frequencies within the pass band where the insertion
lossspikestovery highvaluesandthenreturnstolowvalues. Additional lossesinthe
transformer arefromferritecores, coaxial linedielectric, copper conductorsaswell as
radiationlosses.
After realizing the4:1 architecture, thenext step is ferriteloading thecoaxial line
elements inorder to suppress evenmode(nontransmissionline) currents andcreatea
netmutuallycoupledinductancethatisinfar excessof theimpedancetobetransformed
sothat itsparallel loadingeffect isimmaterial.
As shown, a physical transmission line transformer is a complex model, an ideal
transformer, mutually coupled inductors, parasitic reactances, and transmission lines.
Anequivalentcircuitof themutuallycoupledinductorsisshowninFigure6.14.Thereare
essentiallyfourinductorsinthe4:1transformersection, twoineachcoaxial transmission
line. For all intentsandpurposes, insidethecoaxial lines, thecoefcient of couplingis
6.5 Hypothetical amplier design example 263
L
4
L
4
L
3
M
12/21
M
34/43
L
2
L
3
L
2
L
1
L
1
i i
Figure 6.14 Mutuallycoupledinductancesof a4:1transmissionlinetransformer.
consideredbi-directionally unity, that is, all theux generatedby thecenter conductor
of thecoaxial lineislinkedtotheoutershieldandviceversa. The4:1isopencircuitedto
helpvisualizethemutuallycoupledinductorswithacommon-modecurrent, i, owing
throughall four inductors. Thenet valueof this inductivereactanceshouldbeat least
510timesthevalueof impedanceof 50O soit doesnot adverselyloaddownthevery
impedancesthetransformer istryingtostepup. Thepathfor ndingthetotal inductance
startswith:
:
i
= L
1
di
dt
M
12
di
dt
L
3
di
dt
M
34
di
dt
L
2
di
dt
M
21
di
dt
L
4
di
dt
M
43
di
dt
(6.6)
where
M
xy
= k
_
L
x
L
y
(6.7)
and
k = 1
L
x
= L
y
(6.8)
So
L
1
= L
2
= L
3
= L
4
= M
12
= M
21
= M
34
= M
43
L
t
= 8L
SW
(6.9)
What theaboveequations stateisthat duetothe4:1transformer congurationof four
mutual, bi directionally unity couplingcoefcient inductors, to solvefor thenet shunt
inductance, simplymultiplytheinductanceof whatwill resultfromwindinganinductor
of asinglewireonagivenferrite(L
sw
) byeight.
Wewouldliketohavetheshunt inductancetobe>510timesgreater than50O so
asnottoloaditdown. Wealsomustkeepthelengthof the25Ocoaxial linesasshortas
possiblesoasnottoincur in-bandresonancesintheresponseof the4:1transformer. We
thereforestartoutwithkeepingthenumber of turnsthroughaferritecoretoaminimum
of twoturns.
264 Practical HF/VHF/UHF RF power amplier realization
Figure 6.15 Measuredresultsof mutuallycoupledinductancesof a4:1transformer.
Solvingfor therequiredinductancefactor at 20MHz:
X
l

= l

= j 500

= 8n
2
A
l
(6.10)
wheren= number of turnsthroughtheferritecore= 2. Notethat theaboveequationis
lacedwithapproximately equal to (

=) signsinsteadof equal to (=). Thereasonis


that theinductancefactor toleranceof ferritetoroidsisabout/ 25%at best, sothere
is littlepoint in trying to solvethis equation precisely as any inductor or transformer
youmight construct usingferriteswill varywildlyinvalue.
It hasbeenfactoredinaheadof timetohavetheshunt inductanceof thetransformer
tobe510times50O, soif youlandinthisregion, thetransformerslow-endresponse
will beacceptable. Theequation was nevertheless solved and an approximateinduc-
tancefactor of 124nh/n
2
was calculated. A ferritecorefromceramic magnetics (Part
6.T503125T-C2050) was chosen as its measured inductance factor is 100 nh/n
2
. The
transformer is constructedwith25O coaxial lines withtwoturns througheachferrite
toroidcore.
Thetransformer wasevaluatedonaVNA withthelow-impedancesideof thetrans-
former loadedby anopencircuit. This will measuretheparallel inductance. Theplot
in Figure6.15 shows theresults with thevalueof inductivereactanceat an adequate
level of 4.3 H which yields a parallel inductive reactance of j540 O, more than
enoughsoasnot toimpair thelow-frequencyreturnlossresponse. Thetransformer can
6.5 Hypothetical amplier design example 265
Figure 6.16 Input returnlossof the4:1input transformer with6.25O chipresistor terminations
onthelow-impedanceport.
then be terminated with 6.25 O chip resistors to evaluate how the device transforms
theresistances to 50 O. Thegraph of thetransformers input return loss is shown in
Figure6.16. Ityieldsanaverageof 21.6dBof returnloss. Theplotof thetransformers
input Insertion Loss is shown in Figure6.17, (notethevalues on thedataneed to be
dividedby twoas theplot is of thetransformers returnloss withanopencircuit load,
hencetheinsertionloss is half). Thereis asmall resonanceat 383.62MHz, thesecan
occur inultra-broadbandtransformers, thebest way to mitigatethemis to shortenthe
lengthof thecoaxial lines. Typically, thetransformerwill alsohaveseriesinductivereac-
tancethatwill impair thehigh-frequencyrange. Thiscanbetunedinwithcompensation
capacitors. Althoughthesecanbecalculated, it isfairlyquicktooptimizeatransformer
bysubstitutingdifferentvaluesof shuntcapacitanceduringtestproceduresandselecting
thevaluethat yieldsthebest broadbandreturnloss.
Since both the input and output ports of the amplier demand a 4:1 transformer,
thesamedevicearchitecturewill beusedbothports. Theoutput transformer useslarger
cross-sectional areaferritesandlargerdiametercoaxial cabletoaccommodatethehigher
power levels.
Withtheimpedancematchingtransformerssolvedfor, it isnowafairly simpletask
to go back to solvefor thecoupling capacitors. Weknowthecapacitancevaluemust
present alowreactanceat 20 MHz. Thecoupling capacitors areto beinserted at the
266 Practical HF/VHF/UHF RF power amplier realization
Figure 6.17 Low-impedanceopenport returnlossof 4:1transformer (toobtaininsertionloss,
divideplottedvaluesby2).
50O point betweenthe4:1and1:1transmissionlinetransformers. It isdesiredtohave
thereactanceat least 1/100
th
of 50O or lessthanj0.5O at 20MHz. Solvingfor C:
C
1
.0.5
= 0.0159F (6.11)
The maximumamount of average current that the series coupling capacitor will see
occursat 150WCW, therefore
I
max
=
:
rms
z
=

P
max
.z
z
=

150.50
50
= 1.73A(rms) (6.12)
Basedonthethreecriteriasetearlierwehavetwoof themsolvedfor, theminimumvalue
of capacitanceandthemaximumaveragecurrent witha50O load. Tobeconservative,
themaximumcurrent will bedoubledtoaccount for drivingadverseloadVSWRs. The
D1020UK isa28VDC device, sotheDC operatingvoltagemust beinexcessof this
value.
Thechipcapacitorselectedisa0.1F, 50WVDC200Bseriescapacitormanufactured
byATC. Thecapacitor canhandleover 9A of averageRF current soit will besuitable
not onlyfor theoutput couplingcapacitorsbut theinput aswell.
The remaining issue is to verify the capacitor, on its own, will maintain a low-
impedance, resonance-free, operationthroughout theentireamplier bandwidth. This
6.5 Hypothetical amplier design example 267
Figure 6.18 Impedanceof 0.1F chipcapacitor from20400MHz.
isveriedbydoingaone-port impedanceanalysisusingaVNA. Figure6.18showsthe
responseof thecouplingcapacitor acrossthe20400MHz bandwidth.
6.5.9 Feedback network
Manual calculationof thefeedbackresistancevaluecanbeveryroughlydeterminedby
thefollowingequation[2]:
R
f
=
(V
2
V
3
)
__
V
1
V
2
R
1
_

_
V
2
R
2
__ R
4
(6.13)
where:
R
f
= feedbackresistanceinO;
V
1
= voltagegatetogateat 400MHz = 9.7V rms;
V
2
= voltagegatetogateat 20MHz = 2.17V rms;
V
3
= voltagedraintodrainonD1020UK at 150Woutput = 43.3V rms;
R
1
= R
2
= impedanceonoutput of input matchingtransformer = 12.5O;
R
4
= output load, draintodrain= 12.5O.
268 Practical HF/VHF/UHF RF power amplier realization
This equation only provides a rough estimation of feedback resistance value. In a
broadband amplier, intentional negativefeedback can swingpositiveif not carefully
modeled. Inthisparticular case, theequationyieldedavalueof 52.5O. At somelevels
of drivetheamplier went intooscillation, sothevaluewasincreasedto100O andthe
amplier becamestable. Withthislevel of feedbackthegainat20MHzwasreducedby
approximately8.3dB. Thesmall signal gainplotsaregiveninSection6.5.12.
6.5.10 Test setup conguration/analysis
Prior to thediscussion of theexampleRFPAs construction and electrical test results,
someeffort will bedevotedto what is requiredto verify theamplier is specication
compliant onamodular level. It isprudent toverify complianceat amodular level for
theobvious reason that if you cant meet specication there, in certain parameters, it
is unlikely performancewill improveat asystemlevel. Knowinghowtotest anRFPA
is every bit as essential as knowinghowtodesignone. Howaccurately thetest results
are acquired will ultimately advise the RFPA engineer of how much design margin
does/doesnt exist. Thetests must beperformedonly ontest equipment that is within
its calibration cycle and has National Institute of Standards and Technology (NIST)
traceability. Thisistoinsurethat whatever test resultsyouobtainwill ultimately agree
withyour customersdata.
Eventhoughthemoduleisfairly small andmay cost only afewthousanddollarsto
fabricate, to fully analyzeand test for this particular requirement will requireseveral
milliondollarsintestequipment. Decisionswill needtobemadealongthewaywhether
or not to purchase, rent, leaseor useatest facilitys equipment. Thekey pieceof test
equipmentistheVNA. Thisunithastheabilitytomeasureinputreturnloss, transformer
insertionloss, small/largesignal gain, gainatness, andinsertiongain/phaselinearity.
Thespectrumanalyzer inconjunction with theparalleled loads and binary stepped
transmission line will monitor the modules spurious response while being subjected
to various loadVSWRs. Thebinary steppedtransmissionline[3] is simply lengths of
coaxial cable cut to specic lengths and switched in line with 2, 3, 4, and 5:1 load
VSWRssuchthat impedancesalongconstant loadVSWR circlescanbeappliedtothe
output of theRFPA module. Thisleadsback toanearlier discussioninthischapter on
thetopicof unconditional stability. Thisistheverytest that isperformedtoassessload
pull stability. It becomesapparent that it isimpossibletopresent acircuit at theoutput
of an RFPA that can emulateall impedancepoints bounded by constant load VSWR
circlesontheSmithChart.
Figure 6.19 is a block diagramthat illustrates the interconnection of a typical test
setupthat will verify asubstantial portionof themodules performance. Theoutput of
theVNA is fedto alow-level test driver to increasethepower to thepoint whereit is
sufcient todrivetheRFPA tofull power. Thepreciseoutput power level of theRFPA
issampledwithacalibrateddirectional coupler andfedtoanRF Power meter. For gain,
gainatness, andinsertiongain/phaselinearity, theVNA hastheabilitytocalibrateout
theresponsevariationsof thelow-level driver. TheVNA cancharacterizeamajorityof
theampliers frequency andpower domainresponses. Inaddition, whentheRFPA is
6.5 Hypothetical amplier design example 269
Figure 6.19 Typical RFPA module/systemtest conguration.
subjectedtotemperature, altitudeandvibrationanalysis, theverysametestsetupcanbe
deployedwiththeinitial responsetransfer functionsstoredinmemoryandcomparedas
temperatureandvibrationlevelsareincreased.
WhilethesetupinFigure6.19cancover amajority of therequiredtests, toexecute
radiatedandconductedemissionstestswill requirethesophisticatedsetupinFigure6.20.
Thesearehighlyelaboratesystems,thecenterof whichare3and10manechoicchambers
whicheffectivelyprovideacontrolledEMC environment inwhichtotest theampliers
susceptibilityto, andemissionof, EM radiation. TheRFPA isplacedina3mchamber
andsubjectedtohigh-power RF energy emittedfromclosely placedbi-conical logand
doubleridgehornantennas. Theamplier is thenmonitoredfor its ability tomaintain
speciedoperationwithout degradationof performancewhilethefrequency andeld
strengthof theradiatedRF energyisvariedover verybroadranges.
For radiatedemissions, theamplier isplacedonaturntablewithinthesemi anechoic
10mchamber;itisthenrotatedwhiletransmittingfull RFpoweroutput.Highlysensitive,
Bi-conical, Logperiodic andActiveLoopantennasarelocatedabout 20feet away and
will beelevatedandloweredbasedontheEMI/EMCspecications. Thesignal received
by the antennas is plotted on a graph with limit lines that show if the amplier is
emittingRF energy beyondacceptableamounts. Radiatedemissions is oneof thefew
requirementsof theRFPA specicationthatif theamplier isnotcompliantatamodule
level, it remains possibleto becompliant at asystemlevel sincetheamplier will be
mounted within ametal chassis that will allowfor further shielding and reduction of
emissions.
270 Practical HF/VHF/UHF RF power amplier realization
Figure 6.20 Simpliedblockdiagramof 3and10mEMC test chambers.
A highlyacceleratedlifetest (HALT) chamber isshowninFigure6.21. Thishasthe
uniqueabilitytoapplyrandomvibrationtotheRFPA moduleinsixaxes(X, Y, Z, yaw,
pitch, and roll). This is moreeffectivethan asingleor dual axis vibration tableas it
caneffectively impart vibrationenergy to themodulethat is closeinlinewithwhat it
will encounter in theeld. In addition, theHALT chamber has theability to change
temperaturefrom100to200

C.
6.5 Hypothetical amplier design example 271
Figure 6.21 HALT chamber.
6.5.11 Physical RFPA module construction
A photoof theindividual breadboardRFPA stageisshowninFigure6.22. Theprinted
circuit board with micro strip interconnecting transmission lines is mounted into an
aluminummodule. TherearetwoPCboards, onefortheinputdivider/matchingnetwork
andonefor theoutput.
The transistor is mounted in a milled-out channel 0.070" deep such that the gate
anddrainleadssit ushwiththePC board. Thischannel isperhapsthemost important
machinedsurfacewithintheentiremodule, carewastakentospecifythatithasasurface
atnessof better than5m/cmalongwithanR
A
(averagesurfaceroughness) of better
than1m. Thedevicemanufacturer hasprovidedthismountinginformation[4].
Withthematingsurfaceready, averythinlayer of thermal compoundwasappliedto
thebottomof theRF transistors ange. Thecompoundis appliedsuchthat thecolor
of the gold plating on the ange is visible through it. The idea here is that the best
thermal interfaceismetal tometal contact, but sincethesurfacesof boththetransistor
andnelymachinedsurfacearenotperfect, verysmall air pocketswill exist. Theroleof
thethermal compoundisthereforenottogetinbetweenthemoduletotransistor (metal
metal) contact, but rather to ll theminuteair pockets. Thenal stepinmountingthe
transistor is to usetheappropriatescrews withtherecommendedmountingtorque. In
thisparticular case, two440screwsweredeployedwithatorqueof 5.0in.lbs.
272 Practical HF/VHF/UHF RF power amplier realization
Figure 6.22 Breadboardof 20400MHz, 150WCWRFPA module; thermal trackingsensor is
locatedinthefront center onthemodulewall.
Theinput1:1transformerconsistsof twoturnsof 0.062
//
inch, sleeved, 50Osemi rigid
coaxial cableona0.5inchCeramic Magnetics ferritetoroidpart 6.T503125T-C2050.
Theinput 4:1transformer consistsof twoturnsof 0.062
//
inch, sleeved, 25O semirigid
coaxial cableontwoof thesamecores.
Theinput couplingcapacitorsisolatetheDCbiasvoltageonthegatesandcouplethe
RF input signal fromthe1:1tothe4:1transformer.
Thetemperaturecompensated bias voltagesensor is mounted to thesidewall and
its output is fed to the gates of the transistor through a 5 KO resistor. The resistor
values can be this high as the gates of a MOSFET present an extremely high DC
impedance.
Theoutput 1:4transformer is constructedwithaheavier gauge(0.085
//
) sleeved25
and50O semirigidcoaxial cable. Threeturnsof 25O coaxial cablearewoundthrough
CeramicMagneticstoroidpart6.T874525T-C2050for the4:1transformer andsimilarly
for the 50 O coaxial cable on the output 1:1 transformer. The selection of toroidal
transformers helps also to meet EMC requirements as transformers woundontoroids
will radiatelessRF energy.
Althoughnot shown, theRFPA modulewill bepopulatedwiththreemoreidentical
amplier stages, theoutputs of whichwill beconnectedtoafour port combiner. With
150 W of output capability per stage, one module will yield about 500 W of output
power after combiner losses.
6.5 Hypothetical amplier design example 273
Figure 6.23 RubberizedEMI gasketseatedinmilledoutchannel inRFPA modulewall topsurface.
Themoduleis amachined out enclosure, thesidewalls haveachannel milled out
toseat ametallizedrubber EMC gasket (Figure6.23) that will seal theinterfacetothe
topcover. This mechanical conguration will provideaneffectivemethodof limiting
unwanted radiated emissions at a modular level. While there exist many numerical
methods to design an RFPA to meet certain electrical specications such as power
output andgain, designinganRFPA tocomply withradiated/conductedemissionsand
susceptibility represents aformidablechallenge. This is dueto thefact that many of
thethings that inuencethemodules shieldingproperties aredifcult to model. This
will tax even themost sophisticated EM simulation programs. Therearepreventative
measuresonecantakeinthedesignphaseof anRFPA:
1. Formall inductive(transformersandchokes)componentsontoroidal coresif possible.
2. Individuallyshieldeachstagewithmilledoutenclosuresandcoversthatseal thegain
stagewithEMI rubberizedgasketing.
3. Useinlinelterconsonall DC/signal feedsintoandout of theRFPA modulewhere
possible.
4. Test eachuniqueRFPA for EMC complianceat amodular level.
6.5.12 RFPA module test results
Thenal RFPA moduleschematic is showninFigure6.24, thetransistor is connected
totheinputoutput transformers, biasadjust andthermal trackingnetworks. Thevalues
274 Practical HF/VHF/UHF RF power amplier realization
Figure 6.24 Complete20400MHz, 150WCWRFPA moduleschematic.
of compensationcapacitancewill changeanddepart fromthosethat providedthebest
returnlosswithchipresistor testterminationsandwill nowbechosentosatisfythebest
input match/gainat 400MHz andbest efciencyat 150O uniformlyacrosstheband.
Thetwoportsmall signal responseof thenetworkisshowninFigure6.25a, b. Figure
6.25ashows theinput matchcharacteristics. TheRFPA modulestagehas highgainat
the20MHz low-frequency bandedgewithpoor returnlossandtheinverseat thehigh
endof theband. TheRLCfeedbacknetworksasshowninFigure6.5wereusedtolower
thegain8.3dB at thelowendof thebandandimprovetheoverall gainatness. Figure
6.25bshowsthegainatnessplot withthisfeedback. Thegainatnessis6.8dB peak
to peak, this can be compensated for on a systemlevel by using a small signal gain
equalizationnetworkor anALC loop.
The feedback capacitor is chosen primarily as a DC blocking component and the
inductancevalueischosensoastoresonateat 20MHz suchthat thefeedbackandgain
reductionismaximizedwherethedevicesgainisgreatest.
The gain and phase linearity (AMAM and AMPM distortion) response of the
amplier is shown in Figure 6.26ae. With a bias current of 2A at a Drain voltage
of 28 V
DC
, thelinearity responseof this deviceis very good for abroadband class
AB biaseddevice. Onaveragethegainlinearity is/ 0.74dB, withapeak deviation
of / 1.05dB. Fromtheaveragevaluevantagepoint, thereis/ 0.76dB of gain
linearitymargin, however thepeakgainlinearitydeviationat 400MHzis/ 1.05dB.
This leaves/ 0.45dB of gainlinearity margin. Inother words, thelow-level driver
gainandintermediatepower amplier stagegainlinearitytransfer functionswill haveto
havesubstantiallylessgainlinearityerror if theaggregateresponseof theentiresystem
(Figure6.6) istomaintainthespecicationcompliancevalueof / 1.5dB.
6.5 Hypothetical amplier design example 275
a)
b)
Figure 6.25 (a, b) Input returnloss, andsmall signal gainof theRFPA module.
276 Practical HF/VHF/UHF RF power amplier realization
a)
b)
Figure 6.26 (ae) Phaseandgainlinearitytest resultsover 20dB dynamicrangeto150W.
6.5 Hypothetical amplier design example 277
c)
d)
Figure 6.26 (cont.)
278 Practical HF/VHF/UHF RF power amplier realization
e)
Figure 6.26 (cont.)
The same is true with phase linearity; overall the average phase linearity error
is/ 4.4

with a peak deviation of / 7.9

at 400 MHz. This leaves/ 2.1

of
allowablephaselinearity error inthefront twostages of theRFPA system. This is not
leavingmuchroomfor thesestagestohaveanynonlinearitypresent intheir owntrans-
fer functions. A possibleremedy is optimizethetransfer functionof theD1020UK at
400MHz, or moveto ahigher frequency part that will haveimprovedgainandphase
linearityat 400MHz.
Figure 6.27 shows the efciency of the amplier from20400 MHz. The average
efciencyis56.8%withaworstcasevalueof 42.9%. Thedevicesdatasheetspeciesa
minimumefciencyof 50%, sobetweenthegain/phaselinearityandefciency, wehave
areasonablygoodindicationthat theoutput impedanceof thedeviceiswell matched.
Usingthetest setupof Figure6.19, theoutput of theRFPA moduleis connectedto
abinarysteppedtransmissionline, theninto50O high-power loadsthat areparalleled.
First two loads areparalleled for a2:1 VSWR. Thebinary stepped transmission line
will switch in50O coaxial cablelengths that will ultimately rotatetheimpedancein
discretesteps about aconstant 2:1VSWR circle. Whilethis is occurring, theRFPAs
frequencyandoutputisincrementedfrom20400MHzand0150W, respectively. The
spectrumanalyzer is monitoring thefrequency spectrumto conrmthat thereareno
6.5 Hypothetical amplier design example 279
50
60
70
80
e
n
c
y
(
%
)
D1020UK Drain Efficiency vs. Frequency @ Pout =150W CW
0
10
20
30
40
50
60
70
80
20 115 210 305 400
D
r
a
i
n

E
f
f
i
c
i
e
n
c
y
(
%
)
Frequency (MHz)
Figure 6.27 Broadbanddrainefciencyof the20400MHz RFPA moduleat 150WCW.
spurious signals that riseabove 45dBc. This process is thenrepeatedfor a3:1load
VSWR, then4:1, andnally5:1.
TheRFPA moduledidexhibit somespurious oscillations that were 60dBc down
belowthecarrierlevel. Onemightbelulledintoafalsesenseof securitythattheSpectral
loadpull stabilityrequirementismetasthespuriousoutputsthatdidappeararelowerthan
45dBc. This may bethecase, but toconrmthis continuously over all frequencies,
powerlevels, loadimpedances, potential modulationformatsandtemperaturesrepresent
countless hours of benchtesting, evenwithautomatedloadpull test setups. Any load
pull stabilitytest, nomatter howcomprehensive, will onlybeacursoryattempt at best.
It maybefair tosaytheamplier modulehasconditional stabilitywithinthelimitsand
scopeof theavailabletest methodsandconditions.
Figure6.28showstheRFPA moduleundergoingsixaxisrandomvibrationandtem-
peraturestress. Aswithother requirements, it isbenecial toevaluaterandomvibration
onamodular level. Randomvibrationperformanceis similar to radiatedemissions in
that anRFPA that meetsspecicationat amodular level will morethanlikelypassat a
systemlevel asthechassisthat themoduleisintegratedintowill absorbagoodportion
of therandomvibrationenergy impartedto it. Themodulewas subjectedto 15G rms
of random, six-axisvibration. Thiswas50%over therequiredspecicationonasystem
level. RFPA circuitry, byvirtueof itsnatureintermsof construction(i.e., chipcapacitors,
resistors) readilylenditself tobeinherentlyimmunetohighlevelsof mechanical shock.
TheweakpointintheRFPA circuitryisanycomponentthatprotrudeswell off of thePC
boardwithsomedegreeof masstoit. Inthisparticular case, theferritesloadedontothe
transmissionlinetransformerscansometimesimpart enoughforceonthecoaxial lines
onwhichtheyarewoundtogenerateenoughtorquethatmayeithercrackthesolderjoint
280 Practical HF/VHF/UHF RF power amplier realization
Figure 6.28 RFPA moduleundergoingvibrationandtemperaturequalicationtesting.
or delaminatethemetallizationof thePCboard. Caremust betakentosecuretheseand
other deviceswithsimilar mechanical properties.
Whilethethermal circuitisusuallyconsideredseparatefromtheelectrical network, it
iseverybitasimportantandhasperhapsmoredirectimpactonreliabilitythananything
else. The section on RFPA module construction covered the details in preparing a
proper thermal interfacebetweentheRF transistor andthemodulebase. Thenext task
is removal of the heat fromthe module of the entire system. While this is outside
the scope of this material, the objective of whatever method of cooling is deployed
is simple; thetransistors dietemperaturemust bekept as low as possible. Lower die
temperature will not only increase MTBF, but lessen the ampliers vulnerability to
failurefromexcessiveoverdriveandloadVSWRs. Bearinmind, a10

Creductionindie
temperaturemayseemtrivial, however, itcanapproximatelyhalvethefailurerateof the
transistor.
The plot in Figure 6.29 shows typical MTBF of RF power transistors versus die
temperature and drain current. Both of these two quantities can be minimized with
optimizedthermal design, systemarchitectureandoutput matchingnetworks. Thermal
designwill cover mechanical interfaces, appropriateselectionof chill plates, heat sinks
and exchangers. If the heat generated by any given architecture yields excessive die
temperatures, then adding modules may bean option to spread theheat out amongst
moredevices. Ineitherof thesecases, theoutputmatchingnetworksof theRFtransistors
must beoptimizedsuchthat theefciencyisthebest it canbe.
6.5 Hypothetical amplier design example 281
Mean Time To Failure
10000000
1000000
100000
10000
1000
120 140 160
5 A
3 A
I
D
= 1 A
T
J
, Junction Temperature (C)
M
T
F
,

M
e
a
n

T
i
m
e

T
o

F
a
i
l
u
r
e

(
H
r
s
)
180 200 220
Figure 6.29 Graphof atypical RF power transistor MTTF versusjunctiontemperatureanddrain
current.
6.5.13 Beyond the test data
Thetestdataontheamplier looksgoodandwithsomeCADbasedcircuitoptimization
will probablyyieldbetter designmargin. It isimportant tonoteat thistimethat thetest
dataitself shouldbeusedfor morethandeterminingwhether or not aparticular module
ismeetingspecication.
Datain discreteformis no doubt useful, it denes theperformanceof aparticular
module, however, RFPA dataincomparativetrendformat is far morepowerful. It not
onlydenestheperformanceof aparticular module, butalsohighlightspotential hidden
processvariationsthat maybeindecline, not onlyinthemanufactureof theRFPA, but
alsointhecomponent suppliers.
The HF/VHF/UHF RF power amplier market has never seen demands for true
commodity commercial volumes of millions of ampliers. As such, attempts to try to
apply statistical control processes suchas Six Sigmacanendinfrustration. Thereare,
however, facetsof SixSigmathat lendthemselvestolower volumeproductionruns.
Short termsigma level (or Z
st
) scorecards look at amplier test data in small lot
quantities(say, for example, 25systems). Inthisenvironment, amplier performanceof
25systemscanbestatisticallycomparedandareaswheretheamplierisrunningcloseto
specicationor experiencingatransientperiodof either marginal or exceptionallygood
performancecan beeasily highlighted and brought to attention. This attention to test
datatrendsalongwithroot causeanalysiswill uncover bothsupplier andmanufacturer
processdeviationsandaws.
282 Practical HF/VHF/UHF RF power amplier realization
Another useful processtoconsider primarilyinthetestingof RFPAsisgaugerepeata-
bilityandreproducibility(gaugeR&R). Theunderlyingconceptbehindthisisdetermin-
ingorquantifyingthevariabilityinameasurementsystembymeasuringtheperformance
of aparticular RFPA several timestodeterminerepeatability. Reproducibility isfound
byhavingseveral differentRF techniciansmeasuringtheRFPA performanceinorder to
quantifythevariationinameasurement systemresultingfromoperators. Thenet result
of agaugeR&Rstudyisthat it will ultimatelyprovideerror tolerancesthat mayrequire
certain amplier parameters to be more tightly specied in order to circumvent the
possibility that evenintheevent of thepresenceof manufacturer measurement errors,
thesystemstill arrivesat thecustomer withinspecication.
Whiletheabovetwotopicsrelatetotestdataandtestequipment, thefollowingtopics
address what the module design should go through next. A manufacturing engineer
shouldassessthemoduleconstructionwithadesignfor manufacturability(DFM) study
to ensure that its construction is amenable to low-cost assembly processes such as
semiautomatedor fullyautomatedassemblyandacceptancetest procedures(ATPs).
Further alonginthedesignprocess, qualityengineersneedtobeinvolvedtoperform
failuremodeandeffectsanalysis(toidentifyRFPA designandprocessproblemsbefore
they occur). Wiebull analysis along with calculated and demonstrated MTBFs will
provideinsight intotheexpectedlifetimeof thenewlymintedRFPA design.
Summary/conclusions: current technology/future trends
in amplier design
It hasbeensaidherethat twothingsprimarilyoccur inanyRF power amplier:
r
efcient amplication;
r
efcient or maximumpower transfer.
Onemust amplifyasignal, transfer it tothenext stage, thendoit all over again. The
devicesresponsiblefor amplicationareobviouslytransistors. Soif wewantedtoknow
what mayhappeninthefuture, wecanextrapolatewhat hasoccurredinthepast.
Twenty-veyearsago,theclassof RFtransistorsmostcommonwereBJ Ts.MOSFETS
werestartingtobecomecommerciallyavailable. Sincethen, MOSFETs(whethervertical
or lateral) havebeentheworkhorses of HF/VHF/UHF RF power ampliers. BJ Ts for
now, appear tobeindecline. Inthe198590timeframe, MOSFETS that couldoperate
upto175MHz at power levelsof 300600Wbecameavailable. Inthelast year, 50V
LDMOSFETshavearrivedthatcandeliver 1KWupto500MHz. Whatwastruethenis
still truenow, namely operatingsuchhigh-power devicespresentsthesamechallenges
of heat removal andtheAC couplingof high-power, high-current RF signals.
GaNandGaAsFET devicescontinuetondthemselvesinampliersthatarebreaking
barriersinultrabroadbandwidths.
ItisapparentthatacontinuingtrendinRFpowertransistortechnologycanbeexpected
with higher and higher power outputs and broader bandwidths found in smaller or
equivalent sized packages. No one, however, has found a way to produce a device
References 283
that isdistortionfreeor hassignicantly improvedefciency performance; all devices
discussedhavevaryingdegreesof nonlinear transfer functionsandinefciencies. This
isnot tosayadeviceof thisnaturewill never arrive, onlythat inover ahalf centuryof
transistor development, it simplyhasnot.
Onthetopicof matchingandmaximumpowertransfer, sufceittosay, if thecomputer
industryprogressedwiththesamespeed, wewouldall still beusingabacuses. A quick
reviewononeof theearliestpapersontransmissionlinetransformersbyGuanella[5]and
Ruthroff [6] showthat theyremainvirtuallyunchangedinconstructionandapplication
sincethe1940s. It isatestimonytowhat elegant andefcient devicestheyare, andalso
tohowdifcult theyaretoimproveupon.
So if we match transistors the same way and if they really are not more linear or
muchlessefcient, thenwherearetheimprovementstocomefrom?Basedonadvances
inthecellular andplasmaprocessingamplier markets, improvement onrawamplier
performancehas, canandwill comeintheformof advancedamplier error correction
techniques such as predistortion, feed-forward and high-speed, digitally based ALC
loops. Theseadvancesevolveprimarilyfromadvancesinhigher speedanalogtodigital
conversion, digital signal processinganderror correction.
Dedication
I wouldliketodedicatethischapter tomywife, CatherineLeigh, sonJ ustinDaniel, and
daughter MikaelaSiennaMyer.
Acknowledgments
Theauthor wouldliketoacknowledgethecontributionsof Robert Schoepfer, Gregory
Muller, andThuyLu.
References
1. D. Myer, Synthesis of equal delay transmission line transformer networks, Microw. J.,
vol. 35, no. 3, pp. 106114, March1992.
2. N. DyeandH. Granberg, RadioFrequencyTransistors-PrinciplesandPractical Applications,
Butterworth-Heinemann, 1993, pp. 193197.
3. R. K. Blocksome, A binary stepped transmission line, R.F. Des., J uly/August 1982,
pp. 2229.
4. N. Padeld, Mountingguidelinesfor SEMELAB RF MOSFETs SemelabPLC Application
Note, pp. 19.
5. G. GuanellaNewmethodof impedancematchinginradio-frequencycircuits, BrownBoveri
Rev., Sept. 1944, pp. 327329.
6. C. L. Ruthroff, Some broad-band transformers, Proc. IRE, vol. 47, pp. 13371342,
Aug. 1959.
7 Microwave hybrid amplier
realization
Dominic FitzPatrick
PoweRFul Microwave
7.1 Introduction
The variety of approaches taken in the design of power ampliers is vast and the
subdividing of the approaches into various categories, such as hybrid is (like the
term microwave itself) a generalization in which the edges are somewhat blurred.
TheCambridgeAdvancedDictionarydenes hybridas somethingthat has beenpro-
duced fromtwo different types. . . especially to get better characteristics, or anything
that is amixtureof two very different things. In this casethemixtureis considered
to be of lumped and distributed components. Until recently a hybrid amplier was
consideredas onewhichusedpackageddevices, however instrivingto achievebetter
performanceat higher frequencies discretedevices and MMICs havebeen integrated
intocircuitscontainingbothdistributedcircuitsanddiscretecomponents, seeFigure7.1.
Hybridampliershavethusbeencreatedasaneffort tocherrypick thebest technical
solutionswithinaneconomicframework.
Theplaceof hybridampliersinthemarket rangesfromtheprototypeandfeasibil-
ity proving stages of product development, to volumemanufacturing, to low-quantity
highest performanceproducts. Microwavehybridpower ampliers (MHPAs) areused
in low-volume applications such high-energy physics particle accelerators to volume
applicationsinmobilephonebasestations. Solidstatepowersampliers(SSPAs) have
becomethetechnology of choicefor themobilecommunications market andasignif-
icant proportionof thesatellitecommunications market. Theadvent of widebandgap
materials has seen hugeimprovements in bandwidth performancebelow 6 GHz, and
thenext generationof commercial products will seetheseadvances cover X bandand
beyond.
Many electrical engineering students undertakean amplier design project as part
of their studies, whilelargeengineeringcompanieshavefoundamplier designgroups
expensiveanddifcult tomanage(oftenleadingtovery successful spin-out compa-
nies!). Somemanagers havestruggledto understandwhy thedesignanddevelopment
of MHPAs is not as predictable a process as the design of other electronic system
components. This chapter seeks to highlight someof thepitfalls that haveso troubled
somedesignprogrammes; itwill alsohopefullyhelpdesignersinselectingtheoptimum
approach to meeting customer requirements. Too often thetermblack art has been
appliedtowhat isineffect aprocesswhichispoorly controlled, andthisstartsright at
theverybasiclevel of thedesign. . . .
7.2 Printed circuit boards 285
Figure 7.1 Mixedtechnologymicrowavehybridpower amplier. Photocourtesyof CreeInc.
12.3GHz SiC 50WAmplier, www.cree.com.
7.2 Printed circuit boards
It might seemstrangetostart achapter onMHPAswithadiscussiononprintedcircuit
boards (PCBs). However, as with housebuilding, this is thefoundation of our struc-
tureandapoor choiceherewill leadto thenal designsolutionbeingcompromised.
MicrowavePCBs aredivided into two categories, hard and soft substrates. Hard sub-
strates are primarily alumina, a ceramic with a tightly controlled dielectric constant
(
r
9.8) althoughother materials suchas glass andsapphireareused. Aluminasub-
strates benet fromhighthermal conductivity andhighoperatingtemperatures which
hasmadethempopular inmilitaryandspaceapplications. Thepatterningof theconduc-
tivecircuitsisachievedeither bydeposition(thicklm) or etching(thinlm). Resistors
canbeintegratedintothecircuitsbyadjustingthemetalization(suchasusinganickel-
chromiumlayer). Groundingisanissueasthematerial isbrittleandholeseither needto
bepunchedwhenthematerial isinitsgreen, unbakedformor laser drilled. Similarly,
themounting or attachment of thesubstratecan causeproblems dueto poor thermal
coefcient of expansionmismatchwithsomeof thecommonmetal housingmaterials,
seeFigure7.2. Newelectricallyconductiveadhesiveshavebeendevelopedwhichallow
asufcientamountof givebetweenthelayers, however thisisneither acheapmaterial
nor isthedispensingandcuringeasy. Metal alloyswithacloser thermal expansionhave
beendevelopedaswill bediscussedlater.
Soft substrateisthetermappliedtoanowvast rangeof productsthat arecomposite
materials, either breor particlebased. Theall-pervadingFR4breglassmaterial of the
conventional electronicsindustryisinappropriateforMHPAsasthedielectricconstantis
poorlycontrolledandthedielectriclosseshigh. Attheother endof thespectrumispure
polytetrauoroethylene(PTFE), asynthetic uoropolymer which has alow dielectric
constant, whichcanbetightly controlled, withlowloss. However, it has poor thermal
performance.BymixingPTFEwithbrebasedboardsthenlowloss,controlleddielectric
constant, andareasonablethermal performancecanbeachieved. Additionally, proling
anddrillingtheboards is cheapandrelatively simple. By addingceramic particles the
286 Microwave hybrid amplier realization
0
0
50
100
150
200
250
300
350
400
450
500
10 20
Thermal Coefficient of Expansion 10
6
/C
T
h
e
r
m
a
l

C
o
n
d
u
c
t
i
v
i
t
y

(
W
/
m

C
)
30 40 50 60 70 80
Solder (PbSn)
Alumina
Kovar
GaAs
Molybdenum
Tungsten
Aluminium
Gold
Copper
Silver
90 100
Teflon
Figure 7.2 Thermal propertiesof commonmicrowavematerials.
dielectric constant can be adjusted, even to the extent that they can be close to that
of alumina, thus offeringcircuits of similar dimensions. A further advantageof these
substratesisthat theyareeasilylaminated, soawiderangeof thicknessesareavailable,
andtheycanbeprovidedwitharangeof metal backings. Processingissimilar tothatof
conventional circuitboardsexceptthatwithPTFE basedmaterialsanadditional stageto
roughenup thesurfacesisnecessary inorder tosuccessfully platetothesurface. As
thedemandfor circuitsfor themobilephoneindustry rosethensothenumber of PCB
processorswhocouldhandlePTFE substratesincreasedandpricesfell.
Thekey parameters of substrates commonly usedinhybridampliers areshownin
Table7.1. Not includedinthetableiscost, thisisbecausewhenconsideringthecost of
acircuit substrateoneshouldnot only consider thepurchasepriceof therawmaterial
but also theprocessing costs. For example, a circuit on a low dielectric maybethree
times larger thanonahighdielectric. Furthermore, thenishedPCB cost needs to be
put intothecontext of thewholeamplier itself. What is thecost/Wof output power?
Knowingthisgureof merit will aidthedecisioninchoosinganoutput PCB; isit more
cost effectivetoopt for anexpensivelow-lossboardor acheaper higher lossmaterial?
Non-PTFEmaterialshavebeendevelopedsothatstandardPCBfabricationtechniques
canbeemployed. A sidebenet of this development has beenthat thestepchangein
dielectric constant,
r
, at around room temperature has been removed. The typical
changein
r
dueto thecrystallinestructurealtering in aPTFE material can beseen
in Figure 7.3. This change causes equally sharp changes in the equivalent electrical
lengthof transmissionlines. Somematerials(suchasceramicloadedPTFE), whilenot
displaying as signicant an inection point, haveamuch greater overall changein
r
7.2 Printed circuit boards 287
Table 7.1 Properties of common substrates used in MHPAs
Soft
RT/duroid
5880
RT/duroid
TMM10i
RT/duroid
R4003C
Substrates
Property Units
Hard
Alumina
1
99.6%
Dielectricconstant 9.9 2.2 9.8 3.55
Thermal coefcient of
dielectricconstant
ppm/

C 125 43 40
Dielectricloss(tanL) 0.0001 0.0009 0.002 0.0027
Dielectricstrength AC-kV/mm 8.7 285 31.2
Volumeresistivity O-cm 10
14
210
13
210
8
1.710
16
Thermal conductivity W/m/K 26.6 0.20 0.76 0.64
Coefcient of thermal
expansion
ppm/

C 8.2 X= 31
Y = 48
Z= 237
X= 16
Y = 16
Z= 20
X= 11
Y = 14
Z= 46
Water absorption
(ASTM-373)
% 0 0.015 0.16 0.06
Type Ceramic PTFE/
microbre
Ceramicloaded
thermoset
plastic
Wovenglass,
ceramiclled
thermoset
1.008
1.006
1.004
1.002
1.000
0.998
0.996
0.994
0.992
0.990
0.988
50 30 10 10 30 50
TempC
Chart 1: RO4000 Series Materials
Dielectric Constant vs. Temperature
70 90
PTFE/Woven Glass RO4350 RO4003
E
r
(
T
)
E
r
(
2
5
)
110 130 150
Figure 7.3 Relativechangeindielectricconstant withtemperature. Courtesyof RogersCorp.
www.rogerscorp.com.
withtemperature. Another advantageof thermoset materials is that they do not soften
whenheatedandthusaremoresuitablefor wirebondingapplications.
Intheideal worldwewouldwant thesubstratetobeatotallyhomogeneousmaterial
wherethedielectric constant is isotropic, i.e., has aconsistent valuethroughout. Due
tothemanufacturingprocessesandmaterial compositionsthisdoesnot happenandthe
1
CoorsTek, ADS-996.
288 Microwave hybrid amplier realization
dielectric constant may even vary with orientation of board, thus if thecircuit layout
andthesubstrateorientationisnot consistent betweenmanufacturingrunstheremaybe
variationsinperformance. It isobviously essential that boardmanufacturersareaware
of thesedifferencesandtakeaccountaccordingly. Theeffectsof thesedielectricconstant
changesaremostdramaticinhighQelementssuchasresonators. Thus, isotropyof the
substratematerial isanimportantconsiderationinthedesignof narrow-bandampliers.
It can also affect wide-band designs where coupling structures are produced on the
PCB, such as Lange couplers [1]. Generally speaking, the ner and more randomly
placed the loading materials in substrate the lower will be the variation in dielectric
constant, thuswovenglassbasedmaterialstendtoexhibit thehighest anisotropy. Very
consistent dielectricmaterialssuchaspurePTFE canbeused; however thesehavetheir
ownproblems.
Thethermal conductivityof thePCB isimportant inmediumpower amplierswhere
surfacemount devices areused, althougheveninthesecases themajority of theheat
generatedisconductedtotheheatsinkthroughthegroundingvias. Inhigh-power ampli-
ers thepower devices areboltedthroughthePCB to thehousingoor or directly to
aheatsink. Theamount of power dissipatedinthecircuit structuresthemselvesshould
not beunderestimated. If apower devicedelivers100Wandtheoutput circuit follow-
ingit has 0.5dB of loss this means that 11W is dissipatedinthePCB (assumingthe
majority of theloss is not radiated). Therearetwo mainmethods of loss inthePCB,
resistiveloss inthemetal conductors anddielectric loss inthesubstrate. Theconduc-
tor loss is determined by the properties and dimensions of the metal used while the
substratelossisdependant not only onthelossof thematerial itself (quantiedby tan
) but by thepercentageof theelectric elds owingthroughthesubstrate. Thesetwo
haveatendency towork against eachother; toget thelowest substrateloss onewould
look to use as thin a substrate as possible and narrower lines, while for minimizing
conductor lossoneneedswider lines. Thethicknessof atransmissionline, particularly
in MHPAs, is affected by two considerations, the DC current and the frequency of
operation. For DC currentsthecross-sectional areaof thetransmissionlineisinversely
proportional totheresistance, i.e., doublethecross-sectional area, halvetheresistance.
At microwavefrequencies however, thecurrents areowingonly inalimitedpropor-
tionof thethickness, theextent of whichis referredto as theskindepth. This term
leads to a common misconception, the current ows in the electrical surface closest
to theground plane(theelectrical elds arebetween thesurfaceof theground plane
andtheundersideof thetransmissionline), thus platingupor changingthemetaliza-
tionof thetopsurfaceconductor may makenodifference. Theformulafor skindepth,
, (inmetres) is:
=

2
2 f
o

r
(7.1)
where is thebulk resistivity of theconductor (O-cm); f is thefrequency (Hz);
o
is
thepermeabilityconstant (H/m) = 4 10
7
, and
r
istherelativepermeability.
7.2 Printed circuit boards 289
Table 7.2 Bulk resistivity of commonly used metals
Material Aluminum Copper Chromium Gold Nickel Palladium Silver
BulkResistivity
(O-cm)
2.65 1.67 18.0 2.30 8.71 10.8 1.59
Table 7.2 lists the bulk resistivities of some common materials. For example, at
5GHztheskindepthinacopper conductor will be0.92m, whilea
1
/
2
oz. copper plated
PCB hasacopper thicknessof 18m. A commonruleof thumbisthat thecopper PCB
traceshouldbeat least 5 tominimizeloss. Alsonotethat thepurposeof goldplating
or ashing on microwave PCBs is to passivatethesurfaceor improve thecontact of
bondingareas, not to reducetheRF resistivity. As theRF current owis primarily on
theundersideof thetrackthesurfaceroughnessof thesubstratewill impact theloss.
Themostcommonconductormaterial iscopper. Therearetwostandardapproachesto
attachingthecopper tothesubstratematerial. Thelowest cost andhencemost common
methodis electrodepositedor ED copper. Thealternativeis rolledcopper, wherethin
sheetsof copper arebondedtothesubstratematerial. Rolledcopper haslower insertion
loss due to the uniformity of the material and the reduced surface roughness; this is
particularly noticeableas frequency increases. However, ED has a better adhesion to
thesubstrate, i.e., higher peel strength. Traditionally, bothtypesarespeciedinounces,
thiscomesfromthenumber of ouncesof copper per squarefoot of boardarea, (1oz.
0.0355mm). Copper cannot beleft bareandsoit iscommonpracticetoeither plateor
coatthecopper. Suchtreatmentsrangefromashingwithanonreactivemetal suchas
gold(typically5m), tohot air solder leveling(HASL), andconductivepolymers.
When selecting the substrate material the most signicant consideration is the
impedancerangethatcanberealized. Thegeneral ruleof microwavedesign, Watchout
whendimensions approachasignicant fractionof awavelength applies here. If the
substratethicknessistoolargetheninsteadof theelectromagnetic(EM)eldsformingin
thequasi-TEMmode, other modespropagate. A goodruleof thumbisthatthethickness
of thesubstrateshouldnot exceed20

phaselengthat thehighest operatingfrequency.


Table7.3summarizestheimpedances, circuit dimensions, andcurrent limitationsfor a
rangeof substratematerials.
Other considerations in theselection of thedielectric and its thickness arethecur-
rent capacity required (usually limited by DC bias currents), and thesizeof discrete
components, suchasdevicetabs. Inlinear designsoftwaremodelstherearelimitations
ontheratio of track widthto substratethickness, whichusually restrict theminimum
impedanceto25O. Thereforeit maybenecessarytouseanEM analysisfor partsof
thecircuit wherewidelinesareunavoidable. Whendecidingwhether ahardsubstrateis
theoptimumsolutionitisimportanttoconsiderthesurfaceareaof thecircuit. Generally,
hardsubstratesarelimitedtoamaximumsizeof 5050mm. Hence, acircuitmayneed
tobemadefromanumber of ceramictiles. Conversely, antennashavebeenmadeon
soft substrates over 1meter long. Typically, however, blank soft substrates sizes range
from250250mmto800600mm.
290 Microwave hybrid amplier realization
Table 7.3 Typical substrate trace dimensions with approximate current rating
Substrate
r
Thickness
(mm)
50O
width
(mm)
,4(90

)
@10GHz
(mm)
Current
for a30

C
temp. rise
2
(A)
Alumina 9.8 0.635 0.61 2.87
(Thin-lm) 12
(Thick-lm) 12
CuClad
217LX
2.17 0.787 2.40 5.46 5
RO4350B 3.66 0.508 1.09 4.41 3.8
TMMi 10 9.8 0.508 0.48 2.90 2.3
Figure 7.4 Impact of processingontrackdimensionsandedgecoupling(slopesexaggerated).
Inthedesignof microwavecircuits it is easy to assumethat thecircuit dimensions
producedbytheCADsoftwarearethosethatwill befabricated; howeveritisessential to
understandtheprocessingthat will beinvolvedinmanufacturingthePCBsandtotake
into account theimpacts. For example, wheretheproductionprocess involves etching
awaytheunwantedcoppertheactual shapeof thecross-sectionof thetrackistrapezoidal,
andthesizeof theetchangleisproportional tothethicknessof thetrack, seeFigure7.4.
TherearetwomainPCBconductorcreationapproaches, (a) subtractive, and(b) additive.
In thesubtractiveprocess theetch angleresults in thetrack width being wider at the
bottom(remember thatthisiswhatdeterminestheRF impedance), whileintheadditive
processthetrackisplatedupfromathinlayer andthusiswider at thetop. Alsoworthy
of note is that the edge coupling between the adjacent tracks (and ground planes) is
2
Approximateasthisdependsonanumber of factorsincludingperipheral circuitfeatures, tracetermination,
backingmaterial andxingmethod(seelater).
7.2 Printed circuit boards 291
assumedinmostsimulator modelstobebetweenvertical walls(or whatever theetching
processwasof thesamplefromwhichthemodelswerederived). A further complication
isthat somePCB manufacturerstakeintoaccount theetchfactor of their process, while
othersdont, hencethedesignermustknowwhetherornottotakethisintoaccountwhen
creatingthemask.
Groundingis animportant part of microwavecircuit designs. Typically, theground
planeisacontinuousconductor onthereversesideof thesubstrate, soit isnecessaryto
connect toit. Thestandardapproachistodrill thesubstratematerial andthenplatethe
holewithaconductor(platedthroughhole PTH)tocreateavia.WithmanyRFsubstrate
materials this has its ownproblems. PTFE materials aredifcult tobondtoandhence
thesurfaceof theholemust beroughenedtopromoteadhesionof thecopper duringthe
platingprocess, andthisisparticularlydifculttoachieveinthrough-holes. Also, dueto
thedifferingthermal coefcientsof expansionbetweentheconductor andthesubstrate,
cracking around the top of the via can result, particularly where the PCB undergoes
signicant temperaturecycling. Thesefactorsthereforepushthedesigner touselarger
holesandthicker plating. Rather thanusingviaholes, slotsmaybecut intheboardand
theiredgesplated, butthismaybeanonstandardapproachforsomePCBmanufacturers,
who would normally do slot cutting after plating and should thereforebehighlighted
in the requirement drawings. Inserting pins through boards is acceptable for simple
prototypecircuits, but theresult is generally not ushwiththesubstratesurfaces and
thusmaynecessitateprolingof theboxoor or restrict theplacement of components.
Substratescanbesuppliedmetalizedonthebacksidewhichmakesitpossibletodirectly
solder thesubstrateto themetal; however this will requireusingbackgroundheating.
Whenusingaluminumbackingit isnecessary toplatethealuminumrst (not atrivial
process), hencecopper or brassbackingarepreferred. Electricallyconductiveadhesives
arealso availableandcanbeusedto bondthemetal andsubstratetogether. For large
bondingareastheadhesivecanbesuppliedinlmswhichmaybemoreconvenient.
Theuseof metal backedsubstratesispopular for anumber of reasons:
r
it providesgoodheat sinking;
r
edgemountedconnectorscanbedirectlyattached;
r
goodmechanical basefor mountinglargecomponents;
r
shrinkageandwarpingof thePCB isreduced;
r
easier attachment tohousings.
However, weight, substrate, andprocessingcostsareincreased. Metal backedmaterials
are particularly popular for test jigs and prototypes where the expense of a custom
housingcanbeavoidedandweight isnot asignicant issue.
MountingPCBs withinhousings or directly to heat sinks canbedonesimply using
screws to clamp theboard in place. However, careshould betaken to ensurethat the
contactbetweentheboardandthebackingmaterial isconsistentbytheuseof appropriate
screwheadsizeandquantitydependentuponthestiffnessof thesubstrate. Areaswhere
particular careshouldbetakenarearoundtheinput andoutput connectionsandwhere
pocketsaremachinedoutfor thedevices. Gapsbetweenthegroundplaneonthebackof
thesubstrateandtheboxoormayproduceresonantcavitieswhichwill altertheresponse
292 Microwave hybrid amplier realization
of theamplier. They canalso providefeedback paths leadingto oscillations. Screws
shouldhavemetal landsunder them, especiallywithPTFE boardsasunder compression
thePTFE hasatendencytocreepawayfromunder thescrew. Itisadvisabletousecap
headstoavoiddamagefromscrewdriversslipping, especiallywheretherearenetracks,
or delicateair-woundinductors. Crinkleor springwashersareusuallyadequatetolock
thescrews. Thestandardsizeissometimeslarger thanthescrewhead, sowherespace
isat apremiumthenext sizedownor animperial sizewill t thebodyof thescrewand
not protrudefar beyondthescrewhead.
Direct screw clamping is not recommended for hard substrate materials such as
aluminaduetothebrittlenessof thematerial. Most commonlythesubstrateissoldered
or epoxied directly onto a metal carrier which in turn is screwed into the housing.
Alternatively, springclips canbeusedto holdthetiles inplace. Modernepoxies have
provedincreasingly popular inmountingbothsubstrates andactivedevices. Thetype
of epoxy usedmust beconsideredcarefully, somecancrack under thermal stress. For
suchapplicationsthermo-plasticadhesiveswhichhavesomegiveareabettersolution.
Conventional PCBs may also besoldered directly into housings. This gives excellent
thermal andelectrical conductivitywiththeaddedadvantagethat noboardreal estate
istakenupby themountingscrews. Inorder toget anevensolder join, solder pasteis
screenprintedonto theundersideof thePCB or apreformused. Pressureneeds to be
appliedacrossthePCBduringreowtopreventareasfromliftingand, if notconstrained
by walls, tokeepthePCB correctly aligned. Attentionmust bepaidtothetemperature
distributionacross theunit as all parts of thesolder joint must reachthereowpoint,
but without going so high as to damagethesubstrate, housing plating or degradethe
solder. A low-ux solder shouldbeused, particularly whenlargeboards areinvolved,
otherwisepocketsof uxmayformunder theboard. Somesolder pastesmayrequirea
dryingperiodafter applicationtothePCB toallowsolventstodissipate.
An alternativeconguration to microstrip is to usecoplanar waveguide(CPW). In
thisconstructionmethodthegroundplaneisbrought tothetopsurface, thisproducesa
circuitwithverytightlycontainedeldswhichisthereforelesssusceptibletoproximity
effectssuchaslidsandtoradiativecoupling. Althoughpopular for low-power devices
it hasdrawbacksfor MHPAs, duetotheneedtoheatsinkdevices. Withangemounted
devicesthereisadiscontinuityintheoddandevenmodesatthedevicepackagejunction,
andfor surfacemountthereneedstobeagroundplaneconnectedtowithvias. Typically
thesubstraterequiresalargenumber of viastoensuregroundcontinuity, hencelosing
oneof theadvantagesof CPW. Anothermajordrawbackisthattuningof lineimpedances
inCPWisverydifcult comparedwithmicrostrip.
ItisoftennecessarytohaveslotsinthePCBmaterial forangemountedcomponents.
Rather than being directly attached to the PCB these are bolted to the housing oor
with leads soldered to the substrate tracks. For microwave devices the alignment of
thePCB andhousingis critical so that gaps areminimal as they cancauseunwanted
impedancechangesandresonances, asshowninFigure7.5. Asthesamerequirements
areimposedontheinput andoutput connector launchesthedimensional toleranceson
thehousingandPCBcanbeextremelytight. Onesolution, particularlysuitabletoPCBs
solderedintohousingsor test xtures, istomachinetheslotsintothePCB andhousing
simultaneously. This ensures precise alignment between the two. Unfortunately, this
7.3 Housing 293
Substrate
Metal Backing
Housing
S
Z = 377
s
w
L = Inductance of RF Link (e.g. bondwire or device tab)
Note: H is in metres
RF Link
W, width of microstrip
H
X
L W
H
Z Z
X = Z tan
2H

Figure 7.5 Groundpatheffects.


optionisnotpossiblewithhardsubstrates, andwithsoftsubstratesheldinplaceamuch
higher degreeof clampingisrequired, andcaremust betakentoensureswarf isnot
forcedintothegapsbetweenboardandgroundplane.
A nal consideration with soft substrates is their moistureabsorption. During pro-
cessing and cleaning, PCBs aresubjected to alargenumber of chemicals. Thesecan
causeavarietyof problems, fromproducingcorrosiveliquidstochangingtheelectrical
propertiesof thesubstrate. Forinstance, thedielectricconstantcanalterandif thecircuit
is tunedto compensatefor this, thenover timeas thesubstratedries out the
r
will
changeandhence, thecircuit performancechanges. Thoroughcleaningfollowedby a
bakingout stageisnecessary.
7.3 Housing
OtherthanforprototypesandtestjigsMHPAsrequirehousing. Althoughthismayatrst
seemtrivial, beforeconsideringtheconstructionof asuitablehousingtherequirements
shouldbeconsidered.
r
interference: tostopthesignalsintheamplier interferingwithandbeinginterfered
byexternal signals, circuitsandmaterials;
r
protection: toprevent thecircuitsbeingharmedbymechanical or chemical action;
r
heat sinking: theheat generatedby thepower components needs toberemovedina
controlledmanner. Thehousingcaneither incorporateor providetheconnectionto
themethodof heat removal;
r
mountingandconnecting: theamplier does not exist inisolation; it requiressignal
connections, supplyconnectionsandamethodof xingtoitssurroundings. Therole
of thehousingistoensurethat thesearereliableandconvenient.
294 Microwave hybrid amplier realization
Therelativeimportanceof eachof thesemust bebalancedagainst theother consider-
ations of cost and weight. Thesameapproach would not betaken in asafety-critical
applicationinaharshenvironmental toalaboratorytest amplier. Asinall elementsof
design, theendsolutionisacompromisebetweenconictingneeds.
7.3.1 Materials
The most common material for MHPA housings is aluminum. It is relatively cheap,
easilymachined, strongandlightweight. Ithasgoodelectrical andthermal conductivity
andsoisexcellent for screeningandheat sinking. Themaindrawback isthat it cannot
bedirectly solderedto. This canbeovercomethroughplating, but this is amultistage
process withtypically rst anickel seedlayer followedby thegoldor tinplating. It is
rarethat thealuminumis left untreated as although theoxidelayer that forms on the
surfaceisfairlyun-reactive, thereisadanger thatsmall residuesof acidicsolutionswill
beleft behindfromtheux usedinmany solders. Thesecanreact withthealuminum
oxidetoformsaltswhichcancausedendritestogrowleadingtoshort circuits. A low-
costaluminumsurfacetreatmentischromateconversion, commonlyknownbythebrand
namesIriditeorAlodine. Someformsof thisprocessarebannedundertheRestrictionof
HazardousSubstances(RoHS) legislationsastheycontaintoxichexavalent chromium.
Careshouldalsobetakenastheelectrical conductivitycanbeinconsistentanddependent
uponthickness. Thecoatingcannot besolderedto. For high-performancehermetically
sealedsystems Kovar is usedas analternativeto aluminumas will bediscussedlater.
Kovarsthermal coefcientof expansion(TCE) iscloser tothatof GaAs, seeFigure7.2;
however, it isthreetimesdenser anditsthermal conductivityisconsiderablylower than
aluminumwhichisanobviousdrawbackfor MHPAs. Aluminumalloy6061ispreferred
duetoitsgoodmechanical propertiesandmachinability. Wherethelidistobewelded
totheunit (rather thanclamped) thenaluminumalloy 4047ispreferred. Thiscontains
siliconwhichimprovestheductilityof theweldandreducescracking.
7.3.2 Sealing and hermeticity
Very few things are as likely to illicit impassioned debate amongst MHPA design
engineersastheissueof theappropriatelevel of sealing. Whenonelooksintotheareain
depth, onecaneasilybeleadtodespair that thereisnothingthat canbedonetoprevent
moistureingress. However, weshouldtakeheart fromthefact that systemscontinueto
operatefor many years, andinfact theruggednessandsurvivability of somerelatively
cheapproductssuchasmobilephones, satellitelow-noisedown-converters(LNBs), and
GPS receivers is impressive. The aimshould be to provide reliability commensurate
withthecost of theunit (includingcost of replacement). Infact manymilitarysystems
providers arenow looking at availability of parts rather than requirements to survive
storageof 20yearsinharshconditions.
Protectionof amplier circuitsisrequirednot onlyfrommechanical damagebut also
fromcorrosion and vapour ingress. In the fabrication of ampliers there are a large
number of chemicalsused, fromthelubricant usedduringmachiningof thehousing, to
7.3 Housing 295
thoseusedinthePCB processingandhousingplating, to theuxes insolders. These,
especiallyinthepresenceof water, canformparticularlycorrosivesolutions. Condensa-
tioncancauseshort circuitsor changestoperformanceinRF applicationsbychanging
theelectric elds aroundtransmissionlines. Avionic systems haveprovedparticularly
vulnerabletocondensation, whereequipmentcanbesittinginwarmmoistair, andwithin
onlyafewminutesbeat highaltitudeandlowtemperatures. Thetemperatureat which
condensationforms iscalledtheDewPoint andvaries withthehumidity of theair; as
theair temperatureincreasessodoesitsabilitytohold water.
The extent of the protection required is dependent upon the expected lifetime of
theproduct, temperaturerange(higher temperaturesincreasechemical activity hence
storagetemperatureisakeyfactor), andsensitivityof thecircuitstocorrosion, whichin
turndependsuponthematerialsusedandhowtheactivecircuitsarepackaged. Standards
wereestablishedfor military systemscoveringmany areasof thedesignandtestingof
electrical systems. MIL-M-38510, thegeneral specicationfor microcircuits, required
that all hybridmicrocircuitsthat containactivesemiconductorsshouldbehermetically
sealed. The MIL standards are no longer supported, mainly because they could not
keeppacewiththespeedof component andprocess developments, but many of their
requirements havebeenassumedinto requirement specications. Recent work [2] has
shown that hermetic sealing to MIL-STD 883 may not beadequateand that modern
plasticpackagingcanprovidebetter reliability. A bigfear intheearlydays, particularly
of GaAscircuits, washydrogenpoisoningandsohydrogengetterswereincorporated
intocircuitpackages.Improvedpassivationof theactivedeviceshasresultedinthevirtual
eliminationof thisissue.
A complete seal against moisture ingress is difcult if not impossible to achieve.
Welding, brazing, or solderingall of thejoints canproduceanadequateseal; however
thereistheneedtoprovideRF andDC interfacesandaccesstotheunit for repair and
maintenance. Moisturecaningressintoahousinginthreeways:
r
diffusion;
r
capillaryaction;
r
breathing.
Diffusion: water vapour will diffuse in if the partial pressure differential is inwards
even if there is an absolute pressure differential in the opposite direction. Hence, a
conditioncanexist wherealler gasinthehousing(suchasnitrogen) canbediffusing
outwardswhilethewater vapour isdiffusinginwards. It isoftennot realizedthat water
vapour moleculesaresmaller thantheother maingasesintheatmosphere oxygenand
nitrogen. Water vapour (H
2
O) has amolecular weight of 18 as compared to nitrogen
(N
2
), 28andoxygen(O
2
), 32. Thus, thewater vapour seal isthehardest toachieve. The
measureof diffusion is moisturevapour transmission rate(MVTR) and is dependent
upontherelativeconcentrationsoneither sideof thebarrier. Table7.4showstheMVTR
values for different composition rubber o-ring seals testedunder identical conditions.
No material provides a100%seal, therelativegas permittivity of various materials is
giveninTable7.5[3], however thereal questionshouldbe, whendoes aleak become
critical, whichcomesbacktotheintendedlifeandoperatingandstorageconditions.
296 Microwave hybrid amplier realization
Table 7.4 Relative rubber o-ring sealing
performance
O-ringmaterial
MVTR
(gm
1
day
1
)
Fluorocarbon 9.510
4
Nitrile 4010
4
Silicone 9010
4
Polyurethane 13010
4
Table 7.5 Relative gas permittivity for various materials
Glasses/
Nylon Silicones Epoxies LCPs ceramics Metals
1 10
2
10
4
10
6
10
8
10
10
Capillaryaction: water may formontheoutsideof theunit fromdirect exposureor
condensation, dependingupontheenvironment. Therateat whichthewater permeates
theunit will dependuponthenatureof thematerial inwhichany holeor crack exists.
Obvious risk areas arealongthelidedgeandany screwholes that break throughinto
thechamber.
Breathing: for unsealedunitsthemajorityof thewater ingresswill bethroughbreath-
ing. Asthepressurechanges betweentheinsideandoutsideof theunit therewill bea
movement of air. Thus, moisturewill becontainedwithintheunit.
A degreeof protectiontocomponentsandtrackscanbeofferedbyconformal coatings,
however thesecanaffecttheRF impedanceof circuits, thereisalsotheproblemof when
to apply them. Application beforetuning may mean that thecoating integrity will be
breachedif itisnecessarytochangecomponentsduringtuningandtest, however adding
thecoatingafterwardsrunstheriskof alteringthecircuitperformanceinanuncontrolled
manner. Also, althoughaconformal coatingprotectsfromshort circuits, condensation
abovetheprotectivelayer canstill detunethematchingcircuits.
The ultimate solution is to hermetically seal the amplier. The argument against
hermetically sealing is that if harmful chemicals build up over timethen by allowing
theunit tobreathe theconcentrationis reduced, whereas inasealedchamber it may
reachharmful levels andcausecorrosion. Themainproblemisduetowater moisture,
thusnot onlyisit necessarytoseal theamplier but alsotoreducethemoisturecontent
within the unit (obviously cleaning so as to remove as much of the contaminants as
possible is essential), therefore air is driven out before sealing and replaced with an
inert gassuchasnitrogen. Thedecisiontohermeticallyseal shouldnot betakenlightly
as this requires special components suchas theRF andDC connectors as well as the
lidseal itself, andwitheachonethereis not only ahigh-integrity seal to producebut
anotherpotential failurepoint. Of courseif itisdecidedthattheunitrequirestobesealed
thenanadditional test stageneedstobeincorporatedtoprovetheintegrity of theseal.
7.3 Housing 297
Studieshaveshown[2] that therequiredlevel of hermeticsealingfor highreliabilityis
510
11
mbar l/s. However, standardleaktestersstruggletomeet theselevelssooften
specicationshaveactuallybeenset lower at about 10
8
mbar l/s.
Hermetic joints must bemetal; epoxies and gaskets do not offer sufcient sealing,
hencesolder or welded joints areused. Thestandard approach to hermetic sealing is
for thelidof thehousingtobelaser weldedor solderedtothewalls. Specialist advice
shouldbesoughtforlasersealingastothedimensionsof thelidandhousingastheseare
critical toproducingagoodseal andrelatedtothelaser power. Of coursethis process
must becarried out after tuning and testing, and if thereis asubsequent failurethen
thelidmust bemachinedoff toallowfor repair/retuning. For RF andDC connections,
hermeticfeed-throughsareusuallymadefromaKovar(anironnickel cobaltalloy) outer
ringandcenter pinwithaglassbody. TheTCE of Kovar isclosetothat of glassandthe
Kovar canbeplatedsothatitcanthenbesolderedinplace. For RF connectionstheratio
of thediameter of thecenter pintotheouter barrel must besuchas topresent a50O
impedance.
It is obvious fromthe reliability of modern electrical components such as mobile
phonesandLNBsthatitisnotnecessarytoprovideahermeticseal toachievereasonable
reliability.Improvementshavebeenmadeinthepassivationlayersonthesurfaceof active
semiconductors and in theconstruction of thedevicepackages themselves. However,
systemspecicationstendtobeconservativeandadegreeof environmental screeningis
oftenrequired. Analternativeapproach, wherefull hermeticsealingisnot required, but
whereprotectionfromharshenvironmentsisnecessary, istouseacompressiongasket
aroundthelid. Sometimesenvironmental andelectrical screeningcannotbeachievedto
thedegreerequiredinasinglegasket sotwoseparateonesused. Thereisalargevariety
of gasketsincludingsolidandhollowtubestoat customforms. Theycanbecomplete
rings, spooledlineormouldedinplace. Thebenetsof thisapproacharethattheunitcan
beopenedat anytimemakingtuningandrepair simpler (especiallyimportant inpower
ampliers!), also no specialist equipment suchas aweldingsystemis required. Some
of thekey considerations areshown in Figure7.6. To ensurethat thecorrect amount
of compressionoccursthedimensionsof thetrougharecritical. Typically, thegasket is
compressed2530%hencethedimensiondinFigure7.6bshouldbesuchthat this is
achieved, similarly wshouldbedeterminedsuchat that at thecorrect compressionthe
gasketisnotrestrictedhorizontally. Wherethegasketisnotrecessed(e.g., atgaskets) it
isadvisabletoincludeprotrusionsinthemachinedfacewhichensurethecorrectamount
of compressionisachieved, but not overdone.
A disadvantageof thegasket sealingapproachis that thewall widthrequiredto t
the gasket and xing screw is greater than without, which can lead to space issues.
Withappropriatecuttingtoolsthematerial under thegasketcanberemovedasshownin
Figure7.6d, howeverthisresultsinmoredifcultassembly, henceitmaynotbeanoption
for volumeapplications. Thelowest cost formof thegasket material is providedona
spool andcanbelaidintherecess, whichcanincludeintricaterouting. Fixingscrews
needtoalwaysbeoutsideof thesealingring, or wherethisisnot possible(for example,
incenter posts) includetheir owngaskets. Whenjoininguptheendsof thegasket it is
better that thetwo ends overlap rather than formabutt joint as shown in Figure7.6e
298 Microwave hybrid amplier realization
Lid
Conductive
Gasket
d
w
Housing
Wall
(a) Before compression.
Fixing hole separation
(c) Housing gasket detail and mounting screws.
(d) Under cutting the gasket
recess.
(e) Joining Gasket ends, butt join (left)
and angled join (right) -preferred.
(b) After compression.
Figure 7.6 Housingsealingusingcompressiongaskets.
andmanufacturers cansupply suitableadhesives to jointheends. Anexampleof this
typeof seal canbeseeninFigure7.7. Althoughnot aMHPA, this is anexampleof a
hybridmicrowaveassemblythat ismountedexternallyandsubject toanorthEuropean
climateandmust behighly reliable. Thehousingis madefromcast aluminumwitha
chromatepassivation. TheRF circuits areelectrically sealed by an internal lid which
includes compartments. Theenvironmental seal is provided by acompression gasket
andalayer of siliconrubber. RF screeningisprovidedbyaninterferencetbetweenthe
internal lidandPCB. Theunit isdesignedtobemountedat ananglesothat if thereis
any condensationwithintheunit it will draintothelower right handcorner away from
theactivecircuits.
7.3 Housing 299
Figure 7.7 Gasket sealedsatellitedownconverter (LNB), manufacturedbyGrundigLtd.
[1] Pinnedthroughboardconnection, [2] waveguidetomicrostripinterface, [3] Diecast main
housingwithgasket recess, [4] FoamRAM for modesuppression, [5] internal lidwithcavity
wallsandconnectingmouse-holes.
OneconsiderationspecictoMHPAsisthat theunwantedby-product whichweseek
to minimize heat actually works to our advantage. Although the danger of short
circuits exists at switch on, especially after storage in cold conditions, the operating
temperatureof most MHPAs will keep theair in theunit abovethedewpoint and so
condensationislesslikely.
7.3.3 Construction
Theconstructionof MHPAs canvary fromthesimpleto thevery intricate. Thebasic
model isacavityintowhichtheRF andDCcircuitsareall tted, asshowninFigure7.8.
ForlargerampliersitisoftenpreferredtoseparatetheDCcircuitsintoanotherchamber.
Thismay besothat biasadjustment canbecarriedout withthelidinplacefor theRF
unit, for electrical isolation, for testingandmonitoring, or becausethesealingmethod
of theDC components is different to that of theRF. It can also reducethelengths of
feedconnectionswhichareareasof danger for interferenceandoscillation. Thevariety
300 Microwave hybrid amplier realization
Figure 7.8 Simpleconstruction, widebandhybridamplier module, beforeandafter lidsealing
andpainting. Courtesyof LabtechMicrowaveLtd. www.labtech.ltd.uk.
of congurations for amplier modules isenormous; Figure7.9describes someof the
morecommonapproaches.
a. Hsection: thisissimpletoconstructandhasthebenetthattheRF andDCcavities
canbesealedindependently. Thefeedlocationsof DC andmonitoringpointstothe
RF PCB can be positioned where needed, with complicated routing being kept in
theDC compartment. Thedrawback withregardto MHPAs is that theheat sinking
for power devicesispoor. Floor thicknesscanbeincreasedunder thepower devices;
however thisstill givesahigher thermal resistanceduetothethermal pathlengththan
other options.
b. Orthogonal Cavities: Similar benets totheH section, but withlonger wirelinks
totheRF PCB. Thedepthof thecavity of theRF sectionisrelatedtotheminimum
height of theDC PCB, whichcouldcauseissues withbox modes. It does offer the
ability to havethepower devices mounted on afacewhich can beattached onto a
heat sinkor coldwall.
c. Wraparound: agoodsolutionwheretherearepower componentsintheDCsection
that also requireheat sinking. Thelong RF section would typically besplit into a
number of chambersusinginternal dividingwallsasshowninFigure7.10.
7.3 Housing 301
RF PCB
DC PCB
Feed through
Coaxial
Connector
(a) (b)
(c)
(d)
Link cable
Lid
(e)
Heatsink/Base
Figure 7.9 Typical amplier housingformats: (a) cross-sectionof H sectionmodule;
(b) cross-sectionof orthogonal cavitymodule; (c) wrap-around module; (d) split section
module; (e) planar module.
d. Split section: this format, althoughrequiringadditional RF connectors andacable,
has thebenet of giving interstageaccess which can beuseful in tuning and fault
nding.
e. Planar: oneof theproblemswiththepreviousmoduleformatsisthat thecircuitsare
within cavities and this can causeproduction issues with assembly and test dueto
302 Microwave hybrid amplier realization
Figure 7.10 (a) X bandand(b) SBandMHPA modules: (a) wrap-aroundconstructionshowing
biascontrol withRF chambersaroundtheperimeter. Notetheweight reductionremoval of
excessmaterial wherepossible; (b) side-by-sideconstruction. Photoscourtesyof SurreySatellite
TechnologyLtd. www.sstl.co.uk.
access. A solutiontothisproblemhasbeentoincorporatethesidewallsintothelid.
The RF and DC circuits may then be incorporated into a single PCB. The circuit
design must have a low susceptibility to ground proximity effects; otherwise the
ttingof thelidwill detunetheperformance. Theconstructionallowspower devices
to be mounted directly to the heatsink thus maximizing thermal transfer. Another
7.3 Housing 303
Figure 7.11 Handlinginternal corners.
issue with this design is that the RF connections need to come vertically through
theboardwhichcangiveriseto difculty linkingto themandlaunchingunwanted
modes(seelater). It ispossibletomount connectionsinlinewiththePCB; however
thejunctionwiththelidrequirescloseattention.
Thehousings themselves may beformedby threemainmethods: direct machining,
casting, and piece parts. Improvements to the performance and speed of computer
numericallycontrolled(CNC) machiningcentershasdramaticallyreducedthecost and
increased the possible intricacy of housings. Designs should be discussed with the
machinistbeforenalizing, asthereareanumber of simpleaspectsthatwill reducecost
andimprovemanufacturability. Theseinclude:
1. Useaslargeacutter aspossiblefor theinternal cavities, wherepossibleavoidtight
corners. If necessaryacorner canbeopenedupusingadrill hole, seeFigure7.11.
2. Holes that requiretappingarebest drilledthroughto stoptaps fromjamming. Use
aslargeaholeandthreadsizeaspossible. Theminimumtapdepthis1.5diameter
of thexing. Blind holes can beastorefor thechemicals and substances used in
processing; it ismucheasier tocompletelycleanthroughholes
3
.
3. Reduce as far as possible the number of cutting axes required, this will speed up
machiningandreducecost.
4. Countersinkingholesisanadditional operation, onlycountersinkwherenecessary.
5. Minimizethenumber of different cuttersanddrillsrequired.
Makingamplier housings fromcast parts is limitedto volumerequirements, andfor
MHPAs theparts will still requiresomemachining to producethenecessary surface
nishesfor mountingpower devices. Complex shapescanbecreatedandthishaslead
tothisbeingapopular optionfor basestationampliers.
3
This caused a problemwith ange mounted transistors failing over time. Removing the blown devices
revealedavery thin layer of sticky goo under thedevices. Assembly technicians wereremindedof the
needforscrupulouscleaningunderthedevicesandaninspectionstagewasintroducedpriortodevicetting.
Still thetransistorsfailed. Eventually, runningacottonbuddownthetransistor mountingholesrevealedthe
sourceof thegoo. Asthedeviceswerebeingclampeddown, dissolvedux wasforceduptheholesand
spreadunder thedeviceange, increasingthethermal resistance. InthiscasetheRF PCBsweresoldered
intotheboxandthencleanedinanultrasonicsurfactant cleaner, leavingresidueintheblindholes.
304 Microwave hybrid amplier realization
Thethirdoptionof usingpiecepartscoversanumberof differentapplicationsranging
fromlow-costcustomassembliestohigh-costlightweightunitsfortheavionicsindustry.
Intherst casesimpleboxextrusionsareusedfor thesidewallswhichcanbeboltedto
heatsinksor lids. Thesecondcaseutilizesaprocesscalledaluminumdipbrazing. This
canproduceassemblies withvery thinwalls (-1mm) thus lightweight, but also with
integratedfeaturessuchasair andwater coolingsectionsthat aresandwichesof corru-
gatedandsheet aluminumthroughwhichwater or air canbeforced, seeFigure7.13e,
andbosses for screwxings. Theparts arecut out andassembledusingtabs andslots
with aluminumsilicate pastes administered along the joints. The whole assembly is
immersedinabathof moltensalt whichsoldersthejoints. Inthisway apressure-tight
seal canbeformed. Suchaprocessisexpensiveandthenumberof manufacturerslimited,
but whereweight isat anabsolutepremiumthiscanbethebest solution.
Wherescrewsareusedtocompressthelid, thenumber andspacingwill dependupon
whether the required screening is primarily electrical or environmental. For environ-
mental screeningthenumber of screwsisdependent uponthestiffnessof thelid( to
thickness) andthegasketmaterial. Whenthereisapressureseal itisnecessaryforthelid
andwallstobethickenough(orstiffenedusingwebbing) sothattheydontbuckleunder
pressure. Theextent of electrical continuityisdependent upontheoperatingfrequency
of theamplier. If thelengthof anyelectrical gapexceeds/8(inair) atanyoperating
frequency the slot so formed can create a radiating element fromwhich signals can
escapeor leak intotheamplier. It shouldalsoberememberedthat it isnot necessarily
themaximumoperatingfrequency of theamplier itself that shouldbeconsideredbut
that of thedevicesinside.
An important sidenoteis screwlocking. In many mechanical applications epoxies
areappliedtothescrewholestoprevent screwscomingloose. Thiscanbeanabsolute
disasterformicrowaveapplicationsasthescrewlockisnonconductiveandcaneffectively
insulate the screw fromthe housing. Appropriate locking methods including epoxy
painting(this canbeauseful additional protectionespecially against chemicals which
couldattack rubber seals) and, whereheight allows, usingpanheadscrewswithspring
washers.
An important consideration in the design of microwave amplier housings is the
resonant frequency(s) of thecavities. A fully enclosedmetal box will havearesonant
frequency dependent on its dimensions [4]. Thepresenceof adielectric material (the
PCB) ononefacewill affect thisfrequencyandthetracksonthePCB will couplewith
thecavity. Thiscannot onlycauseoscillationsbut alsounpredicteddisturbancestothe
amplier gain. Asaroughguide, whenthewidthof thecavityapproaches,2thecavity
will becomeresonant. Thus, cavities should bemadesmall enough that they will not
support anymodeswithintheoperatingband, however thisisnot alwayspractical. The
elds can be broken up by the judicious use of pillars within the cavity to short out
theresonances, however it is important that thesearegroundedat thetopandbottom;
any gap where contact is not made with the lid can turn the pillar into a resonator
itself. This is also true of internal walls. Alternatively, microwave absorber or radio
absorbentmaterial (RAM) canbeusedtoloadthecavity. Thesecomeintwobasictypes,
magnetically loaded and dielectrically loaded. Different sizes aretargeted at different
7.3 Housing 305
frequencyrangessoit isimportant tochoosethecorrect onefor your application. Low-
cost foamversions (seeFigure7.7) areoftenalso electrically conductiveso caremust
betakennot toshort out circuits. Althoughanexcellent solutiontomodingproblems,
oneshouldnot divein anddistributeRAM about thecavity beforeinvestigatingthe
causeof theoscillationor perturbationintheresponse. It couldalsobecausedbypoor
grounding of the circuit board, thus you would be treating the symptomand not the
cause.
7.3.4 Thermal issues and heat sinking
Aboveroomtemperaturethereliabilityof most componentsisinverselyproportional to
temperature, andcomponentswill haveamaximumoperatingtemperatureabovewhich
permanent damagewill bedone. For microwavetransistorsacommongurequotedis
that for every 10

C increasethen themean timeto failure(MTTF) will reduceby a


decade. Power ampliers requiregoodthermal management, not only for maximizing
lifetime but also to obtain the best performance. The maximumtemperature for the
device is specied as thejunction or channel (thecurrent carrying region within the
transistor) temperature. Themanufacturer will alsospecifythethermal resistance(TR)
fromthejunction to thedeviceange. Thedesign task is to ensurethat thejunction
temperatureiskept aslowaspossible, withintheconstraintsof size, weight, andcost.
Under steady-stateconditionstheresistancetoheat owisaproduct of twofactors,
theintrinsic TR of thematerial andtheinterfacewiththenext layer. Initially, thereis
also thermal inertia (TI) (or thermal capacitance) which may be important in pulsed
ampliers, as gainandoutput power areproportional to thechannel temperature. The
thermal components can berepresented by electrical analogues, resistors for TR and
capacitorsfor TI. For example, consider atransistor screwedintoaboxwhichinturnis
boltedtoaheatsink. InthiscasethereistheTR of thedevicechannel toange,
jc
, that
of thelayer betweentheangeandtheboxoor,
fb
, theboxmaterial itself,
bx
, thebox
heatsink junction,
bh
, andnally that of theheatsink (assumedtobeeither instill air
or axedair ow),
h
. All of theseTRsaddtogiveanet
T
, seeFigure7.12a. A noteof
caution, the thermal resistance is not constant, it is proportional to the temperature
difference, thegreater thedifferencethegreater theheat ow. TheTI of theinterface
layers is typically very small and is ignored. The temperature differential between
thedevicechannel andtheheatsinkisthedissipatedpower P
D
, times
T
. TheTI canbe
calculatedby observationof theactual temperatureriseprole[5], whichis described
bytheformula:
T
rise
=
j c
P
d
_
1e
t,
j c
C
j
_
(7.2)
wheret isthetime. A typical responseisshowninFigure7.12b. TheTR of aparticular
junctionis aresult of theintrinsic material thermal conductivity (W/m

C), wherethe
mreferstothethicknessof thematerial inmetres. Whilethiswouldappear tosuggest
that all materialsshouldbeasthinaspossiblethisisnot quitethecase; inorder for the
heatsink to operatemost effectively thetemperaturemust begiventheopportunity to
spread, otherwiseonlyalimitedportionof theheatsink will beeffectiveinremoving
306 Microwave hybrid amplier realization
Channel
Junction to
Flange,
jc
Heat
Source
P
D
160.00
140.00
120.00
100.00
80.00
60.00
40.00
20.00
0.00
0.00 0.20 0.40 0.60 0.80
Time (s)
Device
Device Flange
H
x = H tan 70
70, spread angle
Mounting Holes
T
e
m
p
e
r
a
t
u
r
e

(

C
)
Temperature Rise
1.00
For:

jc
= 2.8 C/W
C
j
= 0.1 10
6
C/s
P
d
= 50 W
1.20 1.40 1.60
(a)
(b)
(c)
Flange
Thermal
Inertia, C
j

T
=
jc
+
fb
+
bx
+
bh
+
h
Box Floor
Thermal
Inertia, C
b
Heatsink
Thermal
Inertia, C
h
Flange to
Box Layer,

fb
Box Floor,

bx
Box to
Heatsink
Layer,
bh
Heatsink to
Ambient
Air,
h
Figure 7.12 Thermal performance: (a) electrical analogueof thermal resistanceandinertia;
(b) examplethermal proleshowingeffect of thermal inertia; (c) thermal spreadingbelow
device.
7.3 Housing 307
theheat. Intermsof heat transfer it isrecommendedthat theheat sourcesbeseparated
suchthat theheat illuminates anareadenedbya70

angleasshowninFigure7.12
(c). Inpractice, other considerationscomeintoplay, suchas:
r
thicknessrequiredfor mountingscrewtapping(1.5diameter minimum);
r
separationof devices, determinedbyangewidthor theRF matchingcircuits. Ideally
Handthedeviceseparationshouldbeset suchthat theilluminated areasjust touch
for eachdevice;
r
boxwidth.
Oneof theadvantagesof usingmultipledevicesover asinglehigh-power deviceisthe
ability to spread thethermal load over alarger area. Theresponsein Figure7.12b is
typical forapowerdeviceange, thishaslimitedthermal capacitybecauseof itsphysical
size. Whenconsideringlargeboxestheeffect canbeamuchgreater timedelay.
Forsurfacemountdevices(resistorsandloadsaswell astransistors) theheatismainly
transferredtothegroundplanethroughviaholes. Theabilityof asingleviatoconduct
heat isgivenbythethermal resistivity,
:
:

:
=
4h
(d
2
o
d
2
i
)
(7.3)
wherehisthesubstratethicknessandd
o
andd
i
aretheouter andinner diametersof the
via. Theconstant, k, isdependent upontheconductor (plating) material andfor copper
is 384 W/m

C. This assumes that theviais unlled, lling theviawill improvethe


thermal conductivity, however the conductivity of solder (Figure 7.2) is signicantly
lessthanthat of copper andhence, it isbest toerr onthesideof cautionandignorethe
llingeffect. A number of vias will berequiredto achievetherequiredTR, it should
beremembered that as theviagets further away fromtheheat sourcethen so its TR
increases.
It can beseen fromFigure7.12athat theeasiest way to reducetheoperating tem-
peratureis to removeaninterface, e.g., directly mountingdevices to theheatsink. For
MHPAsaproblemcomesfrommaintainingagoodRF ground; thegroundplaneof the
matchingcircuitsmustbecontinuouswiththatunder thedevicesasshowninFigure7.5.
Extrudedheatsinkshaveareasonablywidedimensional tolerance, whichmustbecatered
for in approaches such as Figure7.9e. A solution is to manufacturethehousing and
heatsinkasoneunit.
Inorder toreducetheTRof theinterfacelayersathermal compoundisusedtoll the
microscopicair gapsbetweenthematingsurfaces, but applyingit liberallycanactually
increasethethermal resistance; thermal compoundsarenotasgoodthermal conductors
asthemetalsusedintheangeor thehousing. Althoughwidelyusedbelowmicrowave
frequenciesthisapproachisseldomusedabove1GHz, asthebest thermal compounds
areelectricallynonconductive, andattemptstoimprovetheelectrical conductivityhave
degraded the thermal resistance. An alternative is to use soft thin metal shims such
as Indiumunder theange. Thesedeformandcompensatefor any surfaceroughness;
however, theyalsointroduceanother interfacelayer. Thebestsolutionisfor thenishof
themetal surfacestobeasat aspossible. Selectively machiningtherecesswherethe
308 Microwave hybrid amplier realization
devices sit to amirror nishis anacceptablesolutioninlower volumeapplications;
this is smoother than that on the underside of most transistors. Where a heatsink is
boltedtothehousingoor theelectrical conductivityislessof anissueandinthiscase
athermal layer is appropriateand agraphitesheet may beconsidered. This has high
thermal conductivity(20W/m

C) andismanufacturedinsheetsasthinas0.13mm. It
ismucheasier tohandleandlessmessythanthermal grease.
Inadditiontotheatnessof thematingsurfacesitisimportantthatthecorrecttorque
isappliedtothescrewsxingthetransistor. Thisinformationisavailablefromthedevice
manufacturersanddependsonthematerial andthicknessof theange. Over-tightening
cancausetheangetobow, whichwill notonlyincreasethethermal resistancebutcould
damagethebrittlematerialsinsidethepackage(ceramicandactivedevice). Thescrews
should be as large as will t in the ange holes, with both a at and spring washer.
Cap-headsockettypeshavelessriskof thedriver slippinganddamagingsurrounding
circuitry. Whentappingintosoft metalssuchascopper or aluminum, it isimportant to
useaslight countersink. If over tightenedtheedgesof theholecanbecomeraisedand
thus distort themating surface(someanges havethecountersink included which is
equallyacceptable). Thenishandatnessof theundersideof thedeviceangeshould
beinspectedaspartof theassemblyoperation, if notonanindividual basisthencertainly
on asampleof each delivery. Any sign of twisting or scratching of theangeshould
beareasontoreject thedevicebacktothesupplier. Somedevicesupplierssuggest that
theangeatnesscanbeimprovedusingwet/dryabrasivepaper dont accept it! The
manufacturer is responsiblefor providingthedevices inauseablecondition, but such
polishingmaybenecessaryonthehousingmatingsurface. For classA biaseddevicesa
simplecheck istomeasuretheangetemperatureunder knownconditions. Wherethe
budget allows or volumeis sufcient, athermal imagining systemcan quickly spot a
devicethat has not beenmountedcorrectly. Note: issues havebeenseenwithsome
of thehandheldinfra-redthermometerswhenampliersareoperatingwithRF signals,
theexact interferencemechanismisnot currentlyknown.
Detailedthermal calculationsarevery complex. Finiteelement softwareisavailable
thatcanmodel thermal behavior, however thesetendtobeexpensiveandthesimulations
difcult toconstruct. Inaknownenvironment, that is, wherethepower tobedissipated
andthesizeof theamplier housingareknown, it is oftensimplest toconstruct atest
usinganequivalent loadresistor (dissipatingthesameheat asthepower transistor(s) in
theworst casescenario) bolted to theoor of arepresentativehousing. This not only
assistsintheselectionof thebest heatsink andtherequiredair velocity, but alsowhere
sizeandweight arecritical parameters, what customizationof theheatsinkcanbemade
without affectingtheheat transfer totheair. It isimportant toincludeintheexperiment
heat sources for the main power dissipating circuit elements, including those of the
DC bias circuit. Many different types of heatsink areavailable, fromtheconventional
parallel nnedtothepost styleusedwithcomputer processors. Thislatter typeoften
comeswithttingsforfanstobedirectlyattachedandusesaturbulentairow, aswell as
relativelylargesurfaceareatomaximizeheat transfer totheair. Standardheatsinksuse
aparallel arrayof taperedns, whileothersusearoot styleasshowninFigure7.13a.
Thesearemadeusing aluminumextrusions, cut to theappropriatelength. Wherethe
7.3 Housing 309
125
60
1
3
5
1
6
0
0
ABL REF
195AB
196AB
197AB
198AB
199AB
1 2
AIR VELOCITY Meters Second (m/s)
M
U
L
T
I
P
L
I
C
A
T
I
O
N

F
A
C
T
O
R


C
W
0.1
0.2
0.3
0.4
0.5
0.6
0.7
0.8
0.9
1.0
3 4 5 6
750
600
500
400
300 22
30
38
46
58
0.05
50 100
Length in (mm)
150
195AB
196AB
197AB
198AB
199AB
200
0.10
0.15
0.20
0.25
0.30
No.of fins
50 100
Typical Performance
Length 50 100
0.46 0.35 0.28 0.24
300 200 150
0.64
150 200
Length in (mm)
Black Anodised

C
/
W
C/W
C/W Black

C
/
W
Natural Finish
250 300
0.20
(a)
(b) (c)
0.40
0.60
0.80
1.00
(d)
Figure 7.13 Heatsinktypes: (a) root style, noteimprovement inqfromblackanodizing;
(b) impact of air ow; (c) highpower ridgedfanheatsink; (d) extrudedheatsinkwithcross-cut;
(e) bondednassembly; (f) complexdip-brazedassembly. Photos(a)(c) courtesyof ABL Ltd.,
www.ablcomponents.co.uk, photos(d)(f) courtesyof H.S. MarstonLtd., www.hsmarston.co.uk.
heat is distributedevenly across ahousingoor, sheets of aluminumcanbebrazedor
epoxiedinto analuminumhousingor baseplate, Figure7.13e. Althoughthejunction
between then and theplateis not as thermally efcient as an extrusion, thesurface
areaof theheatsinkisgreater for anequivalent footprint (morens).
Heatsinks can beconstructed out of thehousing itself. An integrated heatsink will
havesuperior performanceasthethermal junctionbetweenthehousingandtheheatsink
310 Microwave hybrid amplier realization
(e)
(f)
Figure 7.13 (cont.)
hasbeeneliminated. Involumeapplicationsthehousingmaybecast; theinternal oor
will requiremachiningtogiveagoodsurfaceatnesswherethepower devicesaretobe
mounted, butwitharougher nishtheheatsinknswill causemoreair turbulenceanda
largersurfacewhichwill improveheattransfer, Figure7.13c. Thecapabilityandspeedof
modernmachiningstationshasmeant that it isoftencost-effectivetoproducehousings
bydirect machining; however, producingtherelativelynarrow, deepgapsrequiredmay
bedifcult usingconventional cutters. Analternativethat hasbeenusedsuccessfullyis
tomakeanarray of diamondwheel cutters onaspindle, withtheappropriategaps set
usingspacers. Thiscanthencut theslotsrequiredinthehousingblock.
The alignment of the heatsink ns relative to the heat producing components is
importantwhenforcedair coolingisemployed. Wherepossiblethearrangementshould
besuchthat thecomponents areperpendicular totheairow, otherwisetheair will be
7.3 Housing 311
preheatedby therst components. Without forcedair theheatsink shouldbemounted
withthens vertical. Theprimary methodof heat loss is convectionbut radiationcan
play asecondary roll. Theability of asurfacetoemit infra-redradiationis referredto
as emissivity (ratio compared to an ideal black body), thus black anodized aluminum
nishis commonfor heatsinks (emissivity of 0.8comparedto 0.05for polished),
seeFigure7.13a. Thetreatment to increaseemissivity must not insulatetheheatsink
fromtheair. As most of thecooling is aresult of convection, it follows that cooling
effectivenessisdependent onair densityandhencealtitude. Analtitudeof 3000mwill
degradeaheat sinksefciencyby20%.
Whenpushedtoreducethejunctiontemperaturethenincreasinglycomplicatedmeth-
odscanbeused. Theeffectivenessof aheatsinkcanbeincreasedbyforcedair cooling.
If this is availablewithinthesystemit is asimplesolution, however if includingafan
withtheamplier, considerationmust begivento failureandmaintenance. Thefanis
probably themost unreliablecomponent (movingparts) andwill havealimitedlife. It
is also moresusceptibleto shock andvibration. Airowimproves theeffectiveness as
showninFigure7.13b.
Aluminumis commonly used in microwave housings for reasons of cost, weight
andmachinability, however its thermal conductivity is almost half that of copper (250
compared with 400 W/m

C). Some ampliers have been constructed with a copper


puck xed into theoor of an aluminumhousing, with thedevices bolted onto the
copper. Water coolingcanbeveryeffective, but manysteer awayfromit for thesimple
reasonthat water andelectricity isnot agoodmixture! Onesolutionistousewater to
cool aplateontowhichtheamplier modulesarebolted. Thiscanbeconstructedusing
dipbrazingtechniquesorhavingcopperpipesepoxiedorsolderedintothecoolingplate.
Another extensionof thisapproachistouseheatpipes. Thesecomponentshavethermal
conductivities upto athousandtimes greater thancopper. Typically, they aremadein
rod formand work by theheat at oneend causing aliquid in thetubeto changeto a
vapour which, dueto thelowpressureinside, quickly moves to theother endwhereit
cools back into aliquid, so transferring energy. Theliquid is absorbed into aporous
liningandisdrawnbycapillaryactionbacktothehot end. Heatpipescanbeembedded
inamplier modules or coldplates withthecoldendclampedto awater-cooledheat
exchanger.
Sometimesthecustomer providesacooled-surfaceontowhichtheamplier module
is clamped. This is also known as acold-wall. Theresponsibility for removing the
heat istheirs, however thedesigner must ensurethat thereissufcient thermal transfer
betweenthetwo surfaces. It is also important that thecustomer is fully awareof how
muchheat will begenerated. Therearebroadbandclass A power ampliers that have
efcienciesof between10and20%whichmaysurprisesome.
7.3.5 RF connections
Microwavesignal connectionsaremost oftencoaxial, althoughdirect waveguideinter-
faces arealso used(Figure7.7). Thetypeof coaxial connector is dependent uponthe
operatingfrequency andpower level. Tomakeconnectorsfunctionat highfrequencies
312 Microwave hybrid amplier realization
Table 7.6 Coaxial connector power handling calculations
Maximumaveragepower (W)
Connector type N SMA 3.5mm 2.4mm
Max. oper. freq. 18GHz 26GHz 40GHz 65GHz
Frequency(GHz) 1 10 1 10 1 10 1 10
Max. power (W) 1900 570 590 180 280 85 130 36
Note: thisassumesaperfect match, 23

C, indryair at sealevel.
Temperature(

C) Altitude(m)
0 40 80 0 1500 15,000
De-rating 1.2 1.0 0.8 1.0 0.95 0.5
thesizeisdecreased; thisreducesboththecurrent handlingandthevoltagebreakdown,
thusreducingthepowerhandlingcapabilities. Besidesmatch, theoperatingenvironment
must also be considered as both temperature and altitude reduce the power handling
ability of coaxial connectors; the quoted gures fromAstrolab Ltd.
4
are included in
Table 7.6. The performance of the connector depends upon the materials used (their
purity), methodof constrainingthecenter conductor, andtheprecisionof themanufac-
turing. Theuseof epoxy center contact captivation (identied by aholein themetal
walls lledwiththeepoxy typically blueor black) shouldbeavoidedinhigh-power
applications; theepoxy has higher loss than thesurrounding PTFE and consequently
experiences localizedheatingwhichcanresult intheepoxy blowingout of thecon-
nector.
Examplede-rating calculation: SMA connector at 10 GHz operation at 80

C and
1500m, then1800.80.95= 136.8W.
The most common method of connecting to the RF PCB is to bolt the connector
through the sidewall of the chassis, Figure 7.14a. The connector center pin can be
supported by an extended dielectric (usually PTFE). In hermetically sealed and eld-
replaceable units the center pin will be a separate part mounted in a glass bead and
solderedor reddirectly into thehousing. Wherethepinis unsupportedthediameter
of theholethroughwhichit passesmust maintain50O. InthePTFE sleevedcase, the
manufacturer sets thePTFE diameter toproducea50O transmissionline. Inthecase
of anair-lledholetherelationshipbetweenthepindiameter andthatof theholecanbe
approximatedbyasimpleformula:
Z
0
= 138log
D
d
(7.4)
which, for 50O impedance, simpliesto
D = 2.303d (7.5)
whereDistheouter diameter anddisthepindiameter.
4
www.minibend.com.
7.3 Housing 313
Figure 7.14 Coaxial launches: (a) panel mount; (b) impedancediscontinuityat transition;
(c) through-boardmodesuppression; (d) stressrelief tapebond; (e) stressrelief slidingcontact.
Photo(e) courtesyof AnritsuLtd.
314 Microwave hybrid amplier realization
Table 7.7 Coaxial transition parameters for
50 O and cut-off frequency
Centrepin Feedhole Cut-off frequency
(mm) (mm) (GHz)
0.5 1.15 115.6
1.0 2.30 57.8
1.5 3.45 38.5
2.0 4.61 28.9
2.5 5.76 23.1
3.0 6.91 19.3
3.5 8.06 16.5
4.0 9.21 14.4
4.5 10.36 12.8
5.0 11.52 11.6
Forpowerapplicationsitisimportanttomaximizethecurrentcarryingcapacityof the
connector, asthediameter increasessothecoaxial transmissionlinecansupport other
modeswhichcanbeexcitedatadiscontinuity, e.g., thetransitionfromcoaxtomicrostrip
andviceversa. Thus, itisnecessarytokeepthedimensionsbelowthefrequencyatwhich
theseother modes canstart to besupported. Table7.7shows therelationshipbetween
pinandholediameter andhigher order modecut-off frequency.
It has been noted that where a discontinuity exists this can launch other modes.
Figure7.14bhighlightstheriskareaaroundtheconnector launch. Thisgureshowsthe
region wherethecoaxial elds of theconnector arechanging to thetransverseelds
of thesubstrate. It can also beseen that thelength of thesignal path and that of the
ground path are different, which causes the even and odd mode phase velocities to
become out of step. Note that this is also an issue where different parts of a circuit
aremounted on carrier tiles. At connector launches this ground path problemcan be
resolved by extending the housing wall to overhang the PCB and by placing ground
padswithviasincludedonthetopsurfaceof thePCB. Anapproachusingthismethod
hasbeendescribed[6] whichshowsexcellentperformanceto>40GHz. A modication
of this is to createataper transition fromcoplanar to microstrip. A drawback of this
approachisthat thePCB needstobemountedunder theconnector.
It is sometimes required to mount the connector through the substrate material as
shown in Figure 7.14c. PCB mount connectors are becoming increasingly popular;
however caremustagainbetakentoavoidmoding. Manyconventional PCB connectors
haveintegral posts at thefour corners of theangewhichareintendedto besoldered
throughthePCB. Aswell asprovidingamechanical connectionthesecanalsohelpto
reducemodingat theright-angleconnection. Astheoperatingfrequencyincreasesfour
posts arenot sufcient and additional vias arerequired. Thesegrounds can beposts,
platedvias, or mountingscrews.
Direct solder attachment of thecenter pintothePCB track isthestandardapproach
withsoftsubstratesasthegiveinthesubstratematerial providesthermal andmechan-
ical stressrelief. Mechanical pressureisputonthepinduringthematingcycle. For hard
7.4 Components 315
substratesthereislittlecompliancesoadirect solder attachment isnot recommended.
Thereareanumber of variationsonthewirebondlink showninFigure7.14d, includ-
inghavingthecenter pinattenedat theendandthewirebondat right angles to the
pin (which is slightly offset fromthe track). A very successful solution is shown in
Figure7.14ewhereaslidingcontact ts over thecenter pin. This contact canitself be
soldereddirectlytothePCB track.
7.4 Components
Thecomponentsusedinhybridmicrowaveamplierscanbedividedintoactiveandpas-
sive. MHPAstakeadvantageof thecompactspacethatalumpedelementcomponentcan
provideor thetailoredfrequencyperformanceof adistributedcomponent. Advancesin
processinghaveproducedlow-temperatureco-redceramic(LTCC) discretepackaged
devices, whichmay containamixtureof discreteanddistributedcomponents inwhat
appearstobeasingleelement, thusthereisevenablurringof thecategorizationbetween
lumpedanddistributed. A conict existsbetweenacceptedpracticeinthegeneral elec-
tronicsengineeringindustryandwhattricksyoucanplaytoimproveRF performance.
Forexample, mountingasurfacemountchipresistoronitssidecanshiftapackagereso-
nanceupinfrequency outof thewantedband butwill giveproductionengineerswith
automated assembly lines high blood pressure! Chip components haverecommended
padsizesfor solder connections. Thesepadswill haveanassociatedcapacitancewhich
thedesigner maywant tominimize. Hence, acompromiseneedstobereachedbetween
theRF performanceandtheproductionrequirements. Intheend, thecomponent must
meet itsRF performancerequirementswhilestill beingmanufacturable.
7.4.1 Passive lumped components
Ampliersrequirecapacitors, resistorsandinductorsfor their operation. Inmicrowave
designsuchelementsdonotbehaveasapureelement. Byknowingthepropertiesof the
element, thesecanbeincorporatedintothecircuitdesignandusedforouradvantage. For
example, afeedback network may compriseof aresistor, acapacitor andaninductor.
The capacitor (C), and resistor (R), will have parasitic inductance. By choosing the
appropriatephysical sizeandshapefor thecapacitor andresistor theycaneither reduce
theinductanceneededor encompassit entirelywithinthetwoelements.
ModelingtheRs, Ls, andCscanbedoneeither usingaphysicallybasedmodel or by
usingexperimentallymeasuredS-parameters. Manycomponent suppliersnowproduce
libraries of dataon their components which can bereadily used in simulators. Users
shouldapproachthesewithcaution, particularlyasfrequencyincreases. Ideally, thesur-
roundingsshouldhavebeenentirelyde-embeddedsothat themodel existsindependent
of itsenvironment. Itisimportanttoverifythemodelsusedintheenvironmentinwhich
the components are intended. It is also useful to understand the construction of the
componentssothat theremaybesomeexpectationof thebehavior withfrequency.
316 Microwave hybrid amplier realization
Resistors
Thesehaveawidevarietyof usesinampliercircuits, e.g., low-frequencybiasing, loads,
attenuators and feedback elements, and signal balancing in combiners. In microwave
applications resistors are mainly made frommetal lms, the metal being chosen for
its resistanceproperties rather thanits conductivity as inPCB tracks. Themajority of
resistorsusedarebaseduponasurfacemountchip, however therearetimes(particularly
below3GHz) whentheinductanceassociatedwithaleadedresistor canbeof benet.
Leaded resistors for such applications should bemetal lmas carbon composition or
wirewound resistors generally contain many parasitic elements and thus havewidely
varyingimpedancecharacteristics. Theinductanceof theleadlengths associatedwith
theresistor iscalculatedfrom:
Inductancein nH = 0.2l
_
2.3log
4l
d
0.75
_
. (7.6)
wherel istheleadlengthanddisthediameter (inmm).
Chipresistorsbasicallyconsistof aceramictileontowhichhasbeendepositedametal
lm(thin lm) or paste(thick lm). Thepasteis red at high temperatures and then
theresistancecanbetrimmedtothenecessaryvalue. Thecontinuedminiaturizationof
electronicshasbeenbenecial tothemicrowaveindustryasthesmaller thecomponent
thenthelower theparasiticsandhencethecloser theperformanceistothatof thepure
element. With sizereduction comes lower power handling. Theexact power handling
ability isdependent onalargenumber of factorsandhasbeenexcellently documented
in other work [7]. As discussed in Section 7.2, at high frequencies thecurrent is not
evenly distributedthroughtheconductingmaterial, thus thequality of theconducting
surfaceisof paramountimportance, andthistendsnottobewell controlledinthicklm
productsduetothenatureof thepaste. Thekeyaspect of thicklmisthat it islowcost.
Thin-lmresistorsaremoreexpensivetomanufacture. A thinresistivelayer, typically
of nickel/chromium(nichrome) or tantalumnitrideisdepositedontheceramicandthen
conductiveterminations aredeposited at either end. Sheet resistances vary between 5
and 250O/sq which arevery suitablefor thetypical resistances required in amplier
circuits. Itisimportanttocheckhowtheresistancevaluesaretrimmed, seeFigure7.15a,
as sometimes a path is cut in the conductor which can have intrinsic capacitive and
inductiveeffects. Theendterminationsonstandardresistorstendtobewrap-around
asthetopsideisalsooftenprintedwiththeresistivematerial. Better RF behavior maybe
achievedby mountingtheresistors upsidedownas this reduces theeffectiveelectrical
length, but it will alsoincreasethecapacitancetoground. High-performancethin-lm
resistors areoftenofferedwiththeoptiontohavetheendterminations onthetopside
only, andaremountedipchip. For high-power applicationathirdmetallizationcan
beaddedtotheundersideof theresistor sothatitmaybesoldereddirectlytotheground
planefor optimumthermal transfer. Specic values for resistors, such as 50 O, have
beenproducedwithoneof theendterminationswrappedaroundtocover thecomplete
undersideof theresistor. Thisisfor useinterminationsor loads.
The majority of surface mount resistors are made using alumina substrates. For
high-power applications berylliumoxide(BeO), thermal conductivity 290W/m

C, or
7.4 Components 317
Helical Trim
Pulsed Trim
PORT
P=1
Z=50 Ohm
PORT
P=2
Z=50 Ohm
IND
ID=L1
L=LPS nH
CAP
ID=Cf
C=0.021 pF
RES
ID=R1
R=100 Ohm
CAP
ID=Ci
C=0.02 pF
CAP
ID=Ci
C=0.02 pF
Meander Trim
(a)
6
8
10
12
14
0 5
DB(|S(2,1)|)
100R_Modelled_RTD
DB(|S(2,1)|)
100R_Modelled_AI
DB(|S(2,1)|)
100R_Sparams
DB(|S(2,1)|)
Lumped Model AI
DB(|S(2,1)|)
Lumped Model RTD
10
Frequency (GHz)
Thru Loss
I
n
s
e
r
t
i
o
n

L
o
s
s

(
d
B
)
15 20
(b)
(c)
Figure 7.15 Surface-mount resistors: (a) resistor trimmingapproaches; (b) simpleequivalent
circuit for 0603resistor on0.8mmthick
r
= 2.2withrecommendedmountingpads; (c)
performanceof 0603100O resistor, manufacturersrawdata(100R_Sparams), resistor model
andEM on0.635mmaluminaandRT duroid5880substratesandlumpedequivalent circuit.
aluminumnitride (AlN), thermal conductivity 170W/m

C, are used. Despite having


lower thermal conductivity, AlN isincreasinglypopular asberylliaishighlytoxicinits
powderform. Itisbannedinanumberof applicationsandcountries, productscontaining
it must beappropriately labelled, and it is difcult to disposeof. Increasing volumes
and the number of suppliers have seen the price of AlN products fall to acceptable
318 Microwave hybrid amplier realization
Table 7.8 Conventional thick-lm resistors mounted on
0.8 mm FR4 substrate
Power rating
Reference Size(mils) Size(mm) (mW)
0201 2010 0.510.25 50
0402 4020 1.020.51 63
0603 6030 1.520.76 100
0805 8050 2.031.27 125
1206 12060 3.051.52 250
2010 200100 5.082.54 500
2512 250120 6.353.05 1000
levels. Wheresingleterminationscannot handlethepower level required, resistorscan
beusedinparallel. Thishastheaddedadvantageof reducingtheseriesinductance, but
capacitancecanincrease.
Resistorsinmicrowavecircuitsareoftenapproximatedbyasimpleequivalentcircuit,
asshowninFigure7.15b. However, it shouldbenotedthat thevalueof C
i
isdependent
uponthepadsizeandthesubstrateused, henceageneric model for theresistor should
not beused. Thevalueof C
f
depends upontheresistor size, paddimensions, andend
terminations. Anexampleof howdifferent modelsandsubstrateswill affect themodel
performance is shown in Figure 7.15c. A 100 O resistor has measured S-parameters
from45MHz to2GHz; theseareextrapolatedto20GHz asareference. Theresistor is
modeledusingbothalumpedelementequivalentcircuitasinFigure7.15bandausinga
modiedequivalent circuit replacingC
i
withanEM simulationfor themountingpads.
Theparasiticinductanceandtheresistanceareconstant for all simulations. Thisshows
that theperformanceof theresistor itself cannot betakeninisolation. If S-parameters
areusedtheyneedtocover thefull frequencyrangeandbeproperlyde-embeddedfrom
themeasurement test xture. Themountingpadsneedtobeincludedinthesimulation,
either directly or as tracks feedingthecomponent. Table7.8lists thepower ratingand
sizeof commonsurface-mount resistors.
Capacitors
These are essential in the operation of microwave ampliers, they have functions at
bothDC andRF andtherequirements areoftenat odds. They areusedfor interstage
biasde-coupling, matching, by-passingandlocalizedchargestorage. Aswithresistors,
not only must their primary characteristic capacitance beconsidered but also the
parasiticelements. Initsmost basicformacapacitor consistsof twoparallel platessep-
aratedbyaninsulatingmaterial. Suchcapacitors, calledsingle-layer capacitors(SLCs),
arecommonly usedinmicrowaveapplications. Thecapacitances that canbeachieved
are determined by the relative dielectric constant of the insulating material,
r
. The
capacitance, C inpF isgivenby
C =
0.00885
r
A
d
. (7.7)
7.4 Components 319
0
1
2
3
4
5
6
0 1 2 3 4 5
Frequency (GHz)
Horizontal Orientation
C17AH101K-7UN-X0T 100.0 pF Temp = 25
Vertical Orientation
C17AH101K-7UN-X0T 100.0 pF Temp = 25 C
S
2
1

(
d
B
)
0
1
2
3
4
5
6
S
2
1

(
d
B
)
6 7 8 9 10
0 1 2 3 4 5
Frequency (GHz)
End termination
Capacitive Plates,
end termination
removed.
6 7 8 9 10
Figure 7.16 Effect of plateorientation. Courtesyof DielectricLaboratories, Inc.,
www.dilabs.com.
whereAistheareaof theplatesinmm
2
anddistheseparationinmm. Workingagainst
theapplicationof thecapacitor inMHPAsisthat thecloser theplatesarethenthelower
thebreakdown voltage, and thehigher the
r
theworsethetemperaturestability and
oftentheloss. Inorder to achievehighcapacitancevalues layers of capacitors canbe
madewithalternateplates joinedtogether as showninFigure7.16, hencetheir name
multilayer capacitors (MLCs). Inthiswayhigher capacitancevaluescanbeachieved
for the same foot print. The parasitic elements of a capacitor are largely due to the
dielectricmaterialsandthephysical size. Theinductanceisproportional tothelengthof
theplates. Thelossinthedielectric, (theenergy that isdissipatedasheat) isexpressed
asaresistanceinparallel, R
S
. Modelingcapacitorsinthemicrowaveregioncanbecome
verytricky, not least becausetheperformancechangesdramaticallywithorientation, as
showninFigure7.16. Withtheplatesparallel tothegroundplane(horizontal) aseries
of resonancesexist, rotatingthecapacitor 90

sothat theplatesarenowperpendicular
to theground plane(vertical) removes half of theresonances. Thereareanumber of
320 Microwave hybrid amplier realization
Table 7.9 Characteristics of various dielectric materials and approximate changes with
frequency
Material
r
Tan (DF) Q
100MHz
Q
1GHz
Q
10GHz
BariumTitanate 12008000 0.030.1 3310 310 -1
Ceramic 30 0.002 500 50 5
Alumina 10 0.0005 2000 200 20
Porcelain 15 0.00007 14000 1400 140
theoriesregardingthisbehavior, oneisthat it isduetothephysicallylonger path-length
between the signals of the top and bottomplates in horizontal mounting, another is
that thecapacitor actslikeafoldedtransmissionlineconnectedtothefeedtrack at one
endandopencircuit at theother, thusbecomingaresonator [8]. It isarguably simpler
and morepractical to measuretheS-parameters of acapacitor than develop amodel,
particularly if onewouldneedto makeameasurement to verify themodel! As inthe
discussion on resistors, theeffect of thesubstratecannot beignored. For MHPAs the
most important characteristic is the insertion loss. Assuming that a suitable value of
capacitanceischosentokeeptheimpedancelow(1/C) thenthelossisaresult of the
dielectricloss. Thishasseveral measures, qualityfactor (Q), dissipationfactor (DF) or
tan.
Q =
1
DF
=
1
tan
=
X
C
R
S
(7.8)
An ideal capacitor would have the RF current lead the voltage by 90

. In the real
worldthisisnot thecaseandthephasedifferenceis. Thisismorecommonly quoted
as tan (wherethemeasureof substrateloss comes from). Tan is dependent upon
frequency and temperature, but unfortunately fewmanufacturers provideinformation
onthisrelationship. For example, havingmeasuredtheoutputpower of adeviceandthe
insertionlossof theoutputmatchingcircuitincludingadecouplingcapacitor, itisfound
that theoutput power islower thanexpected. Themeasurement of thematchingcircuit
wasundersmall signal conditions, underpowerconditionsthelosscausesheating, which
increasesthetan, increasingtheloss. It must berememberedthat aninsertionlossof
0.1dB equates to2%of thepower beinglost inthedevice. In100W that is 2W ina
verysmall space. Table7.9givesasummaryof thecharacteristicsof someof themost
commonmaterialsusedincapacitor dielectrics.
The manufacturers measurements are generally made at low frequencies and the
valuesfor Qinthetableareapproximatebaseduponobservedperformance. Although
exact datais rarely providedindatasheets at thefrequencies, temperatures andpower
levels that adesigner might want, what is clear is that thematerials usedshouldhave
thelowest possibleloss. Theinsulationresistance, R
P
, (theDCcurrent paththroughthe
dielectric material), is typically of theorder of 100,000MO andso is usually ignored
for RF purposes.
For frequenciesupto8GHz whereMLCsareused, thecapacitancevaluesdecrease
withfrequencytoavoidself-resonancewiththeinternal inductance. SLCsarepreferred
7.4 Components 321
above8GHzalthoughtheir planar constructionmaynecessitatewirebondingor careful
handsoldering. Dielectric Laboratories offer aGapCap, wherethebottomplateof
the SLC is split in half effectively making two series capacitors. This may be more
suitable for some production environments than a SLC, but note that it does have a
slightlylower self-resonantfrequency(SRF). For narrowbandapplicationsitispossible
touseMLCsabovetheSRF forDCblocking, however itshouldbenotedthataboveSRF
theimpedanceof thecapacitor increaseslikeaninductor. Indeed, insomeapplications
chipcapacitorshavebeenusedabovetheir SRF asinductiveelementsastheyaremore
repeatable, lowercost, andeasiertohandlethanveryhigh-frequencyinductors. Itisrisky
tooperatenear theSRF astheimpedancevariesrapidlyinthisvicinityandissensitive
to temperature. It shouldalso benotedthat althoughcapacitors fromasinglesupplier
are highly repeatable, performance will vary for similar types of capacitor between
suppliers, particularlytheSRF.
Theelectronic industries association (EIA) divides capacitors into threeclassica-
tions, whicharebasically determinedby thestability of thecapacitance. For matching
andcouplingEIA class1areused, forchargestorageandlow-frequencybiasdecoupling
class3isused. Agingisgenerallynotasignicantissueinclass1capacitors; however in
class3thevariationcanbesignicant. Thisiscausedbytemperatureandhigh-voltage
eldstrengthsaffectingthecrystallinestructureof thedielectric.
As mentioned, for the parts of the circuit where the RF signal ows it is required
that theinsertionlossbeaslowaspossible, but therearepartsof thecircuit wherethis
characteristic is not thecase. Wherebroadbanddecouplingis required, for exampleat
theends of bias lines, theintentionis to ensurethat unwantedsignals areterminated.
Damping resistors in thebias lines causeproblems with changing voltagedrops with
frequency, but alossy decouplingcapacitor will not affect theDC performance. Here
amixtureof capacitor typesareused, ahigh-quality MLC andoneor moreincreasing
capacitanceceramics.
Inductors
The properties of inductors include the fact that their impedance increases with fre-
quency. To increase the inductance the wire can be wound in a coil. This increases
themagnetic ux linkage, whichopposes theowof current throughthewire, hence
increasingtheinductance. Thecoil alsohascapacitancebetweentheturns, whichcauses
theinductor to haveaself-resonant frequency. Conversely to thecasewiththecapac-
itor, aboveSRF theinductor behaves as acapacitor, i.e., its impedancedecreases with
frequency. For thelower microwaveregionswoundinductorscanstill playapart, how-
ever they areusually air coredandtheir impedancecanvary dramatically. Their main
applicationis inbias circuits whereafewturns of spacedcoils canproduceauseable
inductance; at higher frequencies a single loop of wire can be used. A danger with
thesetypesof component isthat theeldsarenot well containedandthusthey canbe
sourcesof transmissionandreceptionof radiation. Wherethey areusedthey areoften
positionedsuchthat they areat right angles, andphysically separatedas far as possi-
ble. Somecompanieshavesucceededinproducinglow-inductancesurfacemount chip
322 Microwave hybrid amplier realization
inductors, howevertheircurrenthandlingandrangeisrelativelylimited. Theinductance,
L, of anair coredcoil isgivenby[9]:
L =
0.394r
2
N
2
9r 10l
. (7.9)
wherer is thecoil radius in cm, N is thenumber of turns, and l is thelength of the
coil. Also, for optimumQ, l = 2r. Very widebandinductances havebeenachievedby
windingnegaugewireeither onaremovableconical former (hence, air cored) or ona
conical ironformer toincreasetheinductance.
An advantage of using air cored inductors or even singleloops of wireis that the
effective impedance can be tuned, either by altering the height of the wire above a
groundplane, or by alteringthespacing(andtheparasitic capacitance) of theturnson
thecoil. For manymicrowaveapplicationsit ispreferredtousedistributedcomponents
asdiscussedlater.
Integratedcomponents
ThedevelopmentsinLTCCtechnologyhavegivendesignerstheoptionof incorporating
anumber of elements inasinglepackageddevice. Thesearestill volumeapplications
unless the size/weight benets can justify the setup costs. The approach consists of
incorporating conductive, resistive and dielectric pastes onto green ceramic tapes.
Different layers arelaminated together and thewholeassembly is then red. Internal
viascanbecreated, andeachlayer isinspectedprior tolaminating. Inthiswayavariety
of components fromsimplestructures suchas lumpedelement lters or bias tees to
completefunctional blockscanbecreated.
Isolatorsandcirculators
Another benet of the mobile communications revolution was the packaging devel-
opment of thesemagnetic/ferritecomponents. Inthe1980s themanufacturingcontrol
of theferriteand magnetic material was not as rened as currently and much of the
assemblywasbyhandandintegral withtesting. Thehighvolumesrequiredfor thebase
stationindustry put emphasis onpackagingfor automatic placement oncircuits. This
hasresultedinpartswhicharenowreadily availableincommonfrequency bands, and
whicharesmall andcost effective. Isolatorsandcirculatorshavethreemainfunctions,
(a) to provideisolationbetweenstages, (b) to protect circuits fromreversepower, and
(c) togivetheamplier acceptableoutput impedance(Figure7.10). Thecirculator isa
threeportdeviceconsistingof asnowakecopperfoil sandwichedbetweentwoferrite
layerswithamagnetadjustedforthecorrectbiasingof theferrite. Themagnetensures
that theelds areorientated correctly. Theoperation of thecirculator is described in
Figure7.17. Thebehavior isduetothenonreciprocal natureof theferritematerial. The
signal enteringport 1splitsandtravelsaroundtotheother portsinoppositedirections.
Asthephasevelocitydependsuponthedirectionof travel, thenadditionor cancellation
can bearranged at theappropriateport by correctly applying themagnetic eld. The
center conductor ispatternedtoensuresymmetryof thestructureandimpedancematch-
ing (theimpedancein theferritesection is less than 50 O), thewider thebandwidth
themoreintricatethis structure. An isolator is acirculator with port 3 terminated in
7.4 Components 323
Signal Flow: Port 1-2 Port 3 Isolated
Port 2-3 Port 1 Isolated
Port 3-1 Port 2 Isolated
Physically the circulator is symmetrical internally.
Port 1 Port 2
Port 3
Figure 7.17 Functional diagramof acirculator.
aload. Circulators canbemadeto handlepower levels upto kWs; power handlingis
proportional tosize, thehigher thepower thestronger themagneticeldandthelarger
thecopper center conductor. Inisolatorstheloadoftendeterminesthemaximumpower
rating. Circulators/isolatorshaveabandpasscharacteristic, but careshouldbetakenin
high-power applications, hysteresis inthemagnetic elds results incirculators having
nonlinear characteristics andhencethey cangenerateharmonics at highpower levels,
whichcancauseintermodulationproblems.
Insystems wherethereis substantial electrical gaintherealso tends to bearisk of
oscillation if the reverse isolation between stages is inadequate. Attenuators between
thestagescanhelp, however throwingawaypower isanathematoPA designengineers.
Isolators, whicharelowlossintheforwarddirection(typically-0.5dB) andhighloss
in thereverse(20 dB), can beabetter solution. In class C pulsed applications, the
input impedanceof deviceschangeswithappliedpower. Therefore, theloadimpedance
seenby thedrivingdevicechanges duringtherisingandfallingedges of thepulse. It
can bedifcult to ensureacompletely stablenetwork especially when frequency and
temperaturearealsothrownintothemix. Theproblemisexacerbatedasonemovesdown
theamplier chain as each deviceis not only producing achanging input impedance
duetoitsowndrivelevel, buttheloaditseesisalsochanging. Anisolator inthemiddle
of theline-upcanreducethisproblemdramatically. Wheretheisolator isbeingusedto
protect theamplier thensmart loadsarebecomingmorepopular. Theseincorporate
atemperaturesensor ontotheloadwhichcanbeusedtotrigger ashut-downsequenceif
thereectedsignal istoohigh. Caremustbetakenwhenhandlingandstoringisolatorsas
theyaremagnetizedcomponentsandtheir behavior dependsonthestrengthof thiseld.
7.4.2 Passive distributed components
Distributed elements are those structures whose physical dimensions fundamentally
determinetheir electrical characteristics. Hence, thetolerancesandrepeatability of the
manufacturingprocesses haveadirect effect ontheperformance. Onaluminacircuits
capacitorsandresistorscanbeincorporateddirectly duringthecircuit fabricationpro-
cess. For resistors, aswiththeir lumpedelementequivalents, resistivepastescanbeused
inthick lmcircuitsor aNiCr layer inthinlm. Theseresistivematerialsarespecied
intermsof O/squareandtheresistance, R, isproportional totheratioof thelength, l, to
thewidth, n.
R = resistivity
l
n
(7.10)
324 Microwave hybrid amplier realization
The width can be adjusted to match that of connecting transmission lines. Common
resistivitiesare50and100O/sq.
Capacitorscanbeincorporatedbyputtingdownadielectricontopof conductorsand
thenoverlappingwithaconductivepaste/plating. Althoughthesehavebeenproposedfor
useinsoftsubstrates, particularlyusingconductiveinks, therehasnotbeenalargescale
takeupasyet.Inductorsonmicrostripcanbedifculttodistinguishfromhighimpedance
lines. Theexception is wherethelineis wound in aspiral (round or squaresided) as
is popular in MMIC applications. This is used less frequently in hybrid applications
duetotherelativelylargesizeandlower Qcomparedtowoundcomponents. Similarly,
interdigital capacitors are used less often in hybrid circuits. An exception is when
edgecoupledlines usedinsomebandpass lter applications full two functions, that
of ltering and DC blocking. For narrow band applications where the volumes are
extremelyhigh, edgecoupledlinesmaybejustiedontheir own.
Themost commondistributedcomponentsaretransmissionlines. Asmentionedear-
lier, the impedance ranges that can be created are limited due to moding and etch
tolerances. In practice, circuit structures are also limited by the ability to simulate
themwithin design tools. Distributed circuits have re-entrant properties, that is, the
impedances repeat (approximately) at multiples of 90 and 180

. Thebehavior of dis-
tributed components with frequency differs to that of pureelements. For example, at
a specic frequency a shunt inductor of impedance X
L
can be replaced by a shorted
transmissionlineof impedance, Z
0
tan whereZ
0
isthecharacteristicimpedanceand
istheelectrical length. However, whereasX
L
increaseslinearlywithfrequency, theline
impedanceincreases with tan , which is periodic. Thereareavariety of equivalents
betweenlumpedanddistributedcomponents, someof whicharegiveninFigure7.18.
Therealizationof distributedseriescapacitors, asmentionedabove, isvery difcult in
hybridapplications. Anexceptioniswhereverysmall valuesarerequiredwhichcanbe
achievedbyusingnarrowgaps.
A short circuited transmission lineor short-circuit stub, is basically atransmission
line with one end terminated in zero impedance, but this becomes more difcult to
achieve as frequency increases due to parasitics. Common methods of producing a
short circuit includeusing aviahole, edgewrapping and asolid ground plane(with
or without viaholes). Oneof thebenets of adistributedcircuit is that ashort circuit
at theend of a ,4 linelooks likean open circuit at theother end of thelineat the
designfrequency. Thus, another way of creatingashort circuit istoattacha,4open-
circuitstubatthepointwhereashortcircuitisdesired. Thisisinherentlyanarrowband
structure; to broaden thebandwidth aradial stub can beused. Even moreeffectiveis
adoubleradial or buttery stub [10]. Thesesolutions areoften adopted as frequency
increases and the inductance/phase length of via holes has more impact, or when an
RF short is required but not one at DC. In bias feeds it is required that DC current
be injected into the circuit but that the feed arrangement not load the RF matching
network. Although microstrip impedances aretypically limited to between 25 and 90
O, it is possible to create effectively lower impedances by adding two o/c stubs in
parallel. A comparison of the performance of various distributed stubs is shown in
Figure7.19.
7.4 Components 325
Inductor
X
L
= L
Z
0
= L/4
Z
0
= 4L/
Z
0
= 2L/
X
L
= 1/(C)
Z = Z
0
sin
Z = Z
0
sin
Z = Z
0
cot
Z = Z
0
tan
Capacitor
Transmission
Line (Series)
Transmission
Line (Series)
Where <45
For <<90
In the special cases where = 90(quarter wavelength) at the resonant frequency:
And when = 180(half wavelength) at the resonant frequency:
Open Circuit
Stub
Transmission
Line (Shunt)
Figure 7.18 Equivalent lumpedanddistributedcircuits.
Whendesigningwithmicrostripelementsitisimportanttoremember thatthemodels
usedweredevelopedandoptimizedfor specicsubstratethicknesstolinewidthratios.
Thereareoftenavarietyof modelsfor thesamestructuresandit isimportant tochoose
themostappropriateonefor thematerialsandfrequencyrangeused. Whereappropriate
modelsdonotexist, theuseof EMsimulationisnecessary. Thiscanbeusedforaspecic
sectionof thedesign, assimulatingawholecircuit inthisway canbetimeconsuming
anddifcult tooptimize.
Transistorshaveanatural gainslopein[S
21
[ of 6dB/octave. A methodfor compen-
sating for this is to uselossy stubs or equalizers. Thesedo not provideaDC path to
groundandhencedonot upset devicebiasing. Thebasic versionof thisapproachcon-
sistsof aresistor connectedtoano/c stub, asisshowninFigure7.20a. By alteringthe
326 Microwave hybrid amplier realization
Table 7.10 Equalizer behavior as a function of resistor value
Nominal resistor Approximateslope Worst-casereturn
value(O) (dB/octave) loss(dB)
25 5.9 6.1
50 3.4 9.6
75 2.4 12.2
100 1.8 14.1
Swp Max
8 GHz
S(1,1)
Radial Stub
S(1,1)
OC Stub
S(1,1)
Via
S(1,1)
Butterfly Stub
S(1,1)
Double Stub
Swp Min
2 GHz

5
.
0
1 0 . 0

4
.
0

3
.
0

2
.
0

1
.
0

0
.
8

0
.
6

0
.
4

0
.2
0 0
.
2
0
. 2
0
.
4
0
.
6
0
.
81
.
0
2
.
0
3
.
0
4
.0
5
.0
10.0
0
.
4
0
.
6
1
0
.
0
5
.
0
4
.
0
Figure 7.19 Relativeperformanceof short-circuit elements.
resistancedifferentslopescanbeachievedasshowninFigure7.20bandsummarizedin
Table7.10. In narrowband applications theequalizer can beused for stopping high-
frequencyoscillationbyintroducinglossat theproblemfrequency.
Couplers
Thereareanumber of casesinamplier designwhereit isuseful tohavetheabilityto
samplethesignal. Runningatrack closetothetransmissionlinewill intercept someof
theelectrical eldsfromthemainlineandasaresult power will becoupled; thecloser
theline, thehigher thecoupling. Also, themoreeldthemainlinedistributesintothe
surrounding, thegreater thecoupling. A 3dB coupler will transfer half of theenergyto
thecoupledline, however thiswill requireverytightcoupling. Asaruleof thumb, when
theseparationisof theorderof thesubstratethicknessthecouplingwill beabout 20dB.
7.4 Components 327
PORT
P= 1
Z=50 Ohm
PORT
P=2
Z=50 Ohm
WS=0.6
LS=9.2
ID=TL1
W=0.6 mm
L=5.8 mm
ID=TL3
W=0.6 mm
L=5.8 mm
TFR
ID=TL4
W=WR mm
L=LR mm
RS=50
F=5000 MHz
MLEF
ID=TL5
W=WS mm
L=LS mm
Lossy Equalizer
Frequency (GHz)
I
n
s
e
r
t
i
o
n

L
o
s
s

(
d
B
)
R
e
t
u
r
n

L
o
s
s

(
d
B
)
6 GHz
0.08673 dB
DB (|S(2.1)|)(L)
Equalizers
DB (|S(1.1)|)(R)
Equalizers
3 GHz
6.143 dB
3 GHz
5.967 dB
MSUB
Er=9.8
H=0.635 mm
T=0.02 mm
Rho=1
T and=0.0005
ErNom=9.8
Name= SUB 1
(a)
(b)
0
1
2
3
4
5
6
0 2 4 6 8 10
30
25
20
15
10
5
0
MTEES
ID=TL2
1 2
3
Figure 7.20 Lossystubequalizer: (a) schematicof lossystub; (b) performance, S21andS11, as
resistanceisvariedbetween50O (faint traces) and25O (boldtraces).
Couplers are frequency dependent, (,4 long). To increase the bandwidth multiple
sections can be used. In order to achieve tight coupling designers have moved away
fromplanar microstrip structures to multilayer stripline. This allows very small gaps
between thetracks on different layers separated by athin dielectric layer. Thesehave
becomestandarddiscretecomponentsthat canbeeither surfacemountedor boltedina
similar manner toangemounteddevices. Inthiswaycompact quadrature(90

) hybrid
328 Microwave hybrid amplier realization
Figure 7.21 Discretequadrature(90

) hybridcouplers, narrowandoctavebandwidthsfrom2to
8GHz. Courtesyof SJ Technologie, www.sjtechnologie.com.
couplers havebeenproducedwhicharevery important increatingbalancedamplier
designswhicharedescribedinSection11.7. Widebandwidthdesignscanbeboughtoff
theshelf, Figure7.21, andstandardproductsareavailableuptoabout 8GHz, however
for reasonablequantities(>1000p.a.) anumber of companieswill bepreparedtocreate
acustomdesign.
5
Figure7.22showsanMHPA utilizingthesecomponents.
Wherevolumesarenot sufcient andthestandardproductsdont meet thenecessary
performancethereisanalternativeproduct whichcanbeeasily customizedtoproduce
octave band, 3 dB couplers over the desired frequency range. Commonly known as
Wirelines
R _
, these consist of two wires with a tightly controlled separation within a
50Oenvironmentmaintainedbyanouter jacketsuchthattheylooksimilar toapieceof
semirigidcable, asshowninFigure7.23. Theyhavebeensuccessfullyusedinampliers
upto at least 6GHz, althoughcareful attentionto thewireconnections is requiredto
avoidtheinductanceof thewiresreducingperformance.
QuadraturecouplerscanbecreateddirectlyonthesubstrateasshowninFigure7.24.
Themost basicapproachistouseaWilkinsonsplitter witha,4line, Figure7.24a, to
producea90

phasedifferencebetweentheoutputports. Thebalancebetweentheports
isexcellentoverawidebandwidth; howeverthephasedifferenceisfrequencydependent.
Theresistorbetweentheoutputarmsisusedtodissipateanyimbalanceinthevoltages, it
maybeomitted(andoftenisinhigh-powerapplications)atthecostof degradingisolation
5
Thisapproachhasbeensuccessfullyusedina48GHz amplier application.
7.4 Components 329
Figure 7.22 MHPA incorporatingquadraturecouplers: (1) Surface-mount quadraturehybrid
coupler; (2) bolt-inquadraturecoupler; (3) edgecoupler; (4) output circulator. Courtesyof
MicrowaveAmpliersLtd., www.maltd.com.
Figure 7.23 Wirelinecouplers. Photocourtesyof SageLaboratoriesInc., www.sagelabs.com.
betweentheoutputports.Partof theproblemwiththisresistoristheassociatedparasitics,
andas thepower increases andtheresistor gets larger, so theproblemgets worse. For
narrowbanddesignstheresistorcanbeoffsetby,4linesreducingtheparasiticeffectsat
thecenter frequency. TheBranchlineCoupler, Figure7.24bhasanumber of advantages;
330 Microwave hybrid amplier realization
-0
-0.1
-0.2
-0.3
-0.4
-0.5
0
-2
-4
-6
-8
-10
0
-2
-4
-6
-8
-10
160
140
120
100
80
60
40
20
-10
-14
-18
-22
-26
-30
2 4
Frequency (GHz)
DB(|S(2,1) |) (L)
Wilkinson
DB(|S(1,1) |) (R)
Wilkinson
DB(|S(3,1) |) (L)
Wilkinson
Wilkinson
6 8
-2
-4
-6
-8
-10
0
-5
-10
-15
-20
-25
0
-5
-10
-15
-20
-25
0
-10
-20
-30
-40
2 4
Frequency (GHz)
Large
Frequency (Ghz)
DB(|S(2,1) |) (L)
Branchline
DB(|S(2,1) |) (L)
Large
DB(|S(2,1) |) (L)
Lange Back2Back
DB(|S(1,1)|) (R)
Lange Back2Back
DB(|S(3,1)|) (L)
Lange Back2Back
DB(|S(1,1)|) (R)
Large
LargeSdB Back2Back
Frequency (GHz)
Frequency (GHz)
PhaseDifference
DB(|S(3,1)|) (L)
Large
DB(|S(1,1) |) (R)
Branchline
DB(|S(3,1) |) (L)
Branchline
Branchline
6 8
2 4 6 8
2 4 6 8
(a)
(b)
(d)
(e)
(c)
2 4 6 8
Figure 7.24 3dB 90

Couplersandtheir characteristics: (a) Wilkinsonsplitter with90

extension:
(b) branchlinecoupler: (c) 3dB Langecoupler: (d) twoback-to-back8dB Langecouplers:
(e) comparisonof phaseperformance.
7.4 Components 331
theloadresistorisoffsetfromthesignal path, thephaseisrelativelyatoveruptoa20%
bandwidth(thiscanbeextendedbyusingmultiplesections, however thelossincreases
proportionally), andthedesigncanbeadjustedtonon50O output impedances which
can easetheproblemof matching to atransistor. For octaveand greater bandwidths,
Langecouplers [1], Figure7.24c, areextremely effective, thedrawbacks arethesmall
geometries that are required and the wire links between non adjacent ngers. One
solutionhasbeentoproducetheLangeonaseparatesubstratetotherest of thecircuit,
insertingit intopockets. WherethePCBsaresolderedtothehousingoor or acarrier
thenthiscanbedonequitesuccessfully. Itispossibletowire-bondthelinksevenonsoft
substrates, however solderingnewires(thestrandsof 0.2,7equipment wireareideal)
usingsolder pasteandamicroscopeisalsopossible. A methodusedat 3GHz involved
windingseveral turns of wirearoundaneedleandadjustingthespacingto matchthe
pitchof thengers. Applyingsolder pastetotheappropriateareaof thengers, while
holdingthecoil inplace, thejointsweremadewithahotairsolderingpencil. Onalumina
substrateswirebondingisthestandardapproach, althoughwithprocessesthat include
air-bridges thelinks canbefabricatedinsitu. Onesolution[11] tothenegeometries
istoproducetwo8dB Langecouplersbacktoback, Figure7.24d. Wider bandwidths
can be achieved in this way, at the expense of size, complexity and higher insertion
loss.
7.4.3 Transistors
Beware of headline power Figures! A certain amount of gamesmanship is played
amongpower transistor manufacturers. Statementslike200WCWPower Achieved,
needtobeexaminedcarefully,thekeypieceof data,achievedinclassA/BwithaCDMA
signal, under averagepower levelsof 40W,issometimeshiddenaway. Thereisnoneed
togoover theexactspecicationargumentshere, butinbroadtermsif anyoneclaimsto
beabletohandlemorethat4045WCWinapackageddevice, alarmbellsshouldstartto
ring. VeryhighPAEsandpoweroutputshavebeenachieved[12], buttheseareinclassB
andover verynarrowbandwidths. InbroadbandclassA applicationsaGaAsFETsef-
ciency andhenceoutput power isgreatly reducedduetothermal limitations. Although
newwidebandgapdevicesoffer higher power densities, it isactuallycurrent packaging
technologiesthat limit theheat dissipationandhencethemaximumoutput power. Wide
bandgaptransistors havemaximumjunctiontemperatures typically 75

C higher than
GaAs, but the thermal resistance fromthe junction to the case tends to be higher as
the active region of the GaN devices is smaller for the same RF power. Table 7.11
compares some of the main characteristics between 45 W GaAs and GaN pack-
aged devices. It should be noted that the GaAs device has band-specic internal
matching whereas the GaN device is intended for wideband operation and hence
unmatched.
Datasheetsshouldalsobeexaminedwithcareasmanyparametersarequoteddiffer-
ently by manufacturers. For example, somequotelinear gain, whileothers quote1dB
compressedgain.
332 Microwave hybrid amplier realization
Table 7.11 Comparison of commercial GaN and GaAs 45 W C band transistors
Characteristic GaN CGH40045F GaAs TIM374245SL-341 Units
Gain 12.1@3.6GHz 11@3.6GHz dB
P1dB 44(typ.) 40(min.) W
Drainsourcevoltage(max.) 84 15 V
Gatesourcevoltage(max.) 10to 2 5 V
Operatingvoltage 28 10 V
Operatingcurrent 3.5 9.5 A
Thermal resistance 2.8 1.2

C/W
Operatingjunctiontemperature 225 175

C
Packagesize 20.56 24.517.4 mm
Manufacturer Cree Toshiba
Thechoiceof transistor technology essentially boilsdowntoabucks/W decision.
Thisismorecomplicatedthanasimplecomparisonof transistor prices. Theproblemis
simplestinthestandardcommunicationsbandsaround0.9, 1.9, 2.1GHz, wheredevices
arepitchedat specic applications. LDMOS andGaN devices tendtorunoff 28V
whilemost GaAsFETsare 1012V. Dependingonthesupplyrail availabletheprice
of DCDC converters may needto beincludedinthecalculationandwithGaAs and
GaN anegativerail is alsorequired. Theapplicationmay not only specify aparticular
output power, but also thelinearity at that level, inwhich caseclass of operation and
technologywill playafactor.
Thedecision becomes harder away fromthestandard bandwidths. In this casethe
designer needs to look at theinput andoutput impedances andtheir frequency depen-
dence. Althoughimproving, theability of circuit modelstopredict largesignal perfor-
manceisnot exact, andanumber of manufacturersstill onlyprovideS-parameter data.
Nonlinear datathat doesexist isonly accurateover thefrequency rangeandat thebias
and power levels at which they werecharacterized, thus even if known they limit the
designengineers ability to optimally usethedevice. Recent advances inactiveload
pull measurement systems[13] haveenableduserstointeractivelycharacterizeandtest
devicesinsynthesizedimpedanceenvironments. Commercially, thesehavebeenlimited
tonarrowbandsystemstargetedat thecommunicationsfrequencies.
Thereareverylittlebenetsintermsof performanceforoperatingGaAsFETspulsed.
Theprimary limitations arethecurrent capacity of feed networks and packageleads,
andthemaximumbreakdownvoltage. Higher voltagedevicessuchasLDMOS, silicon
bipolar and GaN allow signicantly higher power to be achieved within the average
power packageconstraints. Pulsedradar andL bandavionicsarepracticallytheonly
area that silicon bipolar still holds it own in themicrowave region, although thereis
much work being done producing GaN devices and other new technologies such as
highvoltagevertical FETs [14]. Therelatively lowcost of silicon, thematurity of the
processing, andthelegacy systemsstill operatingwill ensurearequirement for silicon
bipolar devicesfor sometime.
7.5 Amplier design 333
7.5 Amplier design
Theamplier isbiasedtoprovidethenecessarypower, gainandlinearityperformance.
Theoutput match is determined such that thenecessary compression point, saturated
power or PAE is achieved. This is doneeither fromthedevicemodel by simulationor
by direct measurement usingload-pull systems. Theinput matchmay besuchthat the
maximumamount of gain is achieved, aspecic gain or set so that it provides aat
gainresponsewithfrequency. It may benecessary todeliberately mismatchthedevice
at certainpoints to prevent that or subsequent stages frombeingoverdriven. First, we
will look at thetopologies that arecommonly employedandthenwewill takeamore
detailedlookat howimpedancematchingcanberealizedfor MHPAs.
7.5.1 Topologies
Thenumberof stagesrequiredinaline-upisafunctionof theoverall gain, thetechnology
used, thefrequency range, and thetopology. Thegain of theoutput block determines
theoutput power level fromtheprecedingblockandsoon. Inmost casesit isimportant
that thecompressioncharacteristics aredeterminedby thenal stage, thus theearlier
stagesdonot limit theoutput performanceof thewholeamplier. Inthecaseof single
endedline-ups, thatis, whenonedevicedirectlydrivesanother, thedeterminationof the
requiredpowerfromeachstageisfairlysimple. Theoutputpowerof thedriverequalsthe
output power of thesucceedingstagelessthesucceedingstagesgainplustherequired
margin. Although at facevalueit may seemthat theoutput devicewill bethelargest
power transistor availableinthefrequency range, infact therearemany benets from
choosing lower power devices and combining themin parallel to achievethedesired
outputpower. Suchreasonswouldincluderedundancy, bandwidth(higher power usually
meansloweroutputimpedancehencemoredifcultmatching), economics(outputpower
isproportional toprice, it may bemorecost effectivetostandardizeononedeviceand
combinethis is parallel), andspreadingthermal loads. For example, a1kW 12GHz
CWamplier hasbeenconstructed[15] from12810WGaAsdevicesinparallel; one
of thestatedadvantagesisthat afailureof anyonedevicehasnosignicant impact on
theoutput power of thewholeamplier.
If binary combiningisusedasshowninFigure7.25athenthecoupler lossismulti-
plied by thenumber of stages. This loss multiplication factor, n, is proportion to the
number of output stages, s:
n=
logs
log2
(7.11)
AnalternativeistouseamultiwaycombinerontheoutputasshowninFigure7.25b. This
will havelesslossthantheequivalent binary combiner andusing, for example, N-way
Wilkinsoncombiners without resistors it is possibleto achievewidebandwidths with
simpleif largelayouts. Thedisadvantageisisolation; however thiscanbecompensated
forbyusingquadraturecombiningaroundthedevicesthemselves.Singlestage,multiway
334 Microwave hybrid amplier realization
Figure 7.25 Parallel combining: (a) quadraturebinarycombination, output combiner losses
increaseswithnumber of parallel stages; (b) quadraturestagescombinedusingmultiway
combiner lossesproportionallylower asthenumber of parallel stagesincreases.
combinerscanbecreated[16] butthesearenonplanarandthusmoresuitableformodule
combining.
A disadvantageof usingabalanceddesignisthatthetotal outputpower isreducedby
theinsertionlossof thecombiner. For narrowbandsthiscanbeaslowas0.2dB, while
for broader bandwidths theloss will increase. Someof thebenets suchas spreading
thermal loads have already been mentioned, for quadrature combiners there is a key
7.5 Amplier design 335
additional benet: match. Inquadraturecombiners (anddividers) thereis a90

phase
relationship between the combining ports. This means that any reected signals get
dissipatedintheloadresistor, providedthephaseandmagnitudeof thereectedsignals
arethesame. Thebenet of agood match of thecombined channels, whatever their
individual match (provided they are the same), is that deliberate mismatches can be
introduced to achieve gain attening across theamplier bandwidth. This may beto
ensurethatthegainshapeisat, or prevent thedevicefrombeingoverdrivenatoneend
of theband. Devicegainreduceswithincreasingfrequency; hencemoredrivepower is
requiredat higher frequenciesfor thesameoutput power.
Inordertosimultaneouslyachieveimprovedmatchandatgainoverwidebandwidths
feedback can be employed. The theory has been well documented [17], for power
amplier applications series feedback is rarely used. WithFETs shunt feedback must,
bynecessity, incorporateseriescapacitanceaswell asresistancetoseparatethegateand
drainDCvoltages.Boththecapacitorandresistorwill haveparasiticinductance.Thiscan
actuallybeof benet asbyincorporatinginductanceinthefeedback model, it canhelp
toincreasetheRF impedanceandincreasethegainat theupper end. Leadedresistors,
not normally used at microwave frequencies, can be specically chosen to introduce
therequiredinductance. Usingshunt feedback, bandwidths of multipleoctaves canbe
achieved. Thefeedback elements must becapableof handlingthepower levels of the
signals travelling through them, but as they get larger to handle higher powers their
parasiticcomponentsincrease.
Oneof theproblemswithintroducingfeedbacktoMHPAsisthatof incorporatingthe
feedbackelementswithinthedesiredspace. Notonlyarethegateanddrainsseparatedby
several mm, butthereisalsousuallyalargeange. Sometimesitispossibletoconstruct
thefeedback network inthreedimensions, goingover thetopof thedevicerather than
remainingplanar. This approachis labour intensiveandnot suitablefor automation. It
alsotendstobemoresusceptibletoperformancevariationsduetothelackof consistency
incomponent formingandplacement. Heat sinkingof thefeedback elementsintheair
or on top of the package is not easy. An alternative approach, suitable for narrower
band applications has been outlined [18]; originally this was intended for low-noise
applications to avoid the feedback introducing noise back to the input. However, it
has theadvantagefor power applications of separating thefeedback components and
amplifyingdevice. Thecircuit incorporates two ,4lines betweenthedeviceandthe
feedback resistor. Thus, on a low-dielectric material (2.2) at 5 GHz, the feedback
resistor cannowbeabout20mmoffsetfromthemaintrack. Thefeedbackarrangement
isshowninFigure7.26a. Thelow-passlter isarrangedsuchthat thereisa180

phase
shift at the operating frequency (or towards the top of the band in wider bandwidth
applications), such that the feedback has little effect on the performance. At lower
frequencies, theresistor isinbandandadjuststheamountof feedback, thusreducingthe
bottomendgain. Thedevicewithfeedback ismatchedwithatransformer oninput and
output. Thebiascanbeincorporatedwithinthefeedback loopFigure7.26b. Although
thisapproachimprovesstability over partsof theband, caremust betakentocarry out
athoroughstability analysis as at specic frequencies thefeedback canactually cause
oscillation. This approach also reduces theimpact of different devices. Thecircuit of
336 Microwave hybrid amplier realization
TLIN
ID=TL2
Z0=25 Ohm
EL=90 Deg
F0=FF GHz
TLIN
ID=TL3
Z0=25 Ohm
EL=90 Deg
F0=FF GHz
CAP
ID=C2
C=1000 pF
CAP
ID=C1
C=10 pF
CAP
ID=C3
C=10 pF
RES
ID=R1
R=50 Ohm
SRLC
ID=RC2
R=60 Ohm
L=2 nH
C=1000 pF
TLIN
ID=TL2
Z0=ZB Ohm
EL=LB Deg
F0=3.5 GHz
TLIN
ID=TL4
Z0=Zip Ohm
EL=Lip Deg
F0=3.5 GHz
Device Data_S21 & Stability
Gain and Stability
TLIN
ID=TL3
Z0=Zop Ohm
EL=Lop Deg
F0=3.5 GHz
SUBCXT
ID=63
NET=mg0951p
TLIN
ID=TL1
Z0=ZB Ohm
EL=LB Deg
F0=3.5 GHz
SUBCKT
ID=62
NET=Feedback
TLIN
ID=TL4
Z0=100 Ohm
EL=90 Deg
F0=FF GHz
PORT
P=2
Z=50 Ohm
PORT
P=1
Z=50 Ohm
30
20
10
0
10
20
10
0
10
20
4
3
2
1
0
0.5 2.5
Frequency (GHz)
4.5 6
0.5 2.5
Frequency (GHz)
4.5 6
0
0.5
1
1.5
2
PORT
P=2
Z=50 Ohm
PORT
P=1
Z=50 Ohm
(a)
(b)
(c) (d)
TLIN
ID=TL1
Z0=100 Ohm
EL=90 Deg
F0=FF GHz
SRC
ID=RC1
R=RD Ohm
C=C8 pF
RF=800
C8=47
FF=6
DB(|S(2.1)|) (L)
FLC 107WG
K( ) (R)
FLC 107WG
K( ) (R)
MGF0951p
DB(|S(2.1)|) (L)
MGF0951p DB(|S(2.1)|) (L)
FLC 107WFBG
DB(|S(2.1)|) (L)
MGF0951pFB
K( ) (R)
FLC 107WGFB
K( ) (R)
MGF0951pFB
Figure 7.26 Feedbackamplier: (a) feedbackcircuit; (b) devicewithfeedback, biasfeedsand
simpleinput matching; (c) comparisonof [S
21
[ andstabilityfactor kbetweentwodevices;
(d) comparisonof gainandstabilityof thecircuit withbothdevices.
Figure 7.26b was optimized for a Mitsubishi MGF0951 but also simulated with the
EudynaFLC107, Figures7.26candd.
7.5.2 Matching and stability
Beforestartingtodescribespecicmatchingstructuresthereareanumber of common
relationshipsthat needtobeestablished. Fromanamplier point of viewmatchisoften
described by return loss (RL), the ratio of incident to reected signal in dB. When
matching, reection coefcient (I) and the actual impedances are more useful. The
7.5 Amplier design 337
Table 7.12 I, Return loss, transmission loss, and VSWR
I 0.1 0.18 0.2 0.25 0.35 0.4 0.5 0.71 0.8
RL (dB) 20 15 14 12 9 8 6 3 1.9
L
T
(dB) 0.04 0.14 0.18 0.28 0.58 0.76 1.25 3.0 4.44
VSWR 1.22 1.43 1.50 1.67 2.1 2.33 3.00 5.85 9.00
relationshipsbetweenthesetermsaregivenbelowandasummaryof real valuesisgiven
inTable7.12.
I =
z z
0
z z
0
(7.12)
RL (dB) = 20log[I[ (7.13)
When a signal is reected from a mismatch there is an associated loss in power
transferred to the output; this loss, L
T
, in dBs can be related to the reection
coefcient:
L
T
= 10log
_
1[I[
2
_
(7.14)
Itcanbeseenfromthetablethatinordertomaximizethepoweroutputwemustminimize
theloss dueto mismatch. Althoughthis may seemtrivial it is important to realizethe
implicationsof aparticular matchspecication. Thebetter matchedatransistor theless
power isrequiredtomeet aspecication. Oftenanisolator will beaddedtotheoutput
of anamplier inorder tomeetanoutputreturnlossspecication, butthiswill typically
have 0.5 dB of insertion loss and will do nothing in terms of translating the output
impedanceof thedeviceto 50O. Whiletheremay begoodsystemconsiderations for
adoptinganisolator (suchas gainrippleonlongcables), inMHPAs it loses hardwon
power. A solutionbaseduponthebestpower matchthatcanbeachievedwouldbemore
efcient.
Any real impedancecanbematchedtothesystemimpedanceat asinglefrequency,
thedifcultyisdoingitover abandwidthandall amplier circuitsneedtohaveatleasta
limitedbandwidthtoaccountfor changesinbehavior withtemperature. Therearemany
combinationsof matchingelementsabletomovefromanimpedanceononepart of the
SmithChart toanother [19]. However, becausethey arelargely treatedaspurelumped
elementstheir useinMHPAsisrestrictedtoanunderstandingof thetheory, inpractice
the matching elements used are complex. The most common matching elements are
openandshort-circuit stubs. Combinedwithaseriestransmissionlinethesecanmatch
animpedanceover adenedarea, this is best explainedgraphically as inFigure7.27.
Microwavepower deviceoutput impedances will typically liewithin theshaded area,
andsooftenanopencircuit stubistherst matchingelement.
Whenconsideringtheeffects of frequency oneof themost useful considerations is
thequality factor, or Q of theload. Therearemany uses of thetermQ withintheRF
areaandit isimportant not toget themconfused. Inthiscase, werefer toQ
T
, theratio
338 Microwave hybrid amplier realization
PORT
P=1
Z=50 Ohm
PORT
P=2
Z=Zr Ohm
PORT
P=1
Z=50 Ohm
PORT
P=2
Z=Zr Ohm
Figure 7.27 Stubmatchingapproaches. Left-handside: short-circuit canmatchanyimpedance
outsidetheshadedarea; right-handside: open-circuit canmatchanyimpedancewithinthe
shadedarea.
of thereactancetotheresistanceof aseriesimpedance, (for parallel admittanceit isthe
ratioof theconductancetothesusceptance). ThesecanbeplottedontotheSmithchart
asQcurves. Thecloser matchingnetworksstay tothereal axisontheSmithchart the
broader thebandwidththat canbeobtained. Theconverseof thisisthat for anyreactive
matchingthereisanitelimit totheachievablebandwidth. Work intothisrelationship
wasconductedby Fano[20] whodevelopedthetheoremthat wherethesourceor load
includesareactiveelementthematchcannotbeperfectover awidebandwidthnomatter
howmany elements areused. For example, inthecaseof aparallel resistor-capacitor
load(typical of most power devices) theformulais:
_

0
ln
1
[I[
d

RC
(7.15)
As I decreases, the value of the integral increases. For a nite frequency range the
best achievablereectioncoefcient, I
min
that canbeachievedfor agivenloadcanbe
dened. Thisrequirestheuseof another Q term, Q
l
denedas:
Q
l
=
F
0
F
upper
F
lower
(7.16)
I
min
= e
Q
l
Q
T
(7.17)
Or, alternatively, thebandwidthwecanachievefor agivenI is
F
upper
F
lower
F
0
=
1
Q
l
=

Q
T
lnI
min
(7.18)
7.5 Amplier design 339
20
2.708 GHz
15 dB
4.435 GHz
15 dB
5.565 GHz
15 dB
7.292 GHz
15 dB
0
20
40
60
2 4
Frequency (GHz)
R
e
t
u
r
n

L
o
s
s

(
d
B
)
6 8
DB(|S(1,1)|)
Single Section
DB(|S(1,1)|)
Three Section
Figure 7.28 Comparisonof bandwidthachievedfromoneandthree-sectionquarter-wave
transformersbetweenthesameresistiveloads.
Dueto increasing loss in thematching elements themselves, in MHPAs it is rarely
worthgoingbeyondthreematchingsections.Thisisnotthecaseinlterswhereveryhigh
Q low-loss elements canbeusedandhencemulti element structures areencountered.
As arough guideasinglequarter-wavematching structurebetween impedances of a
ratio of 6:1 can achieve a bandwidth of 22% (15 dB return loss). Using multiple
sections this can be increased, e.g., matching the same load with three quarter-wave
transformers, the bandwidth that can be achieved increases to 90%. Clearly, wider
bandwidths can be achieved by reducing the impedance ratio; hence the benet of
using higher voltageand widebandgap materials with higher output impedanceand,
conversely, why with technologies such as LDMOS with its high output capacitance,
onlynarrower bandwidthscanbeachievedinthemicrowaveregion. Figure7.28shows
theimprovement fromoneto threesections, usingideal transmissionlines andpurely
resistive loads. The impedance of the quarter wave matching line, Z
T
, is determined
fromtheformula:
Z
T
=
_
(Z
0
R
L
) (7.19)
For multiplesections onerst needs to calculatetheintermediateimpedancebetween
thesections, Z
i(n)
, [21] from:
Z
i (n)
= L Z
i (n1)
limN
2
. (7.20)
L =
_
R
L
Z
0
_1
N
. (7.21)
whereNisthenumber of steps, andR
L
theloadresistance. Inthecaseof therst step
Z
i(1)
Z
i (1)
= L Z
0
(7.22)
340 Microwave hybrid amplier realization
Figure 7.29 Singletransformer matchingspace.
Usingimpedancetransformers any impedancewithintheareas denedinFigure7.29
canbematched. Thisassumesaninniterangeof transformer impedancesisavailable
which, as has been explained earlier in microstrip, is typically limited to between 25
and90O. Althoughtheimpedancescanbedeterminedmathematically[22], it isoften
simpler toput approximatevaluesinasimulator andallowtheoptimizer toproducethe
best possibleresult withinpractical constraints.
A number of other topologiesoffer impedancematching, without thesizepenaltyof
,4structures. Similar performancetothe,4transformer canbeachievedusingtwo
linesof impedancesthesameastheterminations[21], asshowninFigure7.30. Inthis
casethecombinedlengthof thetwolinesislessthanthatof asingle,4transformerwith
littlesacricetothebandwidth. A similar versiontothis involves usingacapacitively
loaded,8line. Thisisparticularlyuseful if theloadimpedancethat isbeingmatched
to has a capacitive reactance as this can be absorbed into the matching capacitance.
Figure7.31shows thecircuit for a,8capacitively loadedtransformer usedto match
12.5to50O, wherethecapacitorsandthelineimpedancewereallowedtovary inthe
optimizer. If this approach wereto beused to match to aload of 12.5 j80.0 (a0.4
pF shunt capacitor at 5GHz) it wouldbepossibleto omit theshunt capacitor nearest
theloadandreoptimizeFigure7.31b, varyingonly thelineimpedanceandtheport 1
capacitor. A further advanceonthisistoreplacetheoutput shunt capacitor withano/c
stub, Figure7.31b.
Insummary, commonbroadbandmatchingstrategiesare:
r
compensatedmatchingnetworks at thecost of input match;
r
resistivematchingnetworks at thecost of lossingain;
7.5 Amplier design 341
TLIN
ID=TL2
Z0=Z2 Ohm
EL=A12 Deg
F0=5 GHz
PORT
P=1
Z=Z1 Ohm
0
10
20
30
40
50
60
3 4 5
Frequency (GHz)
R
e
t
u
r
n

L
o
s
s

(
d
B
)
Shortened Double Transformer
6 7
Z1=50
Z2=8.3
A12=20.45
TLIN
ID=TL1
Z0=Z1 Ohm
EL=A12 Deg
F0=5 GHz
LOAD
ID=Z1
Z=Z2 Ohm
DB(|S(1,1)|)
Double Transformer
Figure 7.30 Doubleshort transformer matching.
r
negativefeedback usedtoattengain, improvematchandstability, at theexpenseof
gain(andnoisegure, althoughlessimportant toMHPAs);
r
balancedampliers canmismatchinput of devicestoattengainwhilestill main-
tainingoverall input match;
r
distributedampliers anumber of stagesincascade, reducedgainandhencepoor
efciency, largesurfacearea.
Most amplier textbooks describetheuseof stability factor, k, andtheuseof stability
circles to denetheunstableimpedanceareas, i.e., thoseareas whereif presented to
thedevicethereis thepotential for oscillation. Although stability analysis should be
carriedout upto themaximumoperatingfrequency of thedevice, inpracticethedata
available will rarely go below 500 MHz and the likelihood of oscillation decreases
as thefrequency increases dueto increasing circuit losses. Therefore, it is necessary
342 Microwave hybrid amplier realization
PORT
P=1
Z=50 Ohm
PORT
P=1
Z=50 Ohm
PORT
P=1
Z=50 Ohm
TLIN
ID=TL1
Z0=59.8 Ohm
EL=22.5 Deg
F0=5 GHz
TLIN
ID=TL1
Z0=61.67 Ohm
EL=22.5 Deg
F0= 5 GHz
TLIN
ID=TL1
Z0=61.86 Ohm
EL=22.5 Deg
F0= 5 GHz
TLOC
ID=TL2
Z0=25 Ohm
EL=38.21 Deg
F0= 5 GHz
LOAD
ID=Z1
Z=12.5 Ohm
LOAD
ID=Z1
Z=12.5 Ohm
LOAD
ID=Z1
Z=12.5 Ohm
CAP
ID=C1
C=0.4 pF
CAP
ID=C1
C=0.4 pF
CAP
ID=C1
C=0.2 pF
CAP
ID=C2
C=1.02 pF
CAP
ID=C2
C=0.9994 pF
0
10
20
30
40
50
3 4 5
Frequency (GHz)
R
e
t
u
r
n

L
o
s
s

(
d
B
)
6 7
(a) (b) (c)
(d)
Capacitively Loaded Line
DB(|S(1,1)|)
Cap Load Eigth Wavelength
DB(|S(1,1)|)
Fixed Load Capacitance
DB(|S(1,1)|)
Open Circuit Stub
Figure 7.31 Capacitort-loadedtransformer matching: (a) optimizedelements; (b) xed
capacitance; (c) open-circuit stub; (d) comparativeperformanceof (a) to(c).
to design circuits which will inherently ensurestability at thelow-frequency end and
providestableimpedanceterminations in therangeup to wherethe[S
21
[ > 3 dB. To
ensure low-frequency stability the main approach is to resistively terminate the bias
networks. Thismayinvolveusinglargeinductorsinparallel sothattheDCcanstill pass.
It is important to remember that theAC coupling capacitors used between RF stages
tend to havevalues in thepF rangeand that thesewill effectively beopen circuits in
theMHz region wheretransistors will havevery high gain. Feedback can beapplied
betweenthegateanddrainbiasfeedsawayfromtheactiveRF circuits[22]. Wherelarge
inductorsareusedit isimportant tocontaintheRF eldsasthesecanbecomeasource
of oscillationthroughcoupling. Ferritebeadscanbeparticularly useful inthisrespect.
A low-valueresistor inserieswithgatewill raisethegateimpedanceat acost of gain.
To compensatefor this acapacitor canbeinsertedinparallel to bypass theresistor at
theoperatingfrequency. IsolatorshaveaDC pathto50O andhencearevery effective
for improvinglow-frequency stability. However, careshouldbetakentoensurethat at
7.5 Amplier design 343
0
5
10
15
20
0 3
Frequency (GHz)
8 to 10 GHz Isolator
8 to 10 GHz Isolator Match
I
n
s
e
r
t
i
o
n

L
o
s
s

(
d
B
)
I
n
p
u
t

R
e
t
u
r
n

L
o
s
s

(
d
B
)
6 9 12 15 18
40
30
20
10
0
Swp Max
18 GHz
Swp Min
0.01 GHz
DB(|S(1,1)|) (R)
X Band Isolator
DB(|S(2,1)|) (L)
X Band Isolator
S(1,1)
X Band Isolator
Figure 7.32 Typical isolator performance. Notethat awayfromthepassbandtherearepoints
where[I[ islarge.
higher frequencies the isolator does not present an unstable impedance, Figure 7.32
showstheimpedancepresentedbyanX-bandisolator.
7.5.3 Internally matched device ampliers
Forspecicfrequencybands, wherevolumesarehighenough, manufacturershavedevel-
oped tailored devices. Within thesedevicepackages several transistors arecombined
andsomebasicprematchingincorporatingthebondwiresandshuntcapacitorshasbeen
implemented. Thesearetypically morecost effectiveat thetarget frequenciesthancan
beproducedusingunmatchedpackageddevicesasthematchingisimplementedwithin
thepackagebeforethepackageparasitics. Thismaybebest understoodbyconsidering
theinputimpedanceof thedevice. Atthechiplevel thiscanbeverysimplyapproximated
by aseriesresistor R
g
andcapacitor C
gs
toground. If thedeviceispackagedthisinput
circuit becomesmuchmorecomplexbecauseof thepackagingparasitics. Onesuitable
matchingcircuit for theR
g
C
gs
loadwouldbeaseriesinductor andashunt capacitor
(arrangedmovingawayfromthedevice). Thistsinwell withtheassemblyof thechip
intoapackageastherst element isthebondwire(seriesinductance) followedby the
bondpad(shunt capacitive). Thus, by appropriately choosingthedimensions of these
elementsanimprovement inthematchcanbeobtainedusingpartsalreadynecessaryto
connect tothechip. Someinternal matchingisonly intendedtoeasethejobof match-
ingthedevice, they raisetheinput or output impedancejust enoughtoassist practical
discretematching. Inother cases, particularlyasfrequencyincreases, theimpedanceis
takencloseto50O.
Another version of this solution is commonly known as Pallet Ampliers. Here,
the transistors are packaged as normal, but the device manufacturer takes the extra
step of mounting themon asubstrate(usually metal backed) with bias and matching
circuits included. Theseareespecially popular for radar bands, andtheadvantagethat
344 Microwave hybrid amplier realization
themanufacturershaveisthat they canselect devicessuchthat pairshavevery similar
characteristics.
7.5.4 Combining
Different combining structures have been described earlier in the text. Besides the
obviousbenet of achievinghigher power, combining:
r
spreadsthethermal dissipation;
r
introducesredundancy;
r
improvesmatch;
r
increasesreverse(reected) power handling.
A specic application of combining where it is used extensively is known as cor-
porate combining [23]. In this case the corporate management pyramid structure is
turnedonitssidesothat thenumber of devices ineachrank increasesastheoutput is
approached. Inaspecicversioneachdevicehasidentical performanceandhence, there
isahighdegreeof repeatabilityinthemanufactureandtuning, whichshouldintroduce
economiesof scale. Thenumber of devicesineachrankisdependentonthegainof each
stage.
Combiningcanalsobeutilizedtoimprovelinearity. IntheDohertyamplier [22] one
deviceis themainamplier, onall thetime, whiletheseconddeviceis arrangedsuch
that it onlyoperatestohandlethepeaksininput signal.
7.5.5 Module size/system integration
It is sometimes impractical toachievethedesiredoutput power fromasinglemodule.
Thismaybeduetoperformanceissuessuchascoolingoritmightbeduetothedifculties
of testing. Whenalargenumber of devicesareusedwithinamoduleit isoftendifcult
toestablishtheactual performanceof anindividual part. If theperformancerequiredis
closetotheboundaryof what isachievablethenit iscritical that eachpart canbesetup
and proved to be working at its optimum. Offset against this is the additional losses
thatoccur throughinterfacingmodulestogether. Faultndingiseasier inamodularized
system. A modulebasedapproachcanbeauseful stageinsystemdevelopment, with
changeseasier toimplement thaninahighlyintegratedunit.
For newproduct designsrisk canbereducedby utilizingabuildingblock approach.
This can be applied both to reusing existing module designs in a new application
or to developing new modules; different individuals can develop separate modules
independently, whichcanbeprovedinisolation, hencespeedingupdevelopment. Taken
onamaterialscost basis, themodular systemismoreexpensivethanadiscretedesign.
However, as thepower requiredincreases, thebenets of amodular approachbecome
greater.
7.6 Biasing and control 345
Table 7.13 Gate and drain bias circuit requirements
Gatebiasingcircuit Drainbiasingcircuit
Maintainconstant voltage, V
g
Maintainconstant drainvoltage, V
d
.
Supplyrequiredgatecurrent, I
g
Supplyadraincurrent, I
d
, uptothat level
requiredunder maximuminput drive.
Protect thegatefromdamagebylimitingI
g
whenthedevicegoesintobreakdownor
forwardbias.
Providelow-frequencyterminationstoreduce
thedevicegaininthisrange(improve
stability).
Stabilizethedeviceinthepresenceof a
negativeinput resistance.
Isolatethesupplyrail fromRF signals.
Providelow-frequencyterminationstoreduce
thedevicegain(improvestability).
Isolatethegatefromsignalscomingfromthe
drainbiascircuit.
7.6 Biasing and control
Toooftentheissueof transistor biasingisnot giventhepriorityit deserves, andleaving
ittowardstheendof thechaptershouldnotbeconfusedwithlackof importance. Correct
biasingisapplicationspecic, fromtherelativelysimpletotemperaturecompensating,
to envelopetracking. Classes of operationaredealt withindetail inChapter 4, andit
shouldbeclear that different applicationsrequiredifferent behavior fromtheamplier,
or put another waysomecharacteristicsarenot alwaysascritical. Inradar applications,
for example, linearity (where the amplitude and phase of an output signal fromthe
amplier is directly proportional to theinput signal) is not asignicant characteristic,
power is thekey driver. So inthis casetheamplier is typically biasedinclass C and
as aresult thereis anonlinear relationshipbetweeninput andoutput power. A limited
amount of power control may berequired, whichcanbeachievedby adjustment of the
supplyrail. Inanother applicationwherelinearityisveryimportant thesupplyrail may
beadjustedtocompensatefor changesinsignal level, but thisisthenadynamicchange
asopposedtoaset change.
Somerequirementsof biasnetworksarecommon; deviceprotectionandstability. The
mainrequirementsof transistor biascircuitsaresummarizedinTable7.13.
All rulesaremadetobebroken, e.g., gateor drainvoltagemaybeadjustedwithtem-
peraturetoprovideactivecompensation(gainisinverselyproportional totemperature).
Theisolationrequirement betweengateanddrainalsoextendsbetweentransistors. Not
onlyshouldthedevicesbeisolatedintermsof interferencebutalsoafaultinonedevice
shouldideallynot affect theperformanceof others. Linear gainisrelativelyinsensitive
todrainvoltage, but output power andlinearityarestronglyrelatedtodrainvoltage.
ThebasicbiascongurationisshowninFigure7.33a. Thegatevoltage, V
g
issetbythe
potential divider R
1
andR
2
; thedrainvoltage, V
d
isprovidedbyaxedvoltageinnite
current source, theactual current drawnbeingdeterminedby V
g
. TheV
d
requiredwill
normallybespeciedbythedevicemanufacturer. It needstobesuchthat at maximum
output power under any load condition, themaximumbreakdown voltagewill not be
346 Microwave hybrid amplier realization
DCDC
Converter
(a)
(b)
(c)
Voltage
Regulator
Sequencing
Circuit
Drain
Voltage
Control
R
2
R
1
Mute
Zener
Fuse
+V
s
V
g
+V
d
+V
d
R
g
R
d
+V
d
V
g
Noise
Reduction
Gate
Voltage
Control
Current
Sense
Figure 7.33 Transistor biasing: (a) basicbiasarrangement; (b) biascompensationarrangement;
(c) bellsandwhistles biasarrangement.
exceeded. Thus, it is possibleto increasetherecommendedV
d
if it canbeguaranteed
that agood load match will always bemaintained, (usually this will only bethecase
when driving straight into an isolator). Alternatively, fold-back circuits areemployed
such that theoutput drivelevel is reduced if theload match degrades. Thecircuit in
Figure7.33ahasanumber of problems; rst, thereisnoisolationof thedrainfromother
devicessoif that transistor failsthewholesupplyrail will beaffected. Second, thegate
of thetransistor draws current, whichvaries withinput drivelevel, andso theV
g
will
vary, thisinturncausesI
d
tochange. At low-signal levelstheI
g
isvery low, (A), and
consistsof leakagecurrent. ThegateisaSchottkydiodeandsowhenforwardbiasedor
whenthereversevoltagebreakdownisexceededcurrent will ow, thusthegatesupply
7.6 Biasing and control 347
must beabletobothsink andsourcecurrent. Inthecaseof reversevoltagebreakdown
thecurrentincreasewouldbedramaticif notprotectedbyaresistor. Typically, thedevice
manufacturer will recommendagateresistancevalue, e.g., Toshibarecommends28O
maximumfor TIM374245SL, whileCreesuggests4.7O for CGH35060F.
It must berememberedthat therecommendedgateresistanceincludestheresistance
intheDCpath, not just that inthebiasnetwork. Hence, if thispotential divider network
is used with high-power FETs (40 W) with atypical valuefor R
g
of 28 O, and a
negativerail of 5V isusedandV
g
= 1V, thenthetotal seriesresistanceof thedivider
chainwill beof theorder of 35O anddrawabout 150mA of current. Often, thegate
current isforgotteninPA designandtheresultant starving of gatecurrent will leadto
reducedoutputpowerfromthedevices. ThecircuitinFigure7.33(b) hastwoadvantages
over 7.33a. First, theop-ampis alow-impedancesourcewhichcansupply thecurrent
requiredand, second, it sensesI
d
andadjustsV
g
sothat aconstant I
d
ismaintained.
Animportant featureof thebias supply toFET devices isthecorrect sequencingof
therails. V
g
must beestablishedbeforethedrainvoltageisappliedtoprevent excessI
d
frombeingdrawn. Duringbiasswitchon, asV
d
risessothegainandmatchof thedevice
changes andthepossibility of oscillationarises. Inmany text books, it is saidthat the
correct sequencefor biasingupadeviceshouldbeasfollows:
1. Terminatetheinput andoutput in50O.
2. Applynegativebiastothegate, increasinguntil thepinch-off voltage, V
p
, isreached.
3. IncreaseV
d
totherequiredlevel.
4. IncreaseV
g
(makelessnegative) until thedesiredI
d
isreached.
5. ApplytheRF signal.
Theswitchoff routineisthereverse. Whilethisisasensibleprocedurefor thelaband
devicesunder evaluation, inpracticethereislittlecontrol over whentheRF isapplied(if
thisiscritical for adevicethentheamplier will needtointerfacewithaninput power
control loop). Thecomplexity of abias circuit that rst takes theV
g
to V
p
andthento
therequiredV
g
, isonlynecessaryif thereareproblemswiththepower supplyproviding
the required I
d
instantaneously. Some power supplies have a short-circuit sense trip
circuit, inother casestoomuchinductanceinthesupplylinescaninducevoltagespikes
duringswitchon. Thissequencingrequirement isonereasonwhyoftenampliershave
asinglesupplyrail andfor avoltageinverter circuit tobeincluded. Biascircuitscanbe
verycomplex, Figure7.33cshowsinblockformationthepartsof acomprehensivebias
circuit, withasingleprimaryvoltagesourceV
s
. Thecombinationof afuseandaZener
diode protects against reverse and over voltage. The Zener rating is dependent upon
thefusetype. Thefusemay beomittedif theprimary power supply hasashort-circuit
trip. Self-resettingfusesareavailable
6
(nonlinear thermistors), althoughthesecancause
confusionwithsomesystemmonitoringcircuits(appearsasanintermittentfault). Fuses
maybespecicallyexcludedduetomaintenanceissues; howeveritisadvisableforsome
formof input voltageprotectiontobeincluded.
6
E.g., PolySwitchfromRaychem, www.circuitprotection.com.
348 Microwave hybrid amplier realization
DCDC converter: requiredtoproducethenegativevoltagerail. Availableassingle
chipdevicesonlyrequiringanexternal resistor andcapacitor, thisisaswitchingcircuit
andsoitproducesvoltagerippleonthesupply, whichinturnmodulatesV
g
andthehence
theRF signal. Whenobservedinthefrequency domainit canbeseenassidebandson
theRF signal. For ltering it is advisablethat theswitching frequency beas high as
possible.
Voltageregulator: itisimportantthattheV
g
isstable; if theDCDCconverterstability
isnotadequatealinear regulator canbeincorporated. Thiscanbeconguredtoinclude
temperature compensation. The regulator will provide some ltering of the voltage
ripple.
Sequencingcircuit: providesacontrol signal tothedrainvoltagecontrol, includinga
sufcient delay. It is important that this control signal is failsafe, i.e., intheevent of a
lossof thenegativesupplythedrainwill bequicklyshutdown.
Drainvoltagecontrol: initssimplestformthismayconsistof apasstransistorwith
appropriatebiasingfor thecontrol. However, therearealsolow-dropout(LDO) voltage
regulatorswithhighcurrentcapability(7A), adjustablevoltageoutput, enable/control
pins,andevenanerroragthatsignalstheoutputvoltagehasdroppedbelowtheexpected
value. Onenoteof caution, thesedevices haveaminimumload current and must be
correctlycapacitivelydecoupledtopreventoscillation. Theenablelinecanbecoupledto
anexternal control toprovideamutefunctionfor theamplier, buttheswitchingtimeis
typicallyslow(of theorder of hundredsof s) andthusnotsuitablefor mostmodulation
schemes. Wheremodulationis requiredasolutionis to modulatethegatebetweenV
g
andV
p
, however caremust betakennot tointroduceany spikeswhichexceedthegate
breakdownvoltage.
Currentsense: typicallythiswill bealow-ohmicresistor, itisimportantthatV
d
isnot
greatlyaffectedbythechangingI
d
.
Noisereduction: asdiscussedearlier thenegativevoltagegenerationcreatesspurious
signalswhichimposedirectly onthewantedsignal. Thiscancausesevereproblemsin
somecircumstances. Thenoisecanbereducedby lteringor intheextremeby active
cancellation.
Gate voltage control: the variation in transconductance and hence I
d
can be wide
betweendevices. It is recommendedthat for class A operationI
d
is set to 50%of I
dss
(saturatedI
d
measuredwithareducedV
d
andazeroV
g
), typically for power devices
thiswill beprovidedonthepackaging. V
g
isusedtoset theoperatingI
d
, soamethodof
adjustment needstobeincorporated.
It isnecessary tointroducethebiastothedevicewithout detrimentally affectingthe
RF performance. Inthecaseof thedraintheDC resistanceof thefeedmust bekept as
lowas possible. For ahigh-power transistor this is especially important as thevoltage
drop along thefeed linewill reducetheoutput power. In contrast theRF impedance
of thefeedmust behigh. Thereforeagoodsolutionis to introducethebias at alow-
impedancepoint asclosetothedeviceaspossible. Analternativeistouseshort-circuit
matchingstubs, but replacethelink togroundwithadecouplingcapacitor andjointhe
inductor at this point. For lower microwavefrequencies this can bedonewith several
turns of 0.5 mmwire on a 2.5 mmformer (ve turns tightly wound is 40 nH and
7.6 Biasing and control 349
-0.01O). Increasingthespacingbetween theturns decreases theinductanceandthe
capacitancebetween theturns. Theinductor can betested using an SMA gold-plated
anged connector by soldering oneend of thecoil to theangeand theother to the
trimmedcenter pin. ObservingtheS
11
responseonaVNA will showanyresonancesin
thefrequency band, alteringthespacingof thecoils canmovetheseinfrequency. The
impedanceof suchacoil varies from250O at 1GHz to over athousandat 5GHz
(ignoring any resonances). This method is suitablefor broad bandwidths, however its
repeatability ispoor andit isnot suitablefor automatedassembly. Air woundcoilscan
bepurchased froma number of suppliers, using standard compact footprints. As the
frequency increases thenumber of turns requiredto makeahigh-impedanceinductor
decreasesandatband, singleloopsof wiremaybesufcient.
For narrow-banddesigns, apopular solutionistosupplythebiasthrougha90

short-
circuitstub. Thisappearsasanopencircuitatthejunctionwiththemainline. Inorder to
introduceabiasvoltagetheshort must beopencircuitedat DC, whichcanbeachieved
byanumber of methodse.g., 90

opencircuit stub, radial stubor couplingcapacitor, as


showninFigure7.34. Therelativebandpass characteristics canbeseeninthegraphs
in Figures 7.34d and e. The bias voltage would be introduced at the points marked
withastar. Morecomplicatedversionsusingseveral sectionscanbecreatedfor broader
bandwidthcharacteristics. Theimpedanceandlengthof thestubs may also bealtered
toassist withthedevicematching. Wherethe,4linesarenot wideenoughtohandle
theDC current theycanbeselectivelyplatedupor thicker wiresolderedtothetrack to
increasetheDC current capacity without impactingtheRF performancesignicantly.
This wirecan belooped off theboard at theshort-circuit point (star) to link to the
biasfeedor gotoextralow-frequencydecoupling. Notshownisthein-lineDCblocking
capacitor that isrequiredtoisolatetheDC fromtheprecedingandfollowingstages.
Bias can be introduced at the isolated port in Branchline couplers. The isolation
resistor must beAC coupledandDC blockingintheoutput linemust beabletohandle
twicetheRF power of thestandardconguration. Thisisnotnormallydoneontheinput
unlessthedevicesarewell matchedasV
g
isusedtoset I
d
.
Besidesanalyzingthebehavior of thedevicesover theoperatingfrequencyrangeitis
important toconsider what happensout of band, particularly at thelow-frequency end.
Thegainof transistorsincreasesdramaticallyasfrequencyisreducedandsoitisimpor-
tantthatsignals(andnoise) arecorrectlyterminated. Asdiscussedearlier thecapacitors
usedfor decouplingRF signalstendtobeintherangeof 1to20pF for microwavefre-
quencies, largercapacitorshavesignicantparasiticinductanceandmultipleresonances.
Thus,wherewidebandwidthdecouplingisrequiredmultiplecapacitorsof differenttypes
areused. Inaddition, themodulationbandwidthalsoneedstobeconsidered.
Theincreaseinmodulationbandwidthhasincreasedthecomplexityof thebiascircuit
decoupling. Inorder tominimizetheimpact onthemodulatingsignal it isnecessaryto
presentaconstantimpedancetotheselowfrequencies. However, itmayalsobenecessary
tolter out specicfrequencies(suchaspower supplyswitching) andtoprovideahigh
impedancetotheRF signal. FornonclassA operationthesupplymustbeabletoprovide
thetransistors with an alternating current as thedevices areturned on and off by the
RF signal. This must be done without also modulating the supply voltage as change
350 Microwave hybrid amplier realization
Bias feed point
(a)
0
5
10
15
20
25
30
0 2 4 6
3
3
2.5
2
1.5
1
0.5
0
5 GHz
0.04 dB
5 GHz
0.11 dB
4 5
Frequency (GHz)
I
n
s
e
r
t
i
o
n

L
o
s
s

(
d
B
)
I
n
s
e
r
t
i
o
n

L
o
s
s

(
d
B
)
6 7
Frequency (GHz)
Bias Tees Broadband Bias Tees Passband
8 10 12
(b)
(d) (e)
(c)
DB(|S(2,1)|)
Bias Tee Capacitor
DB(|S(2,1)|)
Bias Tee Capacitor
DB(|S(2,1)|)
Bias Tee OC stub
DB(|S(2,1)|)
Bias Tee Radial Stub
DB(|S(2,1)|)
Bias Network
DB(|S(2,1)|)
Bias Tee OC stub
DB(|S(2,1)|)
Bias Tee Radial Stub
DB(|S(2,1)|)
Bias Network
Figure 7.34 Basicbiasfeednetworks: (a) capacitor; (b) open-circuit stub; (c) radial stub;
(d) broadbandperformanceof biasnetworks; (e) passbandperformanceof biasnetworks.
herewill alter thetransfer characteristicsof thedeviceandcausedistortion. Analysisof
biasdecouplinghasbeenwell covered[22]. Thedesignof theconstant impedancebias
networkscanbetreatedasalter problem. Figure7.35cshowshowthelow-frequency
impedancevarieswithfrequencybetweenasimpledecouplingcircuitandonedesigned
for constantimpedance. Theparasiticsof thecomponentscanbeabsorbedintotheextra
elements, for examplethe0.5nH parasitic inductanceof the10nF capacitor shownin
Figure7.35bcanbeincludedinthe4.1nHinductanceinFigure7.35a. Theeffect of the
low-frequency impedancecanbeseeninthesidebands of digitally modulatedsignals
suchasW-CDMA. Memoryeffectsareaphenomenoninthetimedomainduetothermal
transientsandchargestoragecausingthebiasconditionstochange.
It is quite common to see an arrangement of, for example, 1 pF, 1 nF and 10 F
capacitorsontheendof abiasline. Verylargedecouplingvaluesmaybeusedtoreduce
spikes dueto inductanceinbias lines, especially whenusingtest jigs. For belowband
7.6 Biasing and control 351
ID = L1
L = 1e4 nH
RES
ID = R1
R = 1 Ohm
RES
ID = R2
R = 1.2 Ohm
CAP
ID = C2
C = 8.2 nF
CAP
ID = C3
C = 10 nF
CAP
ID = C1
C = 1e4 nF
CAP
ID = C1
C = 1e4 nF
CAP
ID = C1
C = 1e4 nF
10000
100
1
.01
.0001
.0001

(
o
h
m
s
)
ZIN(1) (Ohm)
SimpleDecoupling
ZIN(1) (Ohm)
ConstantZbias
Frequency (MHz)
.01 1
25 MHz
0.0074 Ohm
71.1 MHz
0.0013 Ohm
16.5 MHz
0.83 Ohm
100
RES
ID = R3
R = 1.2 Ohm
IND
ID = L3
L = 4.1 nH
IND
ID = L3
L = 0.5 nH
ID = L2
L = 14 nH
PORT
P = 1
Z = 50 Ohm
PORT
P = 1
Z = 50 Ohm
(b)
(c)
Impedance
(a)
Figure 7.35 Constant impedancebiascircuit: (a) constant Zbias; (b) simplede-coupling;
(c) impedancevariationwithfrequency.
352 Microwave hybrid amplier realization
signals it is oftenadvisableto includearesistivetermination. Inthegatebias this can
beappliedinseriesfor smaller devicesandincorporatethegatelimitingresistor. Inthe
draincircuit thisisnot practical duetothehighcurrents. Instead, theresistor isplaced
inserieswithoneof thehighvaluecapacitors.
Another factor to consider whenoperatingdevices intest xtures rundirectly from
laboratorypower suppliesistheresistancebetweenthePSUandthedevice, especiallyif
discretebiastees areused. A total resistance(R
PS
) of upto1O wouldnot beunusual
and this could reducethesaturated output power by up to 0.5 dB. Thehighest power
devicestendtobebiasedinhigher efciencyclassesthanA, andasaresult I
d
increases
withdrivepower, althoughthePSU output voltageremainsconstant thevoltageonthe
devicewill dropbyI
d
R
PS
.
Thechangingbiasvoltagesduringswitch-onmayleadtooscillation. Thiscanbeseen
by touching thecircuit and killing theoscillation after thebias has stabilized. It may
bepossibletostopthisoscillationby speedinguptherisetimeof V
d
. If theoscillation
frequencyissubstantiallybelowthebandof operation, additional decouplingof thebias
linesmayhelp. If theoscillationoccursabovetheoperatingband, alossy stubmaybe
requiredoneither theinput or theoutput. Thebigproblemarises whentheoscillation
is within the operating region. This means that either the input or output matching
impedanceispresentingaloadthatisintheunstableregionof thetransistorsoperation,
whichis changingas thedeviceV
d
ramps. It is important to determinewhether other
stages are contributing to the unstable conditions. If there are a number of stages in
serieswithout anyisolatingelementsthenthesewill alsopresent changingimpedances
during power on. Onceit has been established which stageis causing theproblem, a
morecomplicatedbiassequencemayberequired. Insteadof V
g
beingsettotherequired
voltagefor operationit isset toV
p
until thedrainvoltagehashadtimetoestablish, V
g
isthenadjustedtothevaluefor therequiredI
d
. It isnecessarytocheck for oscillations
during switch on over theoperating temperaturerangeof thedevice, particularly the
lower endwherethegainishighest.
7.6.1 Control and interfacing
Thereareanumber of systemfunctionsthat canbehandledwithintheamplier andit
maymakepractical andeconomicsensetoincludethem. Beawarethoughthatthemore
customized an amplier becomes, then costs rise, reliability falls, andtheharder it is
tonddirect replacements. Thereisalsoadanger inaddingfeaturesbecausewecan
rather thandrivenbyneed. Ontheother handthereareanumber of systemcomponents
that canbeincludedwithinanamplier savingsize, weight andcabling.
It may be advantageous froma systempoint of view to be able to shut down an
amplier. ThiscanbedonebyremovingV
d
, or applyingV
p
tothegate, or byincluding
anRF switchintheinputof themodule. Thislattersolutionisapplicablewhereveryfast
modulationof theamplier is required, otherwisetheother solutions canbeprovided
withlittleextracost. A disadvantageof usingaswitchat theinput isthat theamplier
remainsonandhenceisstill amplifyingnoise. For thisreasoninsomepulsedsystems
V
d
isremovedbetweenpulses, toquieten intrapulsenoise.
7.7 Tuning techniques 353
It may beuseful fromasystemperspectiveto monitor thepower levels at theinput
andoutput of theamplier. Simplecouplers canbeincorporatedwithintheamplier,
Figure7.22. Inthepast it wascommontoincludeadiodedetector circuit andreturna
voltageproportional tosignal level. Therearenowsinglechipsolutionsupto6GHz.
7
An
alternativewaytomeasureoutputpower istoobservethecurrentbeingdrawnbytheRF
transistors. Thishastheadvantageof notrequiringanyadditional real estateintheRF
section, puttingtheadditional componentsinthebiassidewhichtendstobelower cost.
Itisnotasaccurateandmaychangewithtime, however if therequirementisforasimple
indicator itmaysufce. It canalsodoubleasadevicehealthcheck. Insomeamplier
systemstheoutput coupler isusedtomonitor boththeforwardandreectedpower. The
signal fromthereectedpower monitor canbeusedtoshutdowntheamplier or reduce
theoutput power if theamplier issusceptibletodamagefromreectedsignals. It may
justbeusedasawarningindicator, for examplethatanantennahasnotbeenconnected,
if theamplier can withstand reected power. If an isolator is tted, then rather than
havingaloadwhichiscapableof handlingfull power temperaturesensor canbetted
totheload, shuttingdowntheamplier intheevent of excessheat (equivalent toexcess
reectedpower).
Thepurposeof thebuilt intest (BITE) istoenablequickfault assessment andrepair.
Thistestshouldenablerepair tobemadetotheappropriatelevel of replacement, butno
further. Therewouldbelittlepoint identifyingtotherepair technicianwhichparticular
transistor had failed if they can only replace the module. The information on which
transistor has failed may be required back at the factory, but in that case it is also
possibletoliftthelidandseeinside(whichwill needtobedonetoreplacethetransistor
anyway). Where individual transistor monitoring does pay off is in fault prediction.
Looking at moduleperformanceas a wholeit may bedifcult to seethechanges in
one device. Monitoring the gate and drain currents (at known RF power levels) may
anticipatedevicefailure. However, thisrequiresasophisticatedsystemandisprobably
onlynecessarywhereanextremelyhighlevel of availabilityisessential.
7.7 Tuning techniques
Differences exist in thetransconductanceand theparasitic capacitances, C
ds
and C
gs
,
across semiconductor wafers, and even larger variations occur between them. As a
resultof thisaswell asother factorssuchasvariationsinmechanical placement, etching
tolerances, passivecomponentspreads, etc., itisfrequentlynecessarytotuneanamplier
tomakeit meet specication.
MechanicallyvariablehighQcapacitorsareavailableforuseinthelowerGHzregion;
however, they areexpensiveandmoresensitivetovibration. Somecompanies provide
chip capacitors stuck to nylon rods that can either be pressed down on assembled
capacitors to increase the effective capacitance or add capacitance to a circuit some
place. Obviously, if this has anegativeeffect it implies that thecapacitanceneeds to
7
E.g., AnalogDevices, AD8363.
354 Microwave hybrid amplier realization
Links
(b)
(d) (c)
(e) (f)
(a)
Figure 7.36 Commontuningmethods: (a) and(b) short-circuit stub; (c) and(d) lineextension;
(e) open-circuit stub; (f) tuningarray.
References 355
bedecreased. If provisionismadeinthelayout thencapacitor positionscanbealtered,
slidingthemupanddownatransmissionline. Chipinductorsarenot easy totune, but
air woundinductorscanusuallyhavetheir spacingincreasedor reduced.
Transmission lines havetwo parameters that can bealtered, impedanceand phase,
whichcorrespondtowidthandlength, respectively. Thesecanbechangedbyeither an
additiveor subtractiveprocess. Alteringthewidthisusuallytheeasiestchangetomake.
Therearedifferent approaches for hard and soft substrates. Traces on hard substrates
aredifcult to removewithout theuseof special tooling, whileasimplescalpel and
a soldering iron (the adhesion of the tracks to the substrates reduces with heat) are
all that is needed for soft substrates. Figure7.36 shows somecommonly used tuning
approaches. For hard substrates the links are wire bonds. It is often easiest to bond
all of thepositions duringinitial buildandthenremovethelinks as necessary during
tuning. For softsubstratesitissimpler toaddthelinksbysoldering. Itmaybenecessary
to removesometracks after links havebeenmade, for exampleinFigure7.36d). The
tuningarrayinFigure7.36f mayseemlikeascatter gunapproachbutitisveryuseful,
especially in early prototypes. The tuning used can be accurately identied and then
incorporatedinrevisionstothedesign.
Tuningdisks or squares canbeeither custommadeinavariety of sizes or cut from
copper shim. A favouriteof manyengineersistousethebitsof deviceleads(cut off in
production) oncocktail stickstomovethemabout. Inadditiontosolder andwirebonds,
conductivepaint has beenusedto link to tuningpads, however, theredoes not appear
tobeany dataonthelongtermreliability of thisapproach. A nal techniqueistouse
dielectricoverlays. Thesearelayersof dielectricplacedover thetopsof circuitelements,
particularlycoupledlines.
References
1. J. Lange, Interdigital striplinequadraturehybrid, IEEE Trans. Microw. TheoryTech., vol.
17, pp. 11501151, Dec. 1969.
2. N. Sinnadurai, Plasticpackagingishighlyreliable, IEEE Trans. Rel., vol. 45, pp. 184193,
J une1996.
3. J. Schultz-Harder andA. Meyer, Hermeticpackagingfor power multichipmodules, Euro-
peanConferenceonPower ElectronicsandApplications, 2007, pp. 110.
4. E. F. J ohnson, Technique engineers the cavity resonance in microstrip housing design,
Microw. Syst. News. Commun. Feb. 1987.
5. P. Aaen, J. Pla, andJ. Wood, ModellingandCharacterisationof RF andMicrowavePower
FETs, CambridgeUniversityPress, 2007.
6. B. Rosas, 50GHz EndLaunchConnector Test Boards, HorizonHouse, Microw. J., Mar.
24, 2008.
7. S. B. Durgin, Understanding the basic thermal properties of SMT devices www.
ims-resistors.com/IMSthermalnote.pdf [Online] [cited: J une 4, 2009.] www.ims-resistors.
com.
8. V. F. Perna, TheRF Capacitor Handbook, ATC Corp. pp. 25-17.
9. C. Bowick, RF Circuit Design, Newnes, 2008.
356 Microwave hybrid amplier realization
10. F. Giannini, C. Paoloni, and M. Ruggieri, very broadband matched termination utilizing
nongroundedradial lines, 17
th
EuropeanMicrowaveConference, 1987.
11. H. J. LouwandJ. R. Nortier, CascadedLangecouplers, Microw. J ., Nov. 1989.
12. P. Wright, A. Sheikh, C. Roff, P.J. Tasker, andJ. Benedikt, Highly efcient operationmodes
inGaNpower transistorsdeliveringupwardsof 81%efciencyand12Woutputpower, IEEE
MTT-SInt. Symp. Dig., pp. 11471150, J une2008.
13. D. M. FitzPatrick, T. Williams, J. Lees, J. Benedikt, and P. J. Tasker, Largesignal device
characterisationusingactiveload-pull for improvedMMICdesign, IET Seminar onRF and
MicrowaveIC Design, pp. 17, 2008.
14. B. Battaglia, D. Rice, L. Phuong, B. Gogoi, G. Hoshizaki, M. Purchine, R. Davies, W.
Wright, D. Lutz, M. Gao, D. Moline, A. Elliot, S. Tran, andR. Neeley, A novel siliconhigh
voltagevertical MOSFET technologyfor a100WL-bandradar application, 38
th
European
MicrowaveConference, 2008.
15. D. FitzPatrick, 1 kW, L Band, CW Solid State Amplier for Pulsed Radar Immunity
Testing, IMS2005MicroApps, 2005.
16. D. I. StonesA UHF 16-waypower combiner designedbysynthesistechniques, Microw. J .,
J une1989.
17. B. S. Virdee, A. S. Virdee, andB. Y. Banyamin, BroadbandMicrowaveAmpliers, Artech
House, 2004, pp. 133135.
18. J. J. PanandM. J. Russell, MESFET amplier withRF feedbackgiveshighperformance, low
noise, Microw. Syst. News, J une1983.
19. R. Rhea, TheYinYangof matching: part 1 basicmatchingconcepts, Summit Technical
Media, HighFrequencyDesign, pp. Mar. 1625, 2006.
20. R. M. Fano Theoretical limitations on thebroadband matching of arbitrary impedances,
J. FranklinInst., J an. 1950.
21. R. Rhea, The YinYang of matching: part 2 practical matching techniques, Summit
Technical Media, HighFrequencyDesign, pp. 2840, April 2006.
22. S. C. Cripps, RF Power Ampliers for Wireless Communications, 2ndEdn., ArtechHouse,
2006.
23. E. D. Ostroff, M. Borkowski, andH. Thomas, SolidStateRadar Transmitters, ArtechHouse,
1985.
8 Monolithic power ampliers
Inder Bahl
Cobham Sensor Systems
8.1 Overview of MMIC power ampliers
Over thepast 30years, microwavepower amplier (PA) technologyhasgonethrougha
signicantevolutiontomeetnecessaryrequirementssuchashigh-power, high-efciency
and high-voltageoperation for lower-cost solutions, circuit miniaturization, improved
reliability and high-volume applications. PA component size and weight are prime
factors inthedesignof electronic systems for satellitecommunications, phased-array
radar (PAR), electronic warfare, andother airborneapplications, whereashigh-volume
and low-cost drivethePAR and consumer electronics market. Monolithic microwave
integratedcircuit (MMIC) power ampliersarethekey tomeetingtheserequirements.
In MMICs all active and passive circuit elements are fabricated together on a semi-
insulatingGaAssubstrate. MMIC ampliersareintegral partsof most commercial and
militarysystems.
For radiofrequencyintegratedcircuit (RFIC) wirelessapplications, several Si-based
devicetechnologiesincludingbipolar, CMOS, BiCMOS andSiGeHBT arebeingpur-
sued to obtain an optimumsolution in terms of performanceand cost for low-power
applications. In the Si based processes, Si wafers are larger and cheaper than GaAs
wafers but thefabricationinvolves arelatively larger number of process steps. RFICs
aregenerallypartiallymatchedandrequireoff-chipelementstocompletethematching.
BothRFICsandMMICshavelowQpassives, expensivenonrecurringengineeringcost,
longdevelopment cycletimeandinMMICsnopost manufacturetuningor tweaking
toobtaintheoptimumperformance. PowerlevelsinanMMICapproacharemuchhigher
thanthosethat canberealizedusinganRFIC techniquebecauseof breakdownvoltage
considerations. Therefore, Si basedRFICswill not beincludedinthischapter.
8.1.1 Brief history of MMIC power ampliers
Building upon the success of microwave integrated circuit (MIC) technology, a new
monolithicmicrowaveGaAssemiconductor-basedtechnologywasintroducedinthemid
1970s. It was in 1976when Pengelly and Turner [1] applied themonolithic approach
to an X-bandamplier basedontheGaAs metal semiconductor FET (MESFET). By
1980manyMMICpower amplier resultsusingMESFETsfor variousapplicationshad
beenreported. Sincethat time, tremendousprogresshasbeenmadebothinMMIC PA
358 Monolithic power ampliers
developmentsandinsystemapplications. Someof theearlydevelopment milestonesin
MMIC PAsarelistedbelow:
r
X-bandpower GaAsMESFET amplier in1979;
r
Q-bandGaAsMESFET power amplier in1986;
r
X-bandGaAsHEMT power amplier in1989;
r
W-bandHEMT power amplier in1992;
r
C-bandGaAsMESFET veryhigh-efciencypower amplier in1996;
r
X-bandGaAsMESFET high-power amplier in2000.
TheoutstandingprogressinMMIC technologyisattributedtothefollowing:
r
rapid development of GaAs material technology, including semi-insulating wafers,
epitaxial growth, andionimplantation;
r
advancedphoto- or E-beamlithographytechnologydevelopedfor Si ICsanddirectly
applicabletoGaAsICs;
r
excellent microwave properties of semi-insulating GaAs substrates (high dielectric
constant,
r
= 12.9, and low-loss tan = 0.0005), which permit easy isolation of
devicesfor high-level integration;
r
thedevelopmentof powertransistorsoperatingatupto100GHzhaveprovidedMMIC
designerswithversatileactivecircuit components;
r
high electron mobility transistors (HEMTs) and heterojunction bipolar transistors
(HBTs) whichare, inadditionto MESFETs, theother most commonactivedevices
usedinMMIC power ampliers. Pseudo-morphicHEMT (pHEMT) MMICsprovide
enhancedperformanceintermsof noisegure, power, PAE, bandwidth, andfrequency
range;
r
the development of accurate models for characterizing active devices and passive
components;
r
theavailabilityof commercial CADtoolsforaccuratelinearandnonlinearsimulations
andoptimizationof power ampliers;
r
the availability of on-wafer high-frequency test probes that permit both low-cost
MMICscreeningbasedonsmall-signal andlarge-signal S-parameters, andthecollec-
tionof alargeamount of statisticallysignicant datawithout thecost andvariability
of packaging;
r
government fundingfor technologydevelopment andmaturation;
r
expandingmilitaryandcommercial applications.
8.1.2 Advantages of monolithic power ampliers
Whereas most MMIC ampliers currently in production operate in the 0.5 GHz to
40 GHz microwave range, applications covering the millimeter-wave (mmW) spec-
trumfrom30 GHz to 300 GHz areincreasing. Monolithic technology is particularly
suitedfor millimeter waveapplications throughtheeliminationof theparasitic effects
of bond wires which connect discretecomponents in conventional hybrid microwave
8.2 Monolithic IC technology 359
50- input
line
Air bridge
Inductor
Bonding
pad
Transmission- line
inductor
Thin-film
resistor
Capacitor
Semi-insulating
GaAs substrate
FET
D
G
S
Via hole
Figure 8.1 Three-dimensional viewof aMMIC amplier. (After Bahl [23]. Reprintedwith
permissionof J ohnWiley.)
integratedcircuits (HMICs). InMMIC-basedmmW subsystems, thecost canbelow-
eredby afactor of tenor moreascomparedtohybridsolutions. Advantagesof MMIC
ampliers include low-cost, small size, light weight, circuit design exibility, broad-
bandperformance, eliminationof circuittweaking, high-volumemanufacturingcapabil-
ity, packagesimplication, improvedreproducibility, radiationhardness, andimproved
reliability.
MMIC power ampliers have the following potential advantages as compared to
commonlyavailableinternallymatchedpower ampliers:
r
multistagedesignshavehigher gain(1525dB);
r
higher overall PAE;
r
better unit tounit amplitudeandphasetracking;
r
compact insizeandlightweight;
r
lower partscount, higher reliability, andlower incost;
r
noexternal biasingchokesrequired.
8.2 Monolithic IC technology
InfabricatingMMICs, all activeandpassivecircuit elementsandinterconnectionsare
formedtogether onthesurfaceof asemi-insulatingsubstrate(usuallygalliumarsenide).
BasicactivedevicesusedinMMICsareMESFETs, HEMTsandHBTs[256]. Typically,
MMICsusemicrostripandmetal-insulator-metal (MIM)capacitorsforthematchingnet-
works, whereasatlowmicrowavefrequencies, lumpedinductorsandMIMcapacitorsare
commonlyused. Metal-lledviaholesfromthebottomof thesubstrate(groundplane)
tothetopsurfaceof MMICs, providelow-lossandlow-inductancegroundconnections.
Figure8.1showsa3Dviewof anMMIC.
360 Monolithic power ampliers
Figure 8.2 Flowchart for multifunctionself-alignedgate(MSAG) MESFET MMIC process.
8.2.1 MMIC fabrication
Different methods areused to fabricateMMIC ampliers. Most MMICs using MES-
FET, HBT, andHEMT aremanufacturedbyarecessed-gateprocess. MESFETsarealso
manufacturedby employingaself-alignedgate(SAG) FET process whichpermits the
efcient fabricationof devicesoptimizedfor different functions(e.g., microwavesmall
signal, microwave power, and digital) on the same wafer at the same time. The self-
alignedgateprocesshasdemonstratedsuperior performanceuniformity inamanufac-
turingenvironment. Oneparticular embodiment of suchaprocessisitsstate-of-the-art
power amplier performance.
It is important for designers to have an appreciation for the complexity of MMIC
manufacturing. A GaAs MMIC power amplier process has over 250 individual pro-
cess steps. As an example, a simplied owchart for theGaAs SAG MMIC process
showingmajor steps is depictedinFigure8.2. Theprocess includes thefabricationof
activedevices,resistors,capacitors,inductors,distributedmatchingnetworks,airbridges,
andviaholes for groundconnections throughthesubstrate. Theprocess for recessed-
gate MMICs has many similarities. Basic process steps are similar for any MMIC
technology.
Ingeneral, GaAsMMICprocessingislesscomplexthansiliconRFIC. Becausesilicon
hasinherentlylower frequencycapabilityandpoorer isolationpropertiesfor integration
purposes, more exotic processing is required to compete in the frequency region of
overlap with GaAs applicability. For example, asilicon bipolar complementary metal
oxidesemiconductor (BiCMOS) processfor suchICapplicationsmayrequire23times
asmanymasklayers, addingsignicantlytothecost.
8.2 Monolithic IC technology 361
Table 8.1 Comparison of transistor/monolithic integrated-circuit substrates
1
Property Silicon SiC GaAs InP GaN
Semi-insulating No Yes Yes Yes Yes
Resistivity(O-cm) 10
3
10
5
>10
10
10
7
10
9
10
7
>10
10
Dielectricconstant 11.7 9.7 12.9 14 8.9
Electronmobility(cm
2
/V s) 1450 500 8500 4000 800
Saturationelectrical velocity(cm/s) 910
6
210
7
1.310
7
1.910
7
2.310
7
Radiationhardness Poor Excellent Verygood Good Excellent
Density(g/cm
3
) 2.3 3.1 5.3 4.8 6.1
Thermal conductivity(W/cm-

C) 1.45 3.5 0.46 0.68 1.3


Operatingtemperature(

C) 250 >500 350 300 >500


Energygap(eV) 1.12 2.86 1.42 1.34 3.39
Breakdowneld(kV/cm) 300 2000 400 500 5000
1
Purematerialsat roomtemperature.
Onecanndcomprehensiveinformationonthedesign, fabrication, andperformance
of monolithicmicrowaveandmillimeter-waveintegratedcircuitsaswell astheirapplica-
tionsinIEEE MicrowaveandMillimeter-WaveMonolithicCircuitsSymposiumDigests
published from1982 to 1996, IEEE RFIC SymposiumDigests published since1997,
andIEEE GaAsICSymposiumDigestspublishedsince1980. Several other bookslisted
[223] deal withthissubject either partiallyor exclusively.
8.2.2 MMIC substrates
Various substrate materials used for MMICs are bulk silicon, silicon carbide, GaAs,
InP, andGaN. Their electrical andphysical properties arecomparedinTable8.1. The
semi-insulatingandhigh-thermal conductivitypropertyof thesubstratematerial iscru-
cial to providing higher device isolation and lower dielectric loss, and a good heat
dissipation path for power MMICs. Silicon dominates the marketplace and GaAs is
used widely at RF, microwave, and mmW frequencies. For high-voltage, high-power
andhigh-temperatureapplications, wide-bandgapmaterialswithrelativelyhighthermal
conductivity, suchas SiC andGaN, play asignicant roleas asubstratematerial. The
recent development of high-voltageactivedeviceswithvery high-power densitiesona
SiC substratewas only possibledueto its highthermal conductivity, whichis aprime
requirement for any semiconductor material to beusedas asubstratefor high-voltage
andhigh-power densitydevicesandMMICs.
8.2.3 MMIC active devices
TheMESFET (0.251.0mgatelengths) hasbeentheworkhorsefor analogintegrated
circuits (ICs) since 1976. MESFET based power MMICs demonstrate excellent per-
formanceat microwavefrequencies. However, HEMT andHBT devicesoffer potential
advantages in microwave and millimeter-wave IC applications, arising fromthe use
362 Monolithic power ampliers
W
(a) (b)
h
2b
S
W=2a

r

r
Figure 8.3 Transmissionlinesfor MMICs: (a) microstrip, (b) coplanar waveguide.
of heterojunctions to improvechargetransport properties (as inHEMTs) or pnjunc-
tion injection characteristics (as in HBTs). HEMTs appear to have an advantage in
ultralow-noise and mmW applications. The MMICs produced using novel structures
suchaspseudo-morphic, lattice-matchedHEMTs, alsoknownaspHEMTs, havesignif-
icantlyimprovedthepower performanceandhigh-frequency(upto280GHz) operation.
AlGaN/GaN HEMT deviceshavedemonstratedpower densitiesgreater thanvetimes
higher thanthat of conventional GaAs-basedtransistors [24, 25]. HBTs arevertically
oriented heterostructure devices and are popular as power devices. GaAs HBTs are
extensively used as power devices for high-volume wireless applications because of
their high-gain, goodefciency, andsinglepower supply low-voltageoperation. They
alsoofferbetterlinearityandlowerphasenoisethandoFETsandHEMTs. Forpowercir-
cuits, whereoneneedsmuchhigher current, either alargenumber of cellsareemployed
or larger gateperipherydevicesareused.
Theperformanceof microwavetransistorsinMMICtechnologiesisimprovingevery
year. Theupper frequency limit of MMICs is generally dictated by theactivedevice
technologyused. Theperformanceof thesedevices(FETs, HEMTs, andHBTs) depends
onthesubstratematerial, processtype, andchannel physical dimensions. A commonly
usedgureof merit for devicesisknownasthemaximumfrequencyof oscillationand
denotedbyf
max
. Generally, for ampliersthemaximumfrequencyof operationisabout
half of f
max
[26]. As reportedintheliterature, thef
max
values for a0.1mgate-length
pHEMT onanInP substrateisabout 600GHz, andfor a1memitter HBT it isabout
170GHz. A three-stageamplier fabricatedusinga0.1mpHEMT onanInPsubstrate
has exhibitedabout 12dB gainat 153155GHz [27]. MESFETs, HEMTs, andHBTs
havebeendescribedindetail inChapter 2.
8.2.4 MMIC matching elements
In addition to active devices, MMICs require high Q passive circuit elements. Like
hybrid ICs, monolithic circuits usedistributed as well as lumped matching elements.
Themicrostriplineandcoplanar waveguide(CPW) arethetwo most commonly used
transmission mediain MMICs. Microstrip is morepopular becauseof its quasi-TEM
nature and excellent layout exibility. Microstrip line is exclusively used in MMIC
ampliers due to high current handling capability. Cross-sectional views of these
lines with physical parameters are shown in Figure 8.3. Sections of microstrip lines
and coplanar waveguide constitute the basic passive component building blocks of
8.2 Monolithic IC technology 363
Table 8.2 Microstrip data summary on GaAs substrate: h = 100 m, t = 5 m, tan = 0.0005,
f = 10 GHz and
r
= 12.9
Linecapacitance Lineinductance
W(m) W/h Z
0

re
(dB/cm) (pF/100m) (nH/100m)
10 0.10 87.8 6.89 0.716 0.010 0.077
20 0.20 75.1 7.23 0.541 0.012 0.067
30 0.30 67.2 7.45 0.468 0.014 0.061
40 0.40 61.4 7.62 0.422 0.015 0.056
50 0.50 56.8 7.76 0.390 0.016 0.053
75 0.75 48.4 8.06 0.342 0.020 0.046
100 1.00 42.5 8.31 0.315 0.023 0.041
125 1.25 38.1 8.52 0.301 0.026 0.037
150 1.50 34.5 8.71 0.293 0.028 0.034
200 2.00 29.2 9.03 0.282 0.034 0.029
250 2.50 25.4 9.30 0.276 0.040 0.026
300 3.00 22.5 9.52 0.271 0.046 0.023
400 4.00 18.3 9.89 0.265 0.057 0.019
500 5.00 15.5 10.18 0.262 0.069 0.016
monolithic microwave integrated circuits. When the size of the microstrip section is
reducedtodimensionsmuchsmaller thanthewavelength, thesectioncanbetreatedasa
lumpedelement. Examplesof lumpedmicrostripelementsarespiral inductors, thin-lm
resistors, andinterdigital capacitors. Microstripsectionsinlumpedanddistributedforms
arecommonly usedinpassiveandactivemonolithic microwaveintegratedcircuits. To
realizecompactcircuits, lumpedelementmatchingnetworks, or lumped-distributedcir-
cuit elementsareutilizedtotransformdeviceimpedanceto50O. Anoverviewof these
circuit elementsisgivenbelow[28].
Microstrip
Several methodsusedtodeterminemicrostripparametersaresummarizedinreference
[28]. Themicrostrippropagationproperties, suchasthecharacteristic impedance(Z
0
),
effectivedielectricconstant(
re
)andattenuationconstant()arecontrolledbyconductor
widthWandsubstrateheighthforagivendielectricconstantvalue(
r
=12.9forGaAs).
Table8.2 summarizes Z
0
,
re
, , linecapacitanceand lineinductancedatacalculated
for various linedimensions andfor aGaAs substrate. As anexample, for a50O line
onaGaAs substrate, thevalueof width-to-height ratio W/his about 0.7. As shownin
Figure8.4, thecharacteristicimpedanceZ
0
decreasesandtheeffectivedielectricconstant

re
increases when the strip W/h of the line is increased. The measured attenuation
constant of microstripas afunctionof linewidthon100mthick GaAs at 1, 10, 20,
and30GHzisshowninFigure8.5. Theattenuationinthelinedecreaseswithincreasing
linewidth. Wavelengthinmicrostrip isrelatedto
re
by
=
0
,

re
(8.1)
where
0
isthefreespacewavelength.
364 Monolithic power ampliers
120
100
80
60
40
20
0
0.01 0.02 0.05 0.1 0.2
W/h
Z
0
Z
0
()
0.5 1 2 5 10
0
2
4
6
8

re

re
10
12
Figure 8.4 Variationof characteristicimpedanceandeffectivedielectricconstant of microstrip
versusW/hon100mthickGaAs.
1.6
1.2
0.8
0.4
0
10 20 40
Line Width (m)


(
d
B
/
c
m
)
100 20 400
1
10
20
30
f (GHz)
Figure 8.5 Measuredattenuationconstant of microstripversuslinewidthon100mthickGaAs
at 1, 10, 20, and30GHz.
Themaximumfrequency of operationof amicrostriptransmissionlineislimitedas
aresult of several factors including excitation of spurious modes, higher losses, pro-
nounced discontinuity effects, low Q caused by radiation fromdiscontinuities, effect
of dispersiononpulsedistortion, tight fabricationtolerances, handlingfragilityand, of
course, technological processes. Themaximumfrequency of operationof amicrostrip
transmissionline, thefrequencyat whichsignicant couplingoccursbetweenthedom-
inant quasi-TEM mode and the lowest-order surface wave spurious mode, is given
by[28],
f
T
=
150
h

r
1
tan
1

r
(8.2)
8.2 Monolithic IC technology 365
where f
T
is in gigahertz, h is in millimeters and the inverse of tangent is expressed
in radians. The excitation of higher-order modes in a microstrip can be avoided by
operatingit belowthecut-off frequency of therst higher-order mode, whichis given
approximatelyby
f
c
=
300

r
(2W0.8h)
(8.3)
wheref
c
is in GHz, and Wand h arein mm. This limitation is mostly applicablefor
low-impedancelinesthat havewidemicrostripconductors. Thecalculatedvaluefor the
maximumthicknessof theGaAssubstratefor microstripcircuitsdesignedat 100GHz
islessthan0.3mm.
Sinceit isimpossibletodotuningonGaAsMMICs, anaccurateandcomprehensive
modelingof microstripdiscontinuitiesisrequiredtosaveexpensiveandtime-consuming
iterationof maskandwafer fabricationandevaluation. Astheyieldof MMICsdepends
on the size (the smaller the chip, the higher the yield), and the circuits acceptable
electrical performance, discontinuities play an important part in the development of
MMICs. Theeffect of discontinuitiesbecomesmorecritical at higher frequencies. The
discontinuitiesshouldbeeither takenintoaccount or compensatedfor at thenal stage
of design. Inmost casesdiscontinuitiesarebasicallyundesirablecircuit reactances, and
inagoodcircuitdesign, effortsaremadetoreduceorcompensateforthesereactancesas
discussedinreference[28]. Inmosthigh-frequencyapplications, thecompactmatching
circuitsareelectromagnetic(EM) simulated.
CPW
Several methodsusedtodetermineCPWparametersaresummarizedinreference[28].
CPWpropertiesarecontrolledbythecenterconductorwidthWandthespacingbetween
the strip and the ground-plane conductor denoted by S in Figure 8.3b. In CPW, the
substrate thickness generally used is large so that if the substrate has a conductor
backingto improvethemechanical strength, its effect is insignicant ontheelectrical
characteristics of the CPW. Figure 8.6 shows the variation of Z
0
and
re
as function
of the conductor width to gap separation ratio. The characteristic impedance of the
linedecreaseswithincreasinga/bratio. Themeasuredattenuationversuscharacteristic
impedanceZ
0
for CPW is showninFigure8.7. Theattenuationinthelineat 60GHz
hasaminimawhenthecharacteristicimpedanceof thelineisabout 60O.
For thick substrates thecouplingof power fromthedominant modeto higher-order
modes takesplace. Thecouplingtosurfacewaves andradiationfromunwanted(para-
sitic) modescontributesadditional losstothetotal lossof theCPW. Theparasiticmode
inacoplanar waveguideistheodd-modewithantiphasevoltagesinthetwoslots. This
modecan beexcited at discontinuities, and radiation may occur. Radiation fromthis
modecanbeminimizedby maintainingsymmetry of thecircuitsandthusavoidingits
excitationor by usingair bridges connectingthegroundplanes at regular intervals to
shortcircuititout. Inaconductor-backedcoplanar waveguide, theparallel-platewaveg-
uidemodesareother parasiticmodes. Surfacewavesor thesubstratemodesaretheTM
andTE modessupportedby thesubstrate. Excitationof thesemodescanbeavoidedif
366 Monolithic power ampliers
160 7.5
7.0
6.5
6.0
5.5
5.0
4.5
4.0
0.01 0.02 0.05 0.1
a/b a/b
0.2 0.5 1
140
120
100
80
60
40
20
0.01 0.02 0.05
h/b
h/b

re
Z
0
()
0.5
0.5
1.0
1.0

0.1 0.2 0.5 1


Figure 8.6 Variationof characteristicimpedanceandeffectivedielectricconstant of CPWversus
slot dimensionsonGaAs.
10
5
1
0.5
0.1
10 50 90
Z
0
()


(
d
B
/
c
m
)
130
b (mm) = 0.10
0.15
0.20
170
Figure 8.7 Measuredattenuationconstant of CPWversusslot characteristicimpedanceon100
mthickGaAsat 60GHz.
athinsubstrateis usedsuchthat thecutoff frequency of thesurfacemodes is pushed
abovetheoperating frequency. This is achieved if thesubstratethickness h is chosen
suchthat
h 0.12
0
,

r
(8.4)
where
0
isthefreespacewavelength.
Likemicrostrip discontinuities, CPW discontinuity effects must also betaken into
consideration. CPWMMICs, comparedwithmicrostrip-basedMMICs, canhavelower
lossatmillimeter-wavefrequencieswithproperdesignof thematchingnetworks, require
8.2 Monolithic IC technology 367
Figure 8.8 Microstriplumpedelements:(a) inductorsand(b) capacitor.
S
W
D
i
D
o
(a) (b)
Figure 8.9 Coil inductor congurations:(a) circular and(b) rectangular.
novia-holetechnology for RF groundconnections, andaremoresuitablefor ip-chip
mounting.
Lumpedelements
A lumpedelementinradiofrequencyandmicrowavecircuitsisdenedasapassivecom-
ponent whosesizeacrossanydimensionismuchsmaller thantheoperatingwavelength
sothatthereisnoappreciablephaseshiftbetweenitsinputandoutputterminals. Gener-
ally, keepingthemaximumdimensionlessthan/20isagoodapproximation. Lumped
elementsfor useatRF andmicrowavefrequenciesaredesignedonthebasisof thiscon-
sideration, andthethreebasiclumpedelementbuildingblocksareinductors, capacitors,
andresistors. Figure8.8showsbasicmicrostriplineinductorsandacapacitor. Theirsim-
pleformsarerealizedusingmicrostripsections. Amongvariousinductorshapes, circular
andrectangular spiral inductors, showninFigure8.9, andmetal-insulator-metal (MIM)
andinterdigital capacitors, shownin Figure8.10, arecommonlyused. A microstripsec-
tionrealizedemployingalossyconductor isusedasaresistor. At RF, lumpedinductors
andMIM capacitorsarewidelyusedinMMIC matchingnetworks.
Lumped-element basedpower amplier circuits havetheadvantageof smaller size,
lowercost, andwiderbandwidthcharacteristics. TheseareespeciallysuitableforMMICs
368 Monolithic power ampliers
Table 8.3 Coefcients for general inductance expression
Inductor geometry c
1
c
2
c
3
c
4
Square 1.27 2.07 0.18 0.13
Hexagonal 1.09 2.23 0.00 0.17
Octagonal 1.07 2.29 0.00 0.19
Circle 1.00 2.46 0.00 0.20
Bottom
conductor
(a) (b)
Top conductor
W
d

rd
Figure 8.10 (a) MIM capacitor, (b) interdigital capacitor and(c) equivalent circuit.
wherereal-estaterequirementsareof primeimportanceandapplicationswherebroad-
bandis required. Currently, MMIC technologies havereachedamaturestage; lumped
elementsworkingevenupto60GHzaremoresuitablefor low-costcircuitsolutions. At
frequenciesbelowS band, MMICsusinglumpedinductorsandcapacitorsareanorder
of magnitudesmaller thanICsusingdistributedelements. At RF andthelowendof the
microwaveband, theuseof lumpedelements makes thechipsizesignicantly smaller
without affecting theRF performance, increasing thenumber of PA chips per wafer,
and giving improved visual and RF yields. All thesefactors can reducethechip cost
drastically. Onecanbuy12Wpower ampliersfor aslowas$5.
Lumped element models can be developed using analytical, physics and EM, and
measurement-based methods. A more general expression for inductance of arbitrary
shapehasbeenreportedintheliteratureandreproducedasfollows[20]
L =

0
n
2
D
av
c
1
2
_
n(c
2
,) c
3
c
4

(8.5)
wherecoefcientsc
i
for variousgeometriesaregiveninTable8.3, isthell ratioand
D
av
istheaveragediameter of theinductor, andtheir expressionsaregivenbelow
=
D
o
D
i
D
o
D
i
(8.6)
D
av
=
1
2
(D
o
D
i
) (8.7)
MMICcapacitorsareclassiedintothreecategories: microstrip(Figure8.8b), MIM, and
interdigital asshowninFigure8.10. A small lengthof anopencircuitedlow-impedance
microstrip section can be used as a lumped capacitor with a low capacitance value
(-0.2pF) per unit areaduetothick substrates. MIM capacitors arefabricatedusinga
8.2 Monolithic IC technology 369
multilevel processandprovidethelargest capacitancevalue(0.150pF for monolithic
on GaAs) per unit area because of a very thin dielectric layer sandwiched between
two electrodes. Theinterdigital geometry has applications whereoneneeds moderate
capacitance(0.10.5pF) andhighQvalues.
The MIM capacitor structure might have two or more conductors. The capaci-
tance, C (in farad), of acapacitor structureconsisting of two conductors as shown in
Figure8.10aisexpressedas:
C =
0

rd
A
d
=
0

rd
W
d
(8.8)
whereWand arethewidthandlengthof oneof theplates,
rd
isthedielectricconstant
of thecapacitor dielectriclmand
0
isthefreespacepermittivity. Theaboveequation
doesnotincludetheeffectof fringingeld. Equation(8.8)canbeexpressedincommonly
usedunitsasfollows:
C = 8.8510
3

rd
W
d
(pF). W. . anddinmm (8.9a)
C = 8.8510
6

rd
W
d
(pF). W. . anddinm (8.9b)
A detailedtreatment of thesecomponentscanbefoundinreference[20].
Electromigrationrequirements
InMMICstheconductorsaremuchthinner thaninMICs. Thereforeaspecial attention
tocurrenthandlingof suchconductorsinPAsbecomesimportant. Thecurrenthandling
capability of a conductor is limited by the onset of [20] is the transport of material
causedbythegradual movementof theionsinaconductor duetohighcurrentdensities
owing through it. When thecurrent density in theconductors is on theorder of 10
6
A/cm
2
or higher, has acontinuous impact onthemetal graincausingthemetal topile
upinthedirectionof currentow. Inthinconductors, electromigration-induceddamage
usually occurs in the formof voids and hillocks in the metal due to the depletion
and accumulation of metal grains due to heavy ow of electrons. This also occurs
in transistor gates, drain and sourcepads as well as in ohmic contacts. Voids mostly
result inhigher ohmiccontact resistance. Theeffect of electromigrationbecomesmore
pronounced at elevated temperatures. Thevoids and hillocks dueto electromigration
growover extendedperiods resultinginopencircuits inconductors andshort circuits
betweenclosely spacedconductors. InFETs, opencircuitsingatesgiverisetolimited
control ondrain-sourcecurrentandhigher drain-sourcecurrentvalues. Growinghillock
formationsshort circuit thengers.
Theconductivity, thickness, andlinewidthdeterminethecurrent carryingcapacity
of theconductor. A safevalueof maximumcurrent density for gold conductors on a
GaAs at surfaceis 2.2210
5
A/cm
2
. Thus, for carrying DC current theelectromi-
grationrequirementsdictatethemicrostripandinductor linewidths. A current density
of 2.2210
5
A/cm
2
translates to a maximumallowed current per unit line width of
370 Monolithic power ampliers
Figure 8.11 Exampleof anMMIC designsystem.
10mA/mfor 4.5mthick goldconductorsand20mA/mfor 9mthick goldcon-
ductors. This dictates muchwider conductors for bias lines inHPAs. For example, to
carry5A DC current, themicrostrip(4.5mthick) widthrequiredis500m.
8.3 MMIC design methodology
Thedesignof MMIC PAsrequiresstate-of-the-art computer aideddesign(CAD) tools.
Theneedfor increaseddesignsophisticationarises fromthefact that thepost fabrica-
tiontuningexibility availableinconventional hybridmicrowavecircuits is no longer
present inmonolithically fabricatedcircuits. Consequently, anewdesignmethodology
isrequired. Thisincludesdevelopmentof accuratelycharacterizedstandardlibrarycells
aswell assubcircuits, accuratelinear andnonlinear modelsfor activedevices, accurate
passivecomponent models, useof circuit topology andcircuit elements that aremore
tolerant to process variations, tolerancecenteringof designs, proximity effect models,
comprehensive simulation of complete circuits, and automatic RF testing of ICs on
wafer. Thelatter is neededinorder to characterizeICs beforeany designtweakingis
performed.
8.3.1 CAD tools
Numerousin-houseandcommercial CADtoolsarebeingusedtodesignMMIC power
ampliers. Figure8.11shows[29] anexampleof acomprehensiveCADtool consisting
of device,circuit,andsystemsimulators,accuratecomponentmodels(includingphysics-
based and EM), and statistical design features. Commercial microwave CAD tools
available to designers include Agilents ADS, Ansofts Designer, and Applied Wave
ResearchsMicrowaveOfce, andCadence. A comprehensiveMMICCADtool provides
efcient couplingbetweenthecircuit simulation, theschematiccaptive/text editor, and
thelayoutgenerator, greatlyimprovingoverall accuracyandreducingdesigncycletime.
Withsuchasystem, rst-pass-designsuccessfor MMIC PAsisachievable.
8.3 MMIC design methodology 371
Figure 8.12 Typical owchart for anMMIC power amplier design.
8.3.2 Design procedure
Typical MMIC power amplier design generally follows the ow diagramshown in
Figure8.12. Thedesignstartswiththecircuit specicationsincludingfrequencyrange,
gain, input andoutput VSWR, output power, PAE, linearity, stability, thermal manage-
ment andcost, whichderivefromthesystemrequirements. Theelectrical andthermal
requirementsalsodictatethecircuittopologyalongwiththetypesof passiveelementand
activedevicetobeused(e.g., distributedor lumpedpassiveelements, power transistor
sizes, substratethickness). Comprehensive passiveelement and activedevicemodels
developedbyafoundryor byusersareemployedtosimulatecircuitfunctions. Thenal
designis completedby takinginto account layout discontinuities, interactionbetween
thecomponents, stability analysisof ampliers, andcircuit yieldanalysisby consider-
ingprocess variations. Inthecaseof PA design, anaccuratenonlinear model [3037]
for eachdeviceusedis essential inorder to designthecircuit accurately. Thethermal
designof MMICampliersisalsoacritical aspectfor their success. Thus, MMICpower
372 Monolithic power ampliers
amplier designbecomesanart, tomeet several oftenconictingrequirements, andan
experienced designer will outperformbeginners. An overview of MMICs is given in
references[16, 38].
8.3.3 EM simulators
Electromagnetic [EM] simulators havebecomeanintegral part of MMIC CAD tools.
Theyaremainlyresponsiblefor accuratemodelingof passivecircuitelementsandcom-
ponents. Thesesimulators, alsoknownaseldsolvers, arecommonlyusedtomodel cir-
cuitelementssuchasmicrostripandcoplanar waveguidestructures, discontinuities, and
couplingbetweentransmission-linesections anddiscontinuities, structures usingmul-
tilayer dielectric andplating, inductors, capacitors, resistors, viaholes, andcrossovers.
Passive components, such as lters, couplers, resonators, power dividers/combiners,
baluns, matchingimpedancetransformers, andseveral typesof interconnect andpack-
ageareaccurately simulatedusingEM simulators. Accuratecharacterizationof active
device-parasiticreactancealsorequiresEM simulation. Another keyandimportant role
of EM simulatorsinsuccessful MMIC PA designisthecapability toanalyzethejunc-
tion effects involving wideconductors and parasitic coupling effects between various
parts of thecircuit layout. Accurateevaluation of radiation and surfacewaves can be
performedusingEM simulators only. Theseeffects becomeincreasingly important as
MMIC designs becomemorecompact, andarenot easily incorporatedusingconven-
tional network theory-based CAD tools. However, dueto thevery largecomputation
time, onlyasmall portionof acircuitisanalyzedusingEMsimulators, andthenumerical
resultsarecombinedwithconventional CADtoolstoobtaintheresponseof thecomplete
circuit. Most EM simulators work in an integrated simulation environment (i.e., they
canbeinterfacedwithmicrowavecomputer-aideddesignandengineeringtools). Inthe
pastdecade, outstandingprogressmadeonpersonal computershasleadtouser-friendly
andversatilecommercial EM simulators. Anoverviewof commercially availableEM
simulatorsisgiveninTable8.4. Morecomprehensiveinformationonthesetoolscanbe
foundinpublications[3944].
8.4 MMIC PA summary and examples
MMIC power ampliers arewidely used in commercial and military applications. In
recent years outstanding progress has been made in power ampliers including nar-
rowbandwithhighpower andhighPAE, broadband, andhigh-voltageversions. These
componentsareintegral partsof mostRFandmicrowavetransmitters. Table8.5provides
anoverviewof somenarrowbandandhighPAE power amplier examples. Theoutput
power and PAE values aretypical. Monolithic technology is particularly benecial to
broadbandampliers dueto theeliminationof theparasitic effects of bondwires and
discretecomponentsusedinhybridMICs. ProgressinbroadbandMMIC power ampli-
ersissummarizedinTable8.6. Wideband-gap(WBG) semiconductors, suchasSiCor
8.4 MMIC PA summary and examples 373
Table 8.4 An overview of some EM simulators being used for MMICs
Company Softwarename
Typeof structure
3-dimensional
Methodof
analysis
Domainof
analysis
Agilent-EEsof Momentum
HFSS
Planar
Arbitrary
FEM
FEM
Frequency
Frequency
Sonnet Software EM Planar MoM Frequency
J ansenMicrowave Unisim
SFMIC
Planar
Planar
Spectral
domain
MoM
Frequency
Frequency
Ansoft Corporation Maxwell
R _
2D
Maxwell
R _
SI
Eminence3D
Planar
Arbitrary
MoM
FEM
Frequency
Frequency
AWR MWO: EM
Simulator
Planar MoM Frequency
MacNeal-Schwendler
Corp.
MSC/EMAS Arbitrary FEM Frequency
ZelandSoftware IE3D Arbitrary MoM Frequency
KimberlyCommunications
Consultants
Micro-Stripes Arbitrary TLM Time
Remco XFDTD Arbitrary FDTD Time
Table 8.5 Some typical narrow-band high-efciency power amplier performance parameters [23]
Frequency No. of Gain Power PAE
(GHz) stages (dB) (W) (%) Device Technology
2.12.2 2 21 50 50 pHEMT GaAsMonolithic
4.55.4 1 10 14 55 MESFET GaAsMonolithic
810 3 24 12 40 MESFET GaAsMonolithic
810 3 24 20 35 MESFET GaAsMonolithic
1215 3 18 8 25 MESFET GaAsMonolithic
13.515 3 22 8 22 pHEMT GaAsMonolithic
2931 3 20 4 25 pHEMT GaAsMonolithic
4246 2 17 2.8 24 pHEMT GaAsMonolithic
95 2 15 0.43 19 pHEMT InP Monolithic
GaN, havebasicmaterial propertiesthataremorefavorabletoveryhigh-poweramplier
realization than is possiblein GaAs, by using high-voltage(HV) operation (typically
2448V). Considerableresearcheffort isbeinginvestedinHV HPA development and
hasresultedinimpressiveprogressasillustratedinTable8.7.
In this section we describe various types of MMIC power amplier designed for
narrowband, broadband, high-power andhigh-efciency applications. Salient features
of eachdesignarediscussedbriey.
374 Monolithic power ampliers
Table 8.6 Summary of broadband MMIC power ampliers. Performance listed is minimum over the
frequency band. MMICs with greater than 1 W were selected for this comparison [23]
Freq. range No. of Gain P
O
PAE
(GHz) stages (dB) (W) (%) Devicetechnology
28 1 9 1.4 18 GaAsHBT
2.55.5 2 17 2 30 GaAsMESFET
4.59 2 17 2 25 GaAsMESFET
4.710 1 7 5 8 GaN onSapphire
618 3 22 2.3 20 GaAspHEMT
0.72.7 2 20 12 22 GaAsMESFET
1.352.8 2 23 12 28 GaAsMESFET
2.06.0 2 15 10 26 GaAsMESFET
2.08.0 2 13.5 8 16 GaAsMESFET
Table 8.7 HV MMIC HPA examples [23]
Supply Output Power
Frequency voltage power density PAE
(GHz) (V) (W) (W/mm) (%) Technology Year
0.9 28 25 - 60 HBT, GaAs 2004
2.0 12 50 - 45 pHEMT, GaAs 2004
3.3 24 50 1 40 FP FET, GaAS
3.5 55 36.3 3 20.6 MESFET, SiC 2002
10.0 20 8.0 5 36.7 GaN HEMT, SiC 2004
10.0 40 20.0 3.3 25 GaN HEMT, SiC 2006
16.0 31 24.2 22.2 GaN HEMT, SiC 2002
31.0 20 11 GaN HEMT, SiC 2007
33.0 13 2.2 2.3 18.6 GaN HEMT, SiC 2004
35.0 24 4.0 3.3 23 GaN HEMT, SiC 2006
8.4.1 Narrowband power amplier
7WKu-BandPA
A 7WKu-bandMMICpower amplier basedonMSAGMESFET wasdevelopedusing
theloadlinemethod. TheHPA isathree-stagedesignusingtwo1.8mmgateperiphery
FETsat theinput drivingfour 1.8mmFETsthat driveeight 1.8mmFETsat theoutput
[45]. TheFET aspect ratioof 2:1wasusedfor maximumoutput power andPAE under
saturation. Thermal analysisof theseFETsisalsogiven. Thematchingcircuitmicrostrip
lines areon 10 mpolyimide[46] in order to reducetheresistiveloss of theoutput
match. Figure8.13showsthephotographof the7WMMICHPA. TheHPA includebias
circuitry on-chip and requires bias supply fromboth sides. TheQ-point was selected
for Class AB operation (30%I
DSS
). Typical measured CW P
out
and PAE for the7 W
MMICpower amplier atV
ds
=8V andP
in
=23dBmaredepictedinFigure8.14. The
8.4 MMIC PA summary and examples 375
First stg.
FETs
Second stg.
FETs
Third stg.
FETs
Out In
Figure 8.13 Photographof thethree-stage7WKu-bandHPA. Chipsizeis4.2mm4.4mm.
(After Bahl [23]. Reprintedwithpermissionof J ohnWiley.)
12.5
PAE (%)
P
o
(dBm)
10
20
30
40
50
13.0 13.5 14.0 14.5
Frequency (GHz)
O
u
t
p
u
t

P
o
w
e
r

a
n
d

P
A
E
Figure 8.14 Typical measuredoutput power andPAE versusfrequencyat V
ds
= 8V andP
in
=
23dBm.
amplierhasalarge-signal gainof about16dB, greaterthan38.5dBmoutputpowerand
better than27%PAE over the12.514.5GHz frequency range. Themeasuredsecond
andthirdharmonicpower levelswerebelow40dBcand75dBc, respectively. During
thetest noadditional matchingcircuitryor circuit tweakingwasused.
376 Monolithic power ampliers
First stage FETs
In Out
Second stage FETs
Figure 8.15 Photographof thetwo-stage2WbroadbandMMIC power amplier. Chipsizeis
3mm3mm.
8.4.2 Broadband power ampliers
2WC-bandPA
Next, a2 W C-band MMIC power amplier for broadband applications is described.
Basedontheoutput matchingnetworksdissipativeandmismatchlossand0.8W/mm
power output at V
ds
= 10V for theFETs, atotal of 5mmgateperipheryfor theoutput
stageFETstodeliver 2Wwasused. Therst stageusestwo0.625mmgateperiphery
FETs, resulting in a FET ratio of 4:1. In the IC design a binary matching scheme
employinglow-pass networks was used. Bothlumpedanddistributedcircuit elements
for impedancematchingnetworkswereused. Thelow-passmatchingsectionsconsistof
serieshigh-impedancelines/inductorsandshunt MIM capacitors. Thedesigntechnique
of thetwo-stagebroadband amplier is thesameas that described in reference[47],
i.e., using small signal and nonlinear FET models, and load-pull dataobtained at the
operatingbiaspoint.
TheQ-point was selectedfor Class AB operation(25%I
DSS
) of thedeviceinorder
toobtainthebest compromiseof power output, gain, PAE, linearityandvariablepower
supply operation over theC band. Figure8.15 shows aphotograph of thebroadband
MMICpower amplier. Typical CWmeasuredoutputpower, PAE andsmall signal gain
for MMICpackagedchipsatV
ds
=10V andI
DQ
=360mA areshowninFigure8.16as
afunctionof frequency. ThepowerandPAEnumbersareatP
in
=19dBm. Theamplier
has better than30%PAE withgreater than34dBmoutput power and18dB gainover
the4.5to8.5GHz frequencyrange. Theinput VSWR wasbetter than2:1.
8.4 MMIC PA summary and examples 377
50
40
30
20
10
P
o
,

G
a
i
n

a
n
d

P
A
E
4.5 5.5 6.5
Frequency (GHz)
Gain (dB)
P
o
(dBm)
PAE

(%)
7.5 8.5
Figure 8.16 Typical measuredoutput power, efciency, andsmall-signal gainversusfrequency.
10WX-bandPA
A 10WX-band3-stagehigh-efciencyMMIC power amplier basedonMSAGMES-
FET was developed [48]. Thedesign used aFET periphery of two 0.94 mmdevices
(tenngerseach) intheinput stage, four 1.5mmdevices(14ngerseach) intheinter-
stage, andeight 2.5mmFETs (24ngers each) intheoutput stage. Thedesignof the
MMIC power amplier was based on small signal and largesignal FET models, and
load-pull data obtained at the operating bias point. Here a reactive binary matching
topology, employinglow-pass andhigh-pass networks, was usedwhichprovidedhigh
power output and PAE. Both lumped elements and distributed circuit elements were
usedfor impedancematchingnetworks. Intherst iterationdesignoptimizationusing
theload-linetechnique, four setsof S-parameter data, correspondingtolow-gain, high
gain, lowcurrent and high current, wereused. Thesedatales represent thepossible
fabricationchangesandallowedtherealizationof amoreprocess-tolerant design. Once
again, the Q-point was selected for Class AB operation (25% I
DSS
) of the device in
order to obtainthebest compromiseof power output, gainandPAE over theX band.
The GaAs substrate thickness was 75 m. Figure 8.17 shows a photograph of the
10WHPA.
Intheseconditerationthecircuit wasfurther optimizedusingtheTaguchi technique
toimprovethebandwidthandoutput power asdescribedinChapter 9of reference[23].
Typical measured CW output power, PAE and gain versus frequency for a packaged
dieareshowninFigure8.18. Power addedefciency of 3443%andoutput power of
10 W weremeasured across the8.511 GHz frequency band. Theoutput power was
12Wwithover 40%PAE across9.510.5GHz.
8.4.3 Ultra broadband power ampliers
Over thepasttwodecadesmostof theMMICpower amplier productshavebeendevel-
opedfor abandwidthof less than50%. Several applications suchas broadbandcom-
municationsandelectronic warfarerequiremultioctavehigh-power ampliers(HPAs).
However, to date, limitedwork onmultioctaveMMIC HPAs has been reportedinthe
publishedliterature. Someof theseexamplesarediscussednext.
378 Monolithic power ampliers
First stg.
FETs
Second stg.
FETs
Third stg.
FETs
Out
In
Figure 8.17 Photographof thethree-stage10WX-bandMMIC HPA. Chipsizeis4.6mm
4.6mm. (After Bahl [23]. Reprintedwithpermissionof J ohnWiley.)
8
P
o
(dBm)
PAE (%)
Gain (dB)
10
20
30
40
50
9 10 11
Frequency (GHz)
P
o
,

G
a
i
n

a
n
d

P
A
E
Figure 8.18 Typical measuredCWoutput power, PAE andgainof a10WHPA. V
ds
= 10V and
P
in
= 19dBm. Baseplatetemperaturewas60

C.
15WL- toS-bandHPA
A low-cost solution to broadband MMIC HPAs has been reported recently [49]. The
design example was a 12 W two-stage amplier operating over 0.7 to 2.7 GHz. The
designmethodologyandtest resultsfor thisHPA havealsobeendescribedinreference
[49]. Another high-power amplier working over 1.2 to 2.4 GHz with atarget output
8.4 MMIC PA summary and examples 379
First stg.
FETs
Second stg.
FETs
Drain bias
busline
Out
In
Figure 8.19 Photographof thetwo-stage15WL/S-bandMMIC power amplier. Chipsizeis
5.0m8.0mm. (After Bahl [23]. Reprintedwithpermissionof J ohnWiley.)
power of 15Wwasdesignedusingareactive/resistivematchingtechniqueanda0.4m
MSAGFET. Thetwo-stageHPA consistsof four 2.0mmFETsat theinput driving16
2.0mmFETsat theoutput. TheHPA designwasbasedonloadlinedataandalow-loss
matchingtechnique. TheHPA includes bias circuitry on-chipandrequires bias supply
fromboth sides. The amplier was operated at a nominal power supply voltage of
10 V. The Q-point was selected for Class AB operation (2025% I
DSS
). Figure 8.19
showsaphotographof the15WbroadbandHPA.
Figure8.20showstheaveragemeasuredCWoutputpower andPAE of thebroadband
MMIC power amplier. PAE was better than 29% with greater than 15 W saturated
power output over 1.2 to 2.8 GHz. The small-signal gain was better than 20 dB and
input andoutput VSWR wereless than2:1. This outstandingpower performancewas
onlypossiblebecauseof highacross-wafer uniformityof saturateddrain-sourcecurrent
(I
DSS
) andcut-off frequency(f
T
) for theMSAGprocess[49].
8W28GHzHPA
A two-octave bandwidth MMIC HPA developed using MSAG MESFET technology
wasreportedinreference[50]. TheultrabroadbandMMIC HPA usedtwostages; eight
0.94mmFETsintheinput stagedrivesixteen0.94mmFETsintheoutput stage. The
output stagematching network used a16-way binary reactivecombining topology to
380 Monolithic power ampliers
Figure 8.20 Typical measuredCWoutput power andPAE at V
ds
= 10V.
obtain two-octavebandwidth. A low-loss matching design technique, as discussed in
Chapter 9of reference[23], was used in thedesign of thetwo-stagepower amplier.
Thematchingnetworkswererealizedusingamultilevel platingMMIC process. Figure
8.21showsaphotographof the8WbroadbandMMIC HPA.
Typical measured CW output power and PAE for the MMIC power amplier are
shown in Figure 8.22. Over the 28.5 GHz frequency range P
out
was greater than
37.6dBmandPAE better than16%. Thedipsinpower andPAE at 4.5and7.5GHz are
duetohigher mismatchlossasdiscussedinreference[50]. Over most of thefrequency
band, the output power and PAE were close to 8 W and 2030%, respectively. The
measuredsecondandthirdharmonicpower levelswerebelow13dBcand13.5dBc,
respectively.
218GHzDistributedPA
Next, anultra-broadband0.5W218GHz two-stagedistributedamplier (DA) based
onMSAGMESFET isdescribed. Eachstageismatchedto50O andusesvecells. In
therst stageeachFET hasagatewidthof 300m. Inthesecondstagethedevicesize
is taperedto obtainthelargest power bandwidthandPAE, andtheFET sizes usedare
630, 630, 470, 470, and 300 m. By using small-signal S-parameters each stagewas
optimizedfor maximumgain, andgoodinputandoutputVSWR. Figure8.23showsthe
physical layoutof thebroadbandDA. Themeasuredgainandsaturatedoutputpower are
showninFigure8.24. Themeasuredsaturatedpower andPAE inthe218GHz band
were0.50.8Wand1015%, respectively.
8.4 MMIC PA summary and examples 381
Drain 1 bias
bus line
Gate 2 bias bus line
Drain 2
bias bus
line
Out In
Tr. line
balun
Figure 8.21 Photographof the2-stage8WS/C/X-bandMMIC HPA. Chipsizeis5.0mm
6.3mm. (After Bahl [50]. Reprintedwithpermissionof IEEE.)
2
10
20
30
40
50
3
PAE (%)
P
o
(dBm)
4 5 6
Frequency (GHz)
O
u
t
p
u
t

P
o
w
e
r

a
n
d

P
A
E
7 8 9
Figure 8.22 Typical measuredoutput power andPAE versusfrequencyof the8Wultrabroadband
MMIC HPA.
8.4.4 High-power ampliers
Althoughtherearefundamental limitations to thepower that canbegeneratedfroma
singletransistor, theachievablepowerlevelscanbesignicantlyincreasedbycombining
anumber of devicesoperatingcoherentlyor byaccumulatingthepower fromanumber
of discretedevices. Monolithichigh-power amplier designinvolvespower combining
as many devices as is practical in order to achieve increased power levels. A single
382 Monolithic power ampliers
Stage 2
Stage 1
Out
In
Figure 8.23 Layout of the0.5W218GHz MMIC PA. Chipsizeis33mm. (After Bahl [23].
Reprintedwithpermissionof J ohnWiley.)
Figure 8.24 Typical measuredgainandoutput power versusfrequencyof the218GHz MMIC
power amplier.
largedeviceis impractical onaMMIC becauseof thedifculty of matchingthevery
lowdeviceinput impedance. Thecluster matchingtechnique[23] has emergedas the
optimummeans of integratingthematchingnetwork into thesplittingandcombining
manifolds. TheMMIC chipwidthandtheinsertionlossof thisoutput manifoldimpose
apractical limit onthenumber of devicesthat canbecombined bothfor economical
reasons(wasteful useof expensivechipspace) andbecausetheefciencydropsquickly
8.4 MMIC PA summary and examples 383
Figure 8.25 A 50W2.12.2GHz MMIC power amplier. Chipsizeis10mm10mm. (After
Akkul et al. [51]. Reprintedwithpermissionof IEEE.)
as thecombiningloss increases. Muchhigher power levels areobtainedby combining
MMIC HPAsoff-chipusingmatchedcombiners.
50WS-BandHPA
Anexampleof a50WMMICamplier [51] isshowninFigure8.25. Theoutput match
depictsthecluster matchingtechnique. Thetwo-stage2GHzdesignisbasedon0.5m
gateGaAspHEMT devicesandusedsixteen8mmcell (128mmtotal gateperiphery)
at theoutput stage. ThemeasuredCWpower andefciency, at anominal 12V supply
voltage, were50Wand45%over a10%bandwidth, respectively.
X-Band20-WHPA
Next, anexampleof power combiningusingtwoMMIC HPAson-chip isdescribed.
The20W X-bandHPA consists of two 10W power ampliers fully matchedto 50O
andcombinedusingaWilkinson-typepower splitter/combiner. The10W high-power
amplier design consists of three stages [52]. Binary corporate feed combining was
usedconsistingof two 0.625mmFETs drivingfour 1.1mmFETs whichnally drive
eight 2.0 mmFETs. Each FET had 20mgate-to-gatepitch. Thechip was designed
tooperateat anominal supply voltageof 10V. Figure8.26shows aphotographof the
20WHPA.
Typical measuredCWoutput power andPAE datafor apackageddie, takenat P
in
=
18dBm, areshowninFigure8.27. TheHPA wastestedat25

Cbaseplatetemperature.
ThemeasuredPAE wasbetter than33%andgreater than43dBmoutputpower, over the
8to10GHz frequencyrangewasachieved. Thelargesignal gainwas25dB.
384 Monolithic power ampliers
Figure 8.26 Photographof the20WX-bandHPA. Chipsizeis58mm. (After Bahl [23].
Reprintedwithpermissionof J ohnWiley.)
7
10
20
30
40
50
PAE (%)
20 W
P
o
(dBm)
8 9
Frequency (GHz)
O
u
t
p
u
t

P
o
w
e
r

a
n
d

P
A
E
10 11
Figure 8.27 Typical measuredPAE andoutput power versusfrequencyat V
ds
= 10V andP
in
=
18dBm.
14WHPAwith60%PAE
Thecurrent and voltagewaveformclipping arethefundamental sources of compres-
sioninapower amplier. Waveformclippingandother devicenonlinearities result in
harmonic generationat theinput andoutput of theamplier. Convertingfundamental
frequency signal into harmonic signals degrades the output power and PAE. If these
harmonicsignalsarereactivelyterminatedproperly, i.e., superimposedonfundamental
8.4 MMIC PA summary and examples 385
Second
Harm.
Term.
Out
In
Figure 8.28 Layout of the15WC-bandHPA. Chipsizeis3.76.4mm. (After Bahl [23].
Reprintedwithpermissionof J ohnWiley.)
4.4 4.6 4.8
Frequency (GHz)
O
u
t
p
u
t

P
o
w
e
r

(
d
B
m
)
P
A
E

(
%
)
5.0 5.2 5.4
P
o
(dBm)
PAE
5.6
45 39
40
41
42
43
50
55
60
65
Figure 8.29 Typical measuredPAE andoutput power versusfrequencyat V
ds
= 10V andP
in
=
32dBm.
voltageandcurrentwaveformswiththedesiredphaseattheinputandoutput, thePAEof
theamplier canbeenhancedbyshapingthesinusoidal input signal intoapproximately
asquarewavesignal. As discussedinChapter 8of reference[23], themost desirable
terminationconditionsare: secondharmonicshortcircuitedandthethirdharmonicopen
circuitedattheinternal portof thedevice. Next, anarrowbandsingle-stageMMICHPA
withstate-of-the-art PAE obtainedbyharmonictuningisdiscussed.
Thehigh-efciency 15W C-bandMMIC power amplier basedonMESFET tech-
nology used28mmgateperiphery andwas matchedto25O input andoutput system
impedance The circuit was optimized using the Taguchi technique and described in
references [23, 53]. Thechip was designed to operateat anominal supply voltageof
10 V. Thelayout of thesingle-stageHPA is shown in Figure8.28. Quarter-wave25
50OimpedancetransformerswereusedtotesttheHPA chip. Figure8.29showstypical
measured CW output power and PAE over 4.4 to 5.6 GHz. The packaged HPA was
386 Monolithic power ampliers
characterizedat 25

C baseplatetemperature. ThemeasuredPAE wasbetter than55%


withgreater than14Woutput power over the4.7to5.5GHz frequency range. Greater
than60%PAE wasobtainedover anarrower band.
8.4.5 Millimeter wave 2.4 W PA
Excellent progress has been made in millimeter wave MMIC PAs based on pHEMT
technologies. A monolithic high-power and high-PAE two-stage amplier operating
from27.5 to 29.5 GHz was reported for local multipoint distribution service. The
amplier wasdesignedinabalancedcongurationusingLangecouplers. Eachsingle-
ended HPA chip was designed with 50 O input and output. Thedesign was based on
0.15mgate-lengthpHEMTs operatingat apower supply of 5V [54]. Themeasured
values for small-signal gain, output power and PAE were 16 dB, 1.6 W, and 35%,
respectively. A peakoutput power of 2.4WwithPAE of 37%wasreported.
8.4.6 Wireless 3 W power amplier
Next, anexampleof aGSM MMIC power amplier basedonGaAsHBT technologyis
described. Typical performancespecicationsaregivenbelow:
Frequencyrange 880915MHz
Power gain 30dB
Output power 3W
PAE 50%
Input VSWR 2:1
Supplyvoltage 3.5V
Control Current 5mA @2.7V
The amplier design was based on a 3 memitter width GaAs HBT monolithic
technology [23]. HBT technology hasgainedacceptanceasacost effectivealternative
to MESFET power ampliers and has several advantages over MESFET technology.
An HBT power amplier operates froma single positive DC power supply resulting
in reduced overall amplier design complexity. HBT power ampliers are capable
of very high power densities, which reduces theoverall chip sizeand cost compared
to MESFET/pHEMT power ampliers. However, the thermal design of HBT power
ampliers is much more critical than with MESFET ampliers. Great care must be
takentoprevent thermal runawayinHBT power amplier designs.
To meet thetarget gainspecication, thepower amplier requires threestages. The
output stageissizedat 11,880m
2
, whichrequiressix parallel armswith11cellsper
armas shown in Figure8.30. Each cell consists of two ngers having atotal areaof
2330=180m
2
. Thedevicesizeratioof thelasttwostagesis6.6:1andthedevice
sizeratioof therst twostagesis5:1.
Figure8.31shows typical measuredCW performanceof this HBT PA design. PAE
is 54%with output power of 35.5 dBm. Measured large-signal gain was greater than
30dB. Theinput returnlosswasbetter than10dB.
8.4 MMIC PA summary and examples 387
Figure 8.30 Layout of GSM MMIC power amplier. (After Bahl [23]. Reprintedwithpermission
of J ohnWiley.)
-20 -15 -10
Input Power (dBm)
P
o
,

P
A
E
,

G
a
i
n

a
n
d

R
e
t
u
r
n

L
o
s
s
-5 0 5
P
o
(dBm)
PAE (%)
Gain (dB)
Input RL
(dB)
10
10
20
30
40
50
60
Figure 8.31 Typical measuredpower, PAE, gainandinput returnlossversusinput power of GSM
power amplier design.
8.4.7 High-voltage monolithic PAs
At anominal 10V supply thereisalimit onhowmany transistorsonecancombinein
parallel inasinglepackagetoproduceahigh-power amplier. Atthelowendof Sband
for discretetransistorsthislimitis150200W, whilefor asingleMMICat10GHz, this
388 Monolithic power ampliers
Figure 8.32 Photographof the10W3-stageHVMSAGMMIC. Thechipsizeis3mm
2
. (After
Bahl [23]. Reprintedwithpermissionof J ohnWiley.)
limit is about 20W. This limit is causedby transverseresonancealongthewidthand
not beingabletomatchthevery lowdeviceimpedance. WithHV transistorsthislimit
isextendedbyafactor of 510.
HV operationfor agivenoutput power simpliestheMMICchipandsystemcurrent
routing. Higher voltageoperationwill increasetheloadimpedance, makingit easier to
achievethenecessarymatchingfor output power andPAE over theoperatingfrequency
band. At RF andlowmicrowavefrequencies harmonic terminations andclass-E oper-
ationareimportant designparameters inanHVHPA next tolow-loss output matching
networkinordertoobtainhighPAE. MMICpowerampliersusinghigh-voltagedevices
havebeen developed working in S through Kabands. Below 4 GHz, several devices
includingeldplate(FP) MESFETs, HBTs, pHEMTsandGaNHEMTshavebeenused
todevelopMMICs, whereasabove4GHz, MMICsareprimarilybasedonGaNHEMTs
[23]. Next, examplesof MMIC HPAsbasedonHV devicesarepresented.
10WGaAsHVFET MMIC amplier
A three-stage10WMMIC amplier wasreportedbasedonHV MSAGFET [55]. The
HV MSAGprocessisdesignedtooperateat24V. TheHPA useda9.6mmFETperiphery
at theoutput stageto achieve10W of output power. Figure8.32shows aphotograph
of theMMIC. Typical measured CW output power and PAE of thepackaged chip at
P
1dB
areshowninFigure8.33. PAE wasgreater than30%with10Woutputpower over
33.8GHz band. Theassociatedgainwas27dB.
GaNHEMT MMIC onSiC ampliers
Sincetheearly2000ssteadyprogresshasbeenmadeinGaNHEMT-basedMMICpower
ampliers. A 24WMMICpower amplier wasreportedusing0.4mgateGaNHEMT
onSiCat16GHzandbiasedat31V. Thetwo-stageamplierwasmatchedto50Oatthe
input and25O at theoutput. Theinput stageused3mmdriving6mmtransistorsat the
output. A quarter-wave2550O impedancetransformer wasusedtotest theHPA chip.
ThemeasuredoutputpowerandPAEat16GHzwere24.2Wand22%, respectively[24].
8.5 Packaging of MMIC PAs 389
2.7 2.9 3.1
Frequency (GHz)
O
u
t
p
u
t

P
o
w
e
r

a
n
d

P
A
E
3.3 3.5 3.7
P
o
(dBm)
PAE (%)
10 W
3.9
10
20
30
40
50
Figure 8.33 Typical measuredoutput Power andPAE of the10WHVMSAGMMIC.
Theassociatedgainwas12.8dB. Thus, apower densityof 4W/mmwasdemonstrated
at Kuband.
A 4WpoweramplierMMICwasdevelopedusing0.18mgatelengthAl/GaN/GaN
HEMT on SiC at Ka band. The two-stage design used two 0.6 mmgate periphery
transistors at theoutput. Thedeviceaspect ratio inthetwo-stagedesignwas 2:1[56].
Thechipwas designedto operateat anominal supply voltageof 24V. Themeasured
saturated output power and PAE at 35 GHz were 4 W and 23%, respectively. The
associatedgainwas12dB.
8.5 Packaging of MMIC PAs
The application of ampliers in modern commercial and military systems requires
cost-effective packaging solutions. For high-volume commercial applications, power
ampliersaregenerallyhousedinlow-cost plasticpackageswhereasmilitaryandhigh-
power amplier applicationsoftenusesemicustom/customceramic packagesdesigned
for performance, reliability, andlowtomediumvolumemanufacturing. RF packaging
technologies are advancing rapidly in terms of modeling, frequency, bandwidth and
cost. Someof thesepackagesareusableupto40GHz. Thetopicof RF andmicrowave
packaginghasbeentreatedinseveral books[5762], bookandhandbookchapters[63
70], andmanyotherpublications[7175]. A brief historyof RFandmicrowavepackages
isprovidedinreference[70].
Theselectionof asuitablepackageandassemblytechniqueplayanimportant rolein
theperformance, cost, andreliabilityof MMICpowerampliers. Whenampliercircuits
are packaged, the effect of package assembly techniques and package environment
must bekept toaminimum. Minimizingpackagecomplexityisimportant for reducing
package cost. Minimizing the number of dielectric layers and the overall size assists
dramatically in theimprovement of production yields and lowering costs. However, a
tradeoff existsbetweensimplicityandfunctional featuresof thesepackages.
Thepackaging requirements depend upon theapplication at hand. For example, in
wirelesscommunicationsapplicationsbelow18GHz, GaAsMMICpowerampliersare
390 Monolithic power ampliers
Figure 8.34 Examplesof MMIC ceramicpackages. (After Bahl [23]. Reprintedwithpermission
of J ohnWiley.)
beingmountedintosurfacemount plastic packagesinorder toachievelow-cost goals.
For applications witharelatively low-power operation, they arethermally acceptable.
For high-frequency, high-performance, and high-power (including HV) applications,
metal-base ceramic packages are often required as they have low thermal resistance,
goodhermeticproperties, high-power capability, andgoodreliability.
8.5.1 Ceramic packages
Numeroustypesof ceramicpackageweredevelopedduringthelate1970sand1980sfor
transistorsandMMICs. Therearemanytypesof ceramicpackageofferedbymanufac-
turerseither inoff-the-shelf or customoutlines. Themostpopular ceramicpackagesare
for power transistorsaswell asfor internallymatchedpower ampliers. Packageswere
developedbothwithandwithout leads. A ceramic packageuses aceramic material as
thebasematerial betweentheleadsfor highisolationandlowloss. Inceramicpackages,
theamplier dieisusuallymountedinanair cavitywithametal or ceramiclidontop.
Also, the power amplier die is soldered to a metal base for best heat transfer from
thepackage. Inpower packages, themetal baseor angeis thendirectly attachedtoa
heatsink. Ceramicpackagescanbemanufacturedinsuchawaythat theproduct will be
either hermeticor nonhermeticdependingontheenvironmental requirements. Hermetic
seal canbeoneof thekeyadvantagesof theceramic-basedpackage, especiallyfor chal-
lenging environmental requirements. Hermetic seal adds considerable cost though to
theamplier product. Several MMIC ceramicpackagestylesareshowninFigure8.34.
8.5 Packaging of MMIC PAs 391
(a) (b)
Figure 8.35 (a) Photographof aceramicpackagefor MMIC mediumpower ampliers. (After
Bahl [23]. Reprintedwithpermissionof J ohnWiley.) (b) Photographof anMMIC HPA ina
largecavityceramicpackage.
Highperformance, mediumpower (less than5W) ceramic packages inlargequantity
areavailableinthe$23range.
Today, themostpopularceramicpackageiswithleadsandwasdevelopedformedium
power MMICamplier applicationshavingmoderatevolumessuchasVSAT andpoint-
to-point radioapplications. A photographof thistypeof packagewithalidisshownin
Figure8.35a. A MMIC HPA mountedinalargecavity versionof theaforementioned
ceramicpackageisshowninFigure8.35b.
Materialsfor ceramicpackages
Ceramicpackagesubstratematerialscanbecomposedof alumina(Al
2
O
3
), glass(SiO),
glassalumina(LTCC andHTCC), aluminumnitride(AlN), boronnitride(BN), beryl-
liumoxide(BeO), or siliconcarbide(SiC). Amongthese, aluminaisthemost popular.
Ceramicmaterialshaveveryhighmeltingpointsandchemical stabilitybecauseof their
strongbonds. Ceramicmaterialshaveanexcellentcombinationof electrical, mechanical,
thermal anddimensional properties.
Kovar is themost popular lead frameor pin material for leaded ceramic packages.
Kovar isanalloywithacompositionof 53%Fe-17%Co-20%Ni. It hasagoodthermal
expansionmatchwithalumina, Si, GaAsandsealingglassbutpoorthermal conductivity.
Dueto its poor thermal conductivity theuseof kovar is limitedto packageleads and,
for low-power applications, asapackagebaseor ange. Thebaseor angematerial for
high-power applicationsisgenerallyacompositemetal suchasCuWor CuMoCu.
Ceramicpackagedesign
Packagingconsiderationsfor MMICsaresimilar tothosefor hybridMICs. Thepackage
mustpasselectrical requirementsaswell asrigoroustestsof hermeticproperties, thermal
and mechanical shock, moisture resistance, resistance to salt atmosphere, vibration
and acceleration, and solderability. In order to minimizetheeffect of thepackageon
MMIC performance, electrical, mechanical andthermal modelingof packagesmust be
performedandincludedintheMMIC design.
392 Monolithic power ampliers
Cavity
Bonding pad
Metal base
Metal layers
Ceramic layers
Feedthrough
Figure 8.36 A ceramicpackagecongurationwithfeedthrough.
For RF and microwave packages, the important electrical characteristics are low
insertionloss, highreturnloss andisolation, andno cavity or feedthroughresonance
over theoperatingfrequency range. Whenachipor chipset is placedinthecavity of
apackage, thereshouldbeminimumdegradationinthechipsperformance. Generally,
thiscannotbeaccomplishedwithoutaccurateelectrical andEM modelingof thecritical
packageelements. Microwavedesignmust beappliedtothreepartsof thepackage: RF
feedthrough, cavityandDCbiaslines. Of thethree, thedesignof theRF feedthroughis
themost critical indeterminingtheperformanceof packagedMMICchips. Figure8.36
showsaceramicpackagewithfeedthrough. Salient featuresof MMIC packagedesign
arediscussednext.
Theselectionof thesubstratematerial andthickness for ceramic packages depends
ontheelectrical performancerequirements, cost, andfrequency rangeof interest. The
substratethickness is selectedto matchits height withMMIC thickness; otherwise, a
pedestal for mountingMMIC chipsisrequiredbecausethesechipsaretypically about
24mil thick. Microwavepackagesgenerallyuse10to20mil thickaluminasubstrates,
whereasmillimeter-wavepackagesuse4to5mil thickquartz. A lowdielectricconstant
isgenerallypreferredbecauseitmakesthepackageinterconnectselectricallyinsensitive
andtolerant tomicrostripdimensions, andit offersbroadbandfrequency ranges, andit
resultsinahighyield. Themicrostripwidthandthicknessdeterminesthecharacteristic
impedanceandtheDC resistance, whereasthespacingbetweenthetwoconductorson
thesameplanecontrols thecrosstalk becauseof coupling. Generally, sufcient space
between the MMIC, the package walls, and the lid is provided in order to minimize
anyinteractions. Theeffect of thepackagelidontheMMIC characteristicsiskept toa
minimumby keepingthelidabovetheMMIC surfaceby about vetimesthepackage
substratethickness. Intheceramicpackagedesign, theaffect of thetypeof lidbecomes
critical intermsof amplier stability. Inhighgainapplicationstheuseof aceramic or
plastic lidispreferredbecausetheuseof metal lidmight needsomeabsorber material
tominimizethefeedbackbetweentheoutputandinputleads. Figure8.37showsseveral
types of multilead ceramic package. An air-cavity surface-mount low-proleleadless
8.5 Packaging of MMIC PAs 393
Figure 8.37 Examplesof leadedlow-cost ceramicpackages: (a) 6-lead, (b) 10-leadand(c) MMIC
package.
Cavity view Lid view Base view
Figure 8.38 Air cavityceramicpackagesshowingopen, lid, andbacksidepackageviews.
ceramic packageis showninFigure8.38. Theceramic packages aresurfacemounted
ontoaPCB or aresolderedintomodules.
Many styles of ceramic (alumina, beryllium-oxide, andaluminumnitride) packages
with metal bases (copper, copper-tungsten, or copper molybdenum) are available for
MMIC power ampliers. Their cost dependsuponthepackagesize, frequencyof oper-
ation, metal used, and volume. Some of these packages can be used up to 40 GHz.
Packages workingupto 20GHz canbeobtainedfor less than$3inlargevolume. In
small quantities, they cost between$20and$50not includingnonrecurringengineer-
ing (NRE) tooling cost. Typically, the measured dissipative loss per RF feed is less
then0.3dB at 20GHz. Thesepackages providemuchhigher frequency of operation,
low lead-frameinductance, very low ground connection inductance, and much lower
thermal resistancethantheplasticpackages. Ceramic-typepackagesarewell suitedfor
high-frequencyandmedium-power MMIC ampliers.
Manufacturingceramicpackages
Thereareseveral methodsbeingusedformanufacturingceramicpackages. Thesemeth-
ods aresimilar to hybridcircuit fabricationtechniques includingthinlm, thick lm,
394 Monolithic power ampliers
Green tape
forming
Cutting and
punching
Screen
printing
Laminating
Co-firing
Nickel
plating
Lead frame
brazing
Ni/Au plating
Figure 8.39 Typical owprocessfor manufacturingof ceramicpackages.
SOIC PQFN
Figure 8.40 Examplesof plasticIC packagesincludingSOIC andPQFN.
LTCC, and HTCC. Figure 8.39 shows a typical ow process for the manufacture of
ceramic packages. Major suppliers of ceramic packages include Kyocera and NTK.
Ceramicpackagesareshippedinplasticwafetrays.
8.5.2 Plastic packages
The work on plastic packaging continues to make themmore versatile, extend their
frequencyrangetohigher frequencies, tohandlemorepower, andlower thecost. These
developments haveleadto surfacemount plastic packages suchas small outlineinte-
gratedcircuit(SOIC) packages. Low-costandlow-inductancerequirementsinthesemi-
conductor industry were the driving force behind the enormous development of the
high-performanceleadlessmoldedplasticpackages. Thesepackagesaresurfacemount
leadless packages (SMLP), also known as power quad at no lead (PQFN) or simply
QFN. Thesepackagestypicallyhaveleadsonall foursidesbutnewerverysmall outlines
aretwosided. PQFNpackagescomeinavarietyof sizesandleadframecongurations.
Pitch, thedistancebetweentheleads, varies from0.3mmto 1mm. Thebondingpad
sizeis12by12mm. Figure8.40showsexamplesof plasticICpackagesincludingSOIC
andPQFN. Plastic packaging, whichincludes bothpackageandassembly, oftencosts
lessthan$0.25per package.
Incontrast toaceramicpackage, theMMIC power amplier dieinaplasticpackage
isencapsulatedwithaplasticmoldingcompoundsothatnoair-cavityor lidisinvolved.
The molding compound can affect the amplier frequency response, especially for
frequenciesabove3GHz. Highfrequencydesignsshouldbesimulatedwiththeloading
of the plastic compound on the matching networks. Leads in plastic packages have
lower isolation(40dB versus 60dB) becauseof thematerial properties of theplastic.
8.5 Packaging of MMIC PAs 395
Inaddition, plastic packages arenonhermetic andaresensitiveto moisture. However,
theyaresubstantiallycheaper for high-volumeapplications. Innovationsinpackageand
moldingcompounddesignhaveallowedplastic packages tobeusedfor high-gainand
high-frequencyamplier products.
Intheplasticpackage, theleadframe(LF) isthecentral supportingstructuretowhich
thedieis attached. Thelead frameis stamped fromathin sheet of metal. Themetal
sheet isusually of Kovar for low-power applicationandcopper/copper alloy for power
packages. TheLF carriesthediethroughout theassemblyprocess. Plasticpackagesare
mostlymodiedversionsof standardleadframedesignsincludingthemoldingmaterial.
Dicedwafers aresuppliedto theplastic packagingmanufacturer andthey performall
the steps including pick-and-place, epoxy dispense, wire bonding, molding, marking
and sawing packages. For power amplier products, thermally as well as electrically
conductiveepoxyisrequired. Plasticpackagesareshippedinplastictubes, incans, and
intapeandreel. Major suppliersof plasticpackagingincludeAmkor, Carsem, Unisem,
Asat, andAzimuth. TheplasticpackagesareusuallysurfacemountedonaPCB intheir
nal conguration.
Plasticpackagedesign
Plastic package design basically consists of two parts: LF and plastic polymer. The
lead frameis thebackboneof aplastic package. Generally both LF and polymer are
availableas standarditems fromplastic packagingmanufacturers. Theleadframecan
bemodiedby payinganadditional toolingcost to themanufacturer. Thedesignof a
leadframecomprisesitsmaterial, packagethickness, cavitysize, total number of leads,
and lead-to-lead pitch. Thedielectric constant and loss tangent values of theorganic
moldingcompoundareabout 3.7and0.01, respectively.
Several differenttypesof leadframematerial includingnickel-ironandcopper-based
alloysarebeingused. Theirselectionforaparticularapplicationdependsonfactorssuch
ascost, performance, andeaseof fabrication. Thedesirablefeaturesfor theLF materials
aregoodstrength, goodthermal expansionmatchwithSi andGaAsmaterials, andhigh
thermal conductivity. The lead frame material may be grouped into three categories:
nickel-iron, copper-cladstrip, andcopper-basedalloys. Kovar andAlloy 42(42%Ni-
58%Fe) arethemostwidelyusedLF materialsfor low-power applicationsbuthavepoor
thermal conductivity. Copper-basedLF materials havevery highthermal conductivity
andareusedforpowerampliers. Copper-cladLFmaterialsweredevelopedtomatchthe
mechanical properties of Alloy 42whileretainingcoppers highthermal conductivity.
Claddedmaterial isfabricatedby rollingcopper foil ontostainlesssteel. Copper alloys
areobtainedby mixingother metals into copper to obtainalloy properties suitablefor
plasticpackages. Theframesareeitherchemicallyetchedormechanicallystampedfrom
metal sheets. Typical sheet thicknessisfrom8to10mil. Theportionof theleadframe
whichistobewirebondedissilver plated.
Plasticpackages
For agivenpackagesizetheonly packagedesignvariableis thenumber of leads. For
example, the 4 mmpackage is available in both 20 and 24 leads. There are several
396 Monolithic power ampliers
Table 8.8 Examples of PQFN packages
with maximum MMIC die size
Maxdiesize
Packagestyle (mm
2
)
4mmPQFN-20LD 2.152.15
4mmPQFN-24LD 2.452.45
5mmPQFN-20LD 3.153.15
5mmPQFN-28LD 3.153.15
6mmPQFN-28LD 4.454.45
4 4 mm
2
5 5 mm
2
6 6 mm
2
Figure 8.41 Top-sideviewof high-performancePQFN plasticpackages.
versions of high-performancePQFN packagewhich areavailable. Someof themare
shown inFigure 8.41 and listed in Table 8.8 with preferred GaAs or Si die size for
each package. Thecavity sizeis slightly larger than thediesize. Largecavity sizeis
usually usedfor multistagemediumpower ampliers. Plastic packages suchas 4mm
PQFN-16LD canbeusedupto18GHz. andthemeasuredloss inaPQFN packageis
ontheorder of 0.2dB at 18GHz.
8.5.3 Package assembly
Dieattachandwirebondingaretwoimportant stepsinpackageassembly. InaMMIC
power amplier assembly the rst step is to attach chip components onto carriers,
pedestals/shims, package cavities and substrates or substrates onto carriers, etc. The
chipattachmenttechniqueiscalledthedieattachprocess. Theimportantconsiderations
fordieattacharetohavelowthermal resistanceandastrongmechanical bond. Inthecase
of hybridassembliesbothdieandsurfacemountpackageddieincludingsemiconductor
chips, capacitors, inductorsandresistorsareused. Thedieformminimizessize, weight,
the effect of parasitic reactance and die-to-die propagation delays. It is preferred to
mount high-power chipsrst ontopedestals/shimsandthensolder themintopackages.
Dieattach
Twomethodsareusedfordieattachment: epoxydieattachandeutecticdieattach. Epoxy
dieattach process is commonly used for mounting passivecomponents, and low and
8.5 Packaging of MMIC PAs 397
mediumpower devices. Theepoxiesarecuredatrelativelylowtemperatures, areeasyto
workwith, areappliedusingautomaticdispensers, andaremilitaryandspacequalied.
Epoxy is availableintwo types: silver (Ag) epoxy andgold(Au) epoxy. Agepoxy is
commonlyusedasit islessexpensivethanAuepoxy.
Eutectic dieattachis performedusingaheatedstage, andacommonly usedsolder
material is gold tin (AuSn) with a ratio 80:20 with chips that have backside gold
metallization. Themetallizationof 1mthickgoldisgoodenoughforeutecticsoldering.
For higher temperatureoperation, agold-germanium(AuGe) eutectic solder can also
beused. Eutectic solder material is availableintheformof apreformandusually its
sizeis slightly smaller than thechip size. Thework stageor chuck is preheated. The
temperatureof theheatingstageshouldbeset suchthat thebondingareaquickly rises
to within5075

C of themeltingpoint of thesolder preform. A 1mil thick preform


is generally used. At thenal solder step, ajet owof heatedforminggas or nitrogen
whichhasthegastemperatureof about 100

C abovethesolder meltingpoint isused.


Thesolder shouldmelt inafewsecondsafter applyingtheair jet. A preformisplaced
wherethedieis to besolderedandit promptly melts. Thedieis placedonthemelted
preformwithcareandscrubbedbackandforth. Thecarrier isremovedfromtheheated
stageandallowedtocool. Thesolder timeisgenerallylessthan5minutes. If thechuck
temperature or solder time or both are substantially increased, the die attach process
might degradethesemiconductor chipperformance.
Thethermal conductivity of commonly usedgold-tinsolder is57W/m

C, whereas
thethermal conductivityof silver epoxymaterialsKiddAG-03HTL, StdAblebond84
1LMISR4 (Ablebond 8360) and Ablebond RP-3161 are300, 2.8 and 10 W/m

C,
respectively. Amongthesematerials KiddAG-03HTL appears tobethebest for pack-
agingMMIC PA chips.
Diewirebonding
After dieattachprocess, discretelumpedelementsandsemiconductor devices(transis-
tors or MMICs or both) are interconnected with each other or connected to package
substratepads or to leads using wirebonds. In wirebonding, two similar metals are
bonded together under theinuenceof pressureandtemperatureat well belowtheir
melting point. Both the wire and pad are gold. If the wire is made fromgold, the
pad to be attached has to be of gold. This technique is also known as thermal com-
pression bonding. Two methods for thermal compression wirebonding areused: ball
bonding and wedge bonding. Wedge bonding is also performed by using ultrasonic
techniques.
Theelectrical model for singleandmultiplewireshasbeendescribedinChapter 4of
reference[20]. Asarst-orderapproximationtheleadframeparasiticcapacitancecanbe
combinedwiththewirebondinductancetorealizeatransmissionlineof characteristic
impedanceof 50O. Whenawirebondis modeledas aninductor fromthemeasured
S-parameter data, it might result in a lower value than the actual value if one is not
careful. This can beexplained by using Figure8.42. A simplemodel of ashort wire
bond is shown in Figure 8.42a. The series inductance may be split into two parts as
398 Monolithic power ampliers
L L
1
L
2
L
1
Z
0
C
s
C
s
(a)
(b) (c)
Figure 8.42 Simpliedbondwiremodels.
showninFigure8.42b. A part of theseriesinductanceL
2
withshunt capacitanceC
s
is
equivalent to50O line, i.e.
Z
0
=
_
L
2
,C
s
= 50O (8.10)
This is showninFigure8.42c. Thus, duringde-embedding, apart of theinductanceis
absorbedinthede-embeddingimpedance, whichlowerstheseriesinductancevalue. In
order toobtainanaccuratemodel, onemust carefullycompareboththemagnitudeand
phaseof themodeledresponsewiththemeasuredS-parameter data. Also, bymeasuring
theSRF, onecande-embedtheshunt capacitanceC
s
. TheSRF isgivenby
f
res
=
1
2

LC
s
(8.11)
For example, two 30 mmlong wires have L

= 0.4 nH, C
s
= 0.06 pF and SRF =
32.49GHz.
Assemblyof ceramicpackages
A ceramicpackageowdiagramexampleisdepictedinFigure8.43[70]. Therst step
is toapply silver epoxy (low-power devices) or placeasolder preforminthecavity of
the package (power devices). In the latter case the package is placed on a hot plate.
Thenext stepistoplacethesemiconductor dietobepackaged. Inmoderateandhigh-
volumeapplicationsthisstepisusuallydoneusinganautomaticpickandplacemachine.
After this 100200pF RF bypass chipcapacitors areattachedwithsilver epoxy. This
is followed by wirebonding and then thelid is attached to thepackagewall. Thelid
is madefromceramic, glass or metal. Thelidis coatedwithsolder material alongits
border andthenthermally attached. Lidsarealsoattachedusingbrazing, glasssealing
andwelding. Inthenal steptheproduct ismarkedusingalaser scribingtechniqueand
thelead-frametrimmedif necessary.
Assemblyof plasticpackages
Figure 8.44 illustrates an MMIC plastic packaging ow diagram[70]. The ICs are
packagedusingpick andplacetechniques. Therst stepis to apply silver epoxy. The
next step is to placethesemiconductor dieto bepackaged. This is followed by wire
bondingandmolding. Stepssuchaspick-and-place, epoxydispensing, wirebondingand
moldingareperformedbyusingautomaticmachinesandrobotsfor highreproducibility
of performanceandlowcost. Thentheproduct ismarkedandnally thelead-frameis
8.5 Packaging of MMIC PAs 399
Figure 8.43 Assemblyowfor MMIC housedinaceramicpackage. (After Bahl [23]. Reprinted
withpermissionof J ohnWiley.)
Wafer Processing Pick and Place Epoxy Dispense
Die Attach Wire Bonding Encapsulation
Figure 8.44 Assemblyowfor MMIC housedinaplasticpackage. (After Bahl [23]. Reprinted
withpermissionof J ohnWiley.)
400 Monolithic power ampliers
Figure 8.45 Illustrationof aGaAsmediumpower amplier diebondedtotheleadframeof a
plasticpackage. (After Bahl [23]. Reprintedwithpermissionof J ohnWiley.)
sawnor punchedtoseparateeachplastic package. Figure8.45showsamediumpower
GaAsMMIC amplier attachedtoaleadframe. Theoutput power level isabout 2W.
Hermeticsealingandencapsulation
Generallymilitary, andsometimescommercial applications, requireanMMIC package
to be hermetically sealed. A ceramic package and a metal housing are hermetically
sealedtoprotectfrommoistureandenvironmenthazards. Inthistechniqueafter mount-
ingthedieandwirebonding, alidisattachedtothetopof thepackagehousing. Only
ceramic/glass packages andmetal housings areconsideredhermetic. Insomeapplica-
tionsthepackageencapsulationcomprisesglob-top, moldingandcavityll techniques.
Inthismethod, thedieandwiresarecoveredwithapolymer material. Packageencap-
sulation is less complex and provides limited protection fromenvironment hazards.
Dueto improved chemical purity of encapsulation materials, thereliability of plastic
encapsulatedcircuitshasbeenenhancedover thelast decade[62].
Thermal considerations
Thermal modeling of packages becomes very important when thepackages areused
withhigh-powerICs. Sincethermal effectsarefrequencyindependent, thermal modeling
techniquesusedfor low-frequencypackagescanalsobeusedfor microwavepackages.
InHPAsandhigh-voltageHPAs(HVHPAs) whereheat removal isof primeimportance
8.6 MMIC power amplier characterization 401
inpackagesandassemblies, thermal management becomesthepredominant issue. The
current heat spreaders compriseBeO, AlN, CuW, CuMo, CuMoCu, andSiC andtheir
thermal conductivity values rangebetween 150 to 350 W/mK. In GaAs and Si based
transistor amplierstheheatuxisintherange100300W/cm
2
. However, inHVHPAs
theheat ux is much higher than 300 W/cm
2
. Theseheat ux values arean order of
magnitudehigher than ahigh-power microprocessors heat ux level. To handlevery
highheat ux values oneneeds diamond-likematerialsor compositematerials asheat
spreaderswiththermal conductivityvaluesover 500W/mK.
Thebasicsof thermal designof ampliersaretreatedinChapter 16of reference[23]
andChapter 9of thisbook.
Thepower dissipationinMMIC HPAsismuchhigher thaninlow-power ICs. There-
forethethermal designof HPAsandtheir assemblies(thelayer stackfromamplier die
to heatsink) becomes themost critical aspect of their success. By properly designing
theboundaries betweentheGaAs chipandtheheat sink for agoodthermal path, heat
generated in the active devices can be efciently removed. A thin GaAs substrate, a
void freeand reliabledieattach, useof ahigh thermal conductivity baseplate, and a
goodcoefcientof thermal expansionmatchbetweenGaAsandaluminaisthebasisfor
goodthermal design. Highpower ICsgeneratelargeheat uxesandinsuchcaseshigh
thermal conductivityangesaredesignedtohandlethedissipatedheat.
MMIC power ampliers producedinlargevolumearehousedinair-cavity ceramic
or over-molded plastic packages. Achieving a uniformdieattachment, at least under
the active area of the device, is very critical for thermal management of dissipated
power. Sincevoidsinthedieattachareahaveverylowthermal conductivity, theyeither
signicantly degradeor damagetransistors. Thequality of dieattachcanbeexamined
usingscanningacousticmicroscopy, alsoknownasasonoscan. Inthismethodultrasonic
energy is applied at theback of theange. Sincetheultrasound signal will not pass
throughvoids, theyaredetectedusingacousticimaging.
8.6 MMIC power amplier characterization
Theevaluationof aMMICpower amplier isalengthymultistepprocessthatexamines
theperformanceof thedeviceover frequency, temperature, input power, drainvoltage,
andbias point. Parameters collectedincludeoutput power, draincurrent, gatecurrent,
harmonics, gain, noisegure, VSWR, TOI, IMD3, IMD5, andidenticationof spurious
signals. MMIC power ampliers may becharacterized usingoneof thefour methods
outlinedintheowchart showninFigure8.46.
Thecharacterizationof aMMICpower amplier beginswiththemeasurementof on-
wafer small-signal CWor pulsed(dependingontheoutput power level of theamplier)
S-parameters tested by using RF probes over a very wide frequency range, typically
10MHz to20GHz. TheRF probesarenormallycalibratedusingshort-open-load-thru
(SOLT) standardsavailableoncommercial sapphiresubstrates. Tocheckthevalidityof
measurements, goldstandardsor bench-markcircuitsaregenerallyused. A photograph
402 Monolithic power ampliers
Initial on-wafer
screen
Shim mounted
RF probe test
Package/
Housing
Test
Test
PC board
Plastic/ceramic
package
Carrier
mounted
Housing
Fixure
Test
Test
Figure 8.46 MMIC power amplier characterizationow.
Figure 8.47 Typical on-wafer HPA pulsedpower measurement setup. (After Bahl [23]. Reprinted
withpermissionof J ohnWiley.)
of anon-wafertestsetupisshowninFigure8.47. On-waferultra-broadbandtestingoften
revealsstabilityissuesthatarenotpresentorcannotbeobservedinthebandof operation.
Theseinitial S-parameters areusedto developspecications for theinput power level
requiredfor on-wafer pulsedpower measurementsbeforeadetailedcharacterizationof
thepartcanbegininconnectorizedtestxturesorahousing. Pulsedpowermeasurements
usingRF probes areperformedto test power ampliers on-wafer for screeningbefore
mountingoncarriers or into packages. Thelarge-signal S-parameter datais also used
8.6 MMIC power amplier characterization 403
Figure 8.48 ConnectorizedFixtureusedtocharacterizethepower andIMDperformanceof
MMIC HPAsunder CWconditions. (After Bahl [23]. Reprintedwithpermissionof J ohn
Wiley.)
for phase-matched binning of the power amplier chips for off-chip efcient power
combiningusingahybridapproach.
Afteron-waferpulsedpowerscreening, several MMICamplierchipsaregenerally
assembledongold-platedElkonite(Cu-W alloy) carriers for RF characterization. The
power amplier products are either tested by mounting themon shims/pedestals or
inserting theminto plastic/ceramic packages or a housing. The Elkonite material is
chosenfor its goodthermal conductivity andgoodthermal expansionmatchto GaAs
and alumina. The ICs are die attached using gold-tin (80/20 AuSn) at 300

C on a
pedestal inorder to keepminimumbondwirelengths betweenthechipandtheinput
and output microstrip feed lines which aretypically printed on 15 mmthick alumina
substrate. To the same carrier, 100200 pF border chip capacitors are mounted for
goodRF bypass. Thetestxturesarettedwithhigh-performancemicrostrip-to-coaxial
connectors having return loss greater than 20 dB up to 18 GHz. All chips aretested
under CWconditionsandthebaseplatetemperatureiskept at 25

C.
Theprimary test vehiclefor performingthepower andIMD characterizationis the
connectorizedxtureasshowninFigure8.48.Thisxtureprovidesforimprovedground-
ing, excellent thermal management, andanopportunitytoadequatelybiasthepart. The
carrier is generally boltedintoabrass/copper xturethat includes RF SMA connector
blocks, 0.010.1 F capacitors to eliminate bias line instability, and DC connection
pointsfor biasapplication. Duringtest, thextureblockisdirectlyattachedtoacold/hot
404 Monolithic power ampliers
Source
LPF
Power
meter 1
Power
meter 2
Directional
coupler 1
Directional
coupler 2
Spectrum
analyzer
Power
meter 3
LPF
DUT
Power
amplifier
Figure 8.49 Schematicof typical P
out
versusP
in
measurement setup.
Figure 8.50 Photographof P
out
versusP
in
measurement test station. (After Bahl [23]. Reprinted
withpermissionof J ohnWiley.)
plateto maintaintherequiredtest temperature. Figure8.49shows atypical schematic
of aP
out
versusP
in
measurement setupandaphotographof thetest stationisdepicted
inFigure8.50.
Power characterization is the rst CW examination of the MMIC power amplier
product. Thistestingisperformedover drainvoltage, usually 4to10V; biaspoint 10,
25, and40%of I
DSS
; input power -20dB to5dB of designP
1dB
; andtemperature. In
thiscase, theoutput power at thefundamental andharmonicfrequenciesismeasuredas
afunctionof input power. Thefundamental output power is usually measuredusinga
powermeter(CWorpulsed) whiletheharmonicsaremeasuredbyemployingaspectrum
analyzer (SA). Also, theSA is used to monitor for oscillations during power testing.
If no issues arefound with thedesign, theresults of this characterization areused in
releasing the preliminary datasheet and for determining the on-wafer production test
8.6 MMIC power amplier characterization 405
Figure 8.51 PCB usedfor testingMMICsinPQFNpackages. (After Bahl [23]. Reprintedwith
permissionof J ohnWiley.)
plan. This test plandenes thetest conditions of frequency, bias, andinput power and
liststhemeasurementstobeperformed, e.g., small-signal gain, large-signal power, and
gateand drain currents. Theremaining undiced wafers arescreened using thenewly
developed production test plan. Datasheets are transitioned frompreliminary to nal
status when a statistically signicant population of test data has been collected on a
particular part. For dieproducts, thisdatacomesfromon-wafer screeningof anumber
of lots. Final datasheetsestablishmin/max limitsfor parametersthat aremeasuredon
100%of thedieproduct. Following thepower characterization, TOI and IM3 testing
is performed under the same set of conditions as that of the power characterization.
Shimmounted die are used to measure the noise gure and CW S-parameters over
temperature.
Plastic packaged devices are also tested in tubes using automatic handlers. Plastic
packagedpower ampliers aregenerally testedby mountingthemonaprintedcircuit
board (PCB). Figure8.51shows aPCB used for testingof PQFN packages. Ceramic
packagedpower ampliers arecharacterizedusingxtures. Figure8.52shows thetest
boardfor acommonlyemployedceramicpackageusedfor driver power ampliers.
The MMIC power ampliers RF parameters are dened at the input and output
as referenceplanes, DC bias conditions areat thesuggested DC terminal points, and
thermal interfaceis at theback of thechip or package. Other factors such as various
support circuits including packages, couplers, lters, circulators, antennas, bias lines,
406 Monolithic power ampliers
Figure 8.52 Test xturefor testingceramicpackageddriver ampliers. Bypasscapacitorsare0.1
F ceramicchip. (After Bahl [23]. Reprintedwithpermissionof J ohnWiley.)
xtures, andconnectorswill affect itsperformance. Anyresistivelossor mismatchloss
attheoutput, andthethermal settingcanreducetheoutputpower andPAE signicantly.
Under suchconditionsthenal productamplier performancemustbere-evaluatedand
sufcient marginsintheMMIC designmust beconsidered.
References
1. R. S. PengellyandJ. S. Turner, MonolithicbroadbandGaAsFET ampliers,Electron. Lett.,
vol. 12, pp. 251252, May13, 1976.
2. J. V. Dilorenzo, D. D. Khandelwal (Eds.), GaAs FET Principles and Technology, Artech
House, Norwood, MA, 1982.
3. R. S. Pengelly, MicrowaveField-EffectTransistors Theory, DesignandApplications, Wiley,
Hoboken, NJ , 1982.
4. R. Soares, J. Graffeuil, andJ. Obregon(Eds.), Applicationsof GaAsMESFETs, ArtechHouse,
Norwood, MA, 1983.
5. R. E. Williams, GalliumArsenide Processing Techniques, Artech House, Norwood, MA,
1984.
6. R. A. Pucel (Ed.), Monolithic MicrowaveIntegrated Circuits, IEEE Press, Piscataway, NJ ,
1985.
7. D. K. Ferry(Ed.), GalliumArsenideTechnology, HowardSams, Indianapolis, IN, 1985.
8. N. G. Einspruch, W. R. Wisseman, GaAs Microelectronics, Academic Press, New York,
1985.
References 407
9. I. J. Bahl andP. Bhartia, MicrowaveSolidStateCircuit Design, 2ndEdn., Wiley, Hoboken,
NJ , 2003, ch. 15.
10. R. Soares(Ed.), GaAsMESFET Circuit Design, ArtechHouse, Norwood, MA, 1989.
11. J. Mun (Ed.), GaAs Integrated Circuits: Design and Technology, New York: Macmillan,
1988.
12. P. H. Ladbrooke, MMIC Design: GaAs FETs and HEMTs, Artech House, Norwood, MA,
1989.
13. R. Goyal (Ed.), Monolithic MicrowaveIntegratedCircuits: TechnologyandDesign, Artech
House, Norwood, MA, 1989.
14. F. Ali, I. Bahl, andA. Gupta(eds.), MicrowaveandMillimeter-waveHeterostructureTran-
sistorsandTheir Applications, ArtechHouse, Norwood, MA, 1989.
15. F. Ali, A. Gupta(eds.), HEMTsandHBts: Devices, FabricationandCircuits, ArtechHouse,
Norwood, MA, 1991.
16. D. Fisher, I. Bahl, GalliumArsenideICApplicationsHandbook, AcademicPress, SanDiego,
1995.
17. R. Goyal (Ed.), High Frequency Analog Integrated Circuit Design, Wiley, Hoboken, NJ ,
1995.
18. W. R. Deal, X. B. Mei, V. Radisic, W. Yoshida, P. H. Liu, J. Uyeda, M. Barsky, T. Gaier, A.
Fung, L. Samoska, andR. Lai, Demonstrationof a270GHz MMIC amplier using35-nm
InP HEMT technology, IEEE Microw. Wireless Components Letts., vol. 17, pp. 391393,
May2007.
19. K. Chang, I. Bahl andV. Nair, RF andMicrowaveCircuitandComponentDesignfor Wireless
Systems, Wiley, Hoboken, NJ , 2002.
20. I. J. Bahl, LumpedElementsfor RF andMicrowaveCircuits, ArtechHouse, Norwood, MA,
2003.
21. I. J. Bahl, Monolithicmicrowaveintegratedcircuits(MMICs), inEncyclopediaof RF and
MicrowaveEngineering, Wiley, Hoboken, NJ , 2005.
22. I. D. Robertson and I. J. Bahl, in R. C. Dorf (Ed.), Solid statecircuits, in theElectrical
EngineeringHandbook, 3rdEdn, CRC Press, BocaRaton, FL, 2006.
23. I. J. Bahl, Fundamentalsof RF andMicrowaveTransistor Ampliers, J ohnWiley, Hoboken,
NJ , 2009.
24. W. L. Pribble, J. W. Palmour, S. T. Sheppard, R. P Smith, S. T. Allen, T. J Smith, Z. Ring,
J. J. Sumakeris, A. W. Saxler, andJ. W. Milligan, Applicationsof SiC MESFETsandGaN
HEMTsinpower amplier design,IEEE MTT-SInt. MicrowaveSymp. Dig., pp. 18191822,
2002.
25. R. S. Pengelly, Improvingthelinearity andefciency of RF power ampliers, HighFre-
quencyElectron., pp. 2634, Sept. 2002.
26. J. M. Golio, MicrowaveMESFETsandHEMTs, ArtechHouse, Norwood, MA, 1991.
27. H. Wang, R. Lai, Y. C. Chen, Y. L Kok, T. W. Huang, T. Block, D. Streit, P. H. Liu, P. Siegel,
and, B. Allen, A 155-GHzmonolithicInP-basedHEMT amplier,IEEEMTT-SInt. Microw.
Symp. Dig., pp. 12751278, 1997.
28. K. C. Gupta, R. Garg, I. Bahl, andP. Bhartia, MicrostripLinesandSlotlines, 2ndEdn., Artech
House, Norwood, MA, 1996.
29. M. B. Steer, J. W. Bandler, andC. M. Snowden, Computer-aideddesignof RFandmicrowave
circuits andsystems, IEEE Trans. Microw. TheoryTech., 50thAnniversary Issue, vol. 50,
9961005, Mar. 2002.
408 Monolithic power ampliers
30. R. Anholt, Electrical andThermal Characterizationof MESFETs, HEMTsandHBTs, Artech
House, Norwood, MA, 1995.
31. Special Issue on Process-oriented Microwave CAD and Modeling, IEEE Trans. Microw.
TheoryTech., Vol. 40, J uly1992.
32. Special Issueon Computer-aided Design of Nonlinear MicrowaveCircuits, Int. J. Microw.
Millimeter-WaveComputer-AidedEng., vol. 6, J an. 1996.
33. Special IssueonOptimization-orientedMicrowaveComputer-aidedDesign, Int. J. Microw.
Millimeter-WaveComputer-AidedEng., vol. 7, J an. 1997.
34. F. Bonani, S. D. Guerrieri, F. Filicori, G. Ghione, andM. Pirola, Physics-basedlarge-signal
sensitivity analysis of microwave circuits using technological parametric sensitivity from
multidimensional semiconductor devicemodel, IEEE Trans. Microw. TheoryTech., vol. 45,
pp. 846854, May1997.
35. D. Estreich, Nonlinear modelingfor MMICs, IEEE Microw. Millimeter-WaveMonolithic
CircuitsSymp. Dig., pp. 9396, 1987.
36. R. J. Trew, MESFET modelsfor microwaveCADapplications, Int. J. Microw. Millimeter-
WaveComputer-AidedEng., vol. 1, pp.143158, April 1991.
37. J. L. B. Walker (Ed.), High-Power GaAs FET Ampliers, Artech House, Norwood, MA,
1993.
38. E. C. Niehenke, R. A Pucel and I. J. Bahl, Microwave and millimeter-wave integrated
circuits, IEEE Trans. Microw. TheoryTech., 50thAnniversary Issue, vol. 50, pp. 846857,
Mar. 2002.
39. T. Itoh(Ed.), Numerical Techniquesfor MicrowaveandMillimeter WavePassiveStructures,
Wiley, Hoboken, NJ , 1989.
40. R. Sorrentino(Ed.), Numerical Methodsfor PassiveMicrowaveandMillimeter-WaveStruc-
tures, Wiley, Hoboken, NJ , 1989.
41. Special IssueonEngineeringApplicationsof Electromagnetic FieldSolvers, Int. J. Microw.
Millimeter-WaveComputer-AidedEng., vol. 5, Sept. 1995.
42. Special IssueonAutomatedCircuit DesignUsingElectromagneticSimulators, IEEE Trans.
Microw. TheoryTech., vol. 45, Nov. 1997.
43. A. ConradandJ. Browne, EM toolsenhancesimulationaccuracy,MicrowavesRF, vol. 36,
pp. 133136, Nov. 1997.
44. D. Swanson and W. Hoefer, Electromagnetic Simulators, Artech House, Norwood, MA,
2003.
45. I. Bahl, Ku-bandMMIC power ampliers developedusingMSAG MESFET technology,
MicrowaveJ ., vol. 49, pp. 5682, Feb. 2006.
46. I. J. Bahl, E. L. Grifn, J. Dilley, and M. Balzan, Lowloss multilayer microstrip linefor
monolithic microwave integrated circuits applications, Int. J. RF and Microw. Computer-
AidedEng., vol. 8, pp. 441454, Nov. 1998.
47. I. J. Bahl, Design of a generic 2.5W, 60 percent bandwidth, C-band MMIC amplier,
MicrowaveJ ., vol. 45, pp. 5470, Aug. 2002.
48. E. L. Grifn, X-bandGaAsMMICsizereductionandintegration,IEEEMTT-S. Int. Microw.
Symp. Dig., pp. 709712, 2000.
49. I. J. Bahl, 0.72.7GHz 12-watt power amplier MMIC developedusingMLP technology,
IEEE Trans. Microw. TheoryTech., Vol. 55, pp. 222229, February2007.
50. I. J. Bahl, 28GHz 8-watt power amplier MMIC developedusingMSAGMESFET tech-
nology, IEEE Microw. WirelessComp. Letts. Vol. 18, pp. 5254, J an. 2008.
References 409
51. M. Akkul, M Sarfraz, J Mayock, andW. Bosch, 50watt MMIC power amplier designfor
2GHz applications, IEEE MTT-SInt. Microw. Symp. Dig., pp. 13551358, 2004.
52. D. Conway, M. Fowler and J. Redus, New Process enables wideband high-power GHz
amplierstodeliver upto20W, DefenseElectron., pp. 811, Feb. 2006.
53. W. L. Pribbleand E. L. Grifn, An ion-implanted 13 watt C-band MMIC with 60%peak
power addedefciency,IEEE Microw. andMillimeter WaveMonolithicCircuitsSymp. Dig.,
pp. 2528, 1996.
54. M. K Siddiqui, A. K. Sharma, L.G. Callejo, andR. Lai, A high-power andhigh-efciency
monolithicpower amplier at28GHzfor LMDSapplications, IEEE Trans. Microw. Theory
Tech., vol. 46, pp. 22262232, Dec. 1998.
55. High voltage low cost FETs technology for HPA MMIC applications, Microwave J .,
vol. 47, pp. 16128, Dec. 2004.
56. A. M. Darwish, K. Boutros, B. Luo, B. D. Huebschman, E. Viveiros, and H. A. Hung,
AlGaN/GaN Ka-band5-W MMIC amplier, IEEE Trans. Microw. TheoryTech., vol. 54,
pp. 44564463, Dec. 2006.
57. R. R. Tummala and E. J. Rayaszewski (eds.), Microelectronic Packaging Handbook, Van
NostrandReinhold, NY, 1989.
58. L. T. Manzione, Plastic Packing of Microelectronic Devices, Van Nostrand Reinhold, NY,
1990.
59. J. E. Sergent and C. A. Harper (Eds.), Hybrid Microelectronics Handbook, McGraw-Hill,
NewYork, 1995.
60. P. E. GarrouandI. Turlik, MultichipModuleTechnologyHandbook, McGraw-Hill, NewYork,
1998.
61. T. K. Gupta, Handbookof Thick- andThin-FilmHybridMicroelectronics, J ohnWiley, Hobo-
ken, NJ , 2003.
62. R. K. UlrichandW. D. Brown(Eds.), AdvancedElectronicPackaging, 2ndEdn, J ohnWiley,
Hoboken, NJ , 2006.
63. N. G. EinspruchandW. R. Wissemen(Eds.), VLSI ElectronicsMicrostructureScience, Vol.
11, GaAsMicroelectronics, AcademicPress, NewYork, 1985, Chapter 8.
64. R. Goyal, (Ed.), Monolithic MicrowaveIntegratedCircuits: TechnologyandDesign, Artech
House, Norwood, MA, 1989, Ch. 10.
65. A. Sweet, MICandMMICAmplier andOscillator CircuitDesign, ArtechHouse, Norwood,
MA, 1990.
66. M. Golio (Ed.), RF and Microwave Handbook, CRC Press, Boca Raton, FL, 2000,
Section6.10.
67. I. J. Bahl, LumpedElementsfor RF andMicrowaveCircuits, ArtechHouse, Norwood, MA,
2003, Ch. 13.
68. Y. C. Leeet al., PackagingRF devicesandmodules, inK. Chang(Ed.), EncyclopediaRF
andMicrowaveEngineering, vol. 4, J ohnWiley, Hoboken, NJ , pp. 35903614, 2005.
69. S. Pinel etal., RF/wirelesspackaging,inK. Chang(Ed.), EncyclopediaRF andMicrowave
Engineering, vol. 5, J ohnWiley, Hoboken, NJ , pp. 45164537, 2005.
70. I. J. Bahl, Fundamentalsof RF andMicrowaveTransistor Ampliers, J ohnWiley, Hoboken,
NJ , 2009, Ch. 21.
71. K. Lim, S. Pinel, M. Davis, A. Sutono, L. Chang-Ho, H. Deukhyoun, A. Obatoynbo, J. Laskar,
E.,M. Tantzeris, andR. Tummala, RF-system-on-package(SOP) for wireless communica-
tions, IEEE Microw. Mag., vol. 3, pp. 8899, Mar. 2002.
410 Monolithic power ampliers
72. C. A. Tavernier, F. Valentin, M Mazouz, R. Vigo, W. Muffato, P. Maeder, and M. Havasi,
Highperformancemultilayeredhightemperaturecoredceramicfor widebandpackaging,
IEEE MTT-SInt. Microw. Symp. Dig., pp. 22772280, 2003.
73. D. Fisher, and I. Bahl, GalliumArsenideIC Applications Handbook, Academic Press, San
Diego, 1995, Ch. 10.
74. I. J. Bahl andE. L. Grifn, Semiconductor chiphousing, US Patent #4701573, Oct. 20,
1987.
75. I. J. Bahl, Microwavefeedthroughapparatus, USPatent #5428327, J une27, 1995.
9 RF power amplier thermal design
Mali Mahalingam
Freescale Semiconductor Inc.
9.1 Why thermal design deserves careful attention?
A very largefractionof theenergy consumedby theradiobasestation(RBS) of wire-
less infrastructure equipment ends up as waste heat. Power ampliers consume the
largest shareof theenergy usedby theradio basestation. Fromasystemperspective,
the efciency of energy conversion (fromdrawn DC power to launched RF output
power) in an RBS is very low, of theorder of 1.2%[1]. Similar concerns prevail for
radio/TV broadcast equipment andradar equipment. Thus, improvingtheefciency of
power conversion(DC toRF) andlow-losslaunchingof theRF power aremajor drives
in the industry. Even with such an on-going drive, efcient removal and disposal of
the waste heat are vitally important to keep the temperature of the power ampliers
in control. Removal and disposal of this waste heat adds to the capital and operat-
ing expenditures for wireless infrastructureequipment makers and network providers
whooperatesuchequipment. Inthis chapter wewill examinehowthermal designand
temperature control inuence the cost, device electrical performance and reliability
of RFPAs.
Thermal management addssubstantial costtothereliableoperationof anRBS. From
the construction of an RFPA component, to managing various thermal interfaces, to
theadded heatsinks to reducetheheat ux, and to theultimatedisposal of thewaste
heat withthehelpof coolingequipment, manyspecial materials, special manufacturing
processes, andphysical hardwareareutilized, addingsubstantial cost toanRBS. Later
sectionswill addressinmoredetail suchspecialtymaterials,manufacturingandassembly
processesandcoolinghardware. Giventhefact that wasteheat removal anditsdisposal
areanecessity, goodthermal management practices intheconstructionandoperation
of anRFPA canpotentially helpreduceboththeinitial equipment cost andsubsequent
operational cost.
Abundant literatureestablishesthecloselink betweentheincreasedtemperatureand
the adverse electrical performance effects on the behavior of semiconductor devices
in general. A few specic examples areinitially cited here. For example, thereverse
saturationcurrent inapnjunctionincreases withincreasingtemperature[2] making
areversebiasedpnjunctionelectrically moreleaky, thus reducingtheelectrical iso-
lationinanintegratedcircuit (IC) at higher operatingtemperatures. Thisinturncould
translateintopoor electrical isolationbetweendevicesandunwanted, andthuswasted,
power consumptioninthesemiconductor device. Theswitchingspeedof ametal-oxide
412 RF power amplier thermal design
Bathtub Curve on Reliability
F
I
T
Infant Mortality
Region
Random / constant Failure
Rate Region
End of Life / Wearout
Region
Time
Figure 9.1 Reliabilityof asemiconductor deviceistypicallydescribedbythebathtub behavior.
Failuresintimeisshownversustime.
semiconductor (MOS) devicedecreaseswithincreasingtemperature[3], thuslowering
high-speedperformanceof suchdevicesindigital switchingapplications. Beyondthese
knowngeneralities, specicallyinthecaseof anRFPA, elevatedtemperatureadversely
affectsRF performanceparameterssuchasgain, RF output power, andlinearity[4]. As
thedevicetemperatureof anRFPA increasesthen, typically, gaindecreases, output RF
power(foragiveninputdrive) drops, andlinearitydegrades. Linearizedpowerefciency
is very nearly themost critical metric in thechoiceof an RFPA for an RBS. Thus, a
parameter suchastemperatureadversely affectingtheoutput power andlinearity of an
RFPA needstobewell understoodandmanaged.
The dissipated heat in any electronic device results in a rise in its temperature.
Abundant literature supports the close link between the increased temperature in an
electronic component and the lowering of its reliability [5]. An approximate 10

C
increaseintemperaturereduces themeantimebetweenfailure(MTBF) by afactor of
two[6]. Variousfailuremodesandtheratesassociatedwiththemgovernthereliability
of anelectroniccomponent. Suchfailureratesaretypicallydescribedbythebath-tub
curve shown in Figure 9.1 which describes the failure rate with time. Reliability is
discussedindepthinChapter 10but abrief summaryisgivenhere.
Therearethreedistinctregionsidentiedinsuchacurve: (a) early, infantmortality
region, (b) the middle useful life region, and (c) the nal wear-out region. The
infant mortality region is due to poor quality in the manufacturing processes and
is usually weeded out by quality control and in some instances by a burn-in pro-
cess. Thenal wear-out region, asthenameimplies, occurs after theuseful life; the
increasedfailurerateinthis nal regionis drivenby various wear-out mechanisms in
thematerials andintheinterfaces betweenthesematerials. Theuseful life regionis
9.2 RFPA thermal design basics 413
of most interest here. Thesurvival rateinthisregioncanbedescribedbythegoverning
equation;
R(t) = e

t
MTBF
(9.1)
whereR(t) isthesurvival rateat timet andMTBF = meantimebetweenfailure.
Failures inthis regionaregovernedby various failuremechanisms suchas electro-
migrationinducedfailuresininterconnects, electro-chemical corrosion-inducedfailures
in interconnects, gatethreshold voltagedrift dueto hot-electron injection in thegate
oxide, interface failures due to diffusion of materials in the interfaces, metallurgical
grain growth, and changed fatigue behavior. These failures occur randomly and are
drivenbyvariousstressorssuchastemperature, humidity(water moisture), andelectric
eld. Amongtheserecognizedstressorsacceleratingthefailures, component operating
temperatureistheleadingstressor. Substantial empirical andtheoretical literaturesup-
portsthisstatement [7, 8]. Thus, goodthermal control isof paramount importancefor
reliableoperationof any electronic equipment. RBS aredeployedinremotelocations
andservicingthemcanbeexpensive. Anexpectationof 15yearsMTBF isverytypical
for anRBS.
As asummary, goodthermal designandthermal management practices for RFPAs
help reduce capital and operating costs for an RBS, improve RF performance, and
enhancereliability.
9.2 RFPA thermal design basics
Inthissectionwewill describeat ahighlevel thethermal designbasicsastheyrelateto
RFPAs. Thoughour focusinthisbookisonhigh-power RFPAsastheyrelatetowireless
infrastructureequipment, wewill rsttakeupRFPAsastheyrelatetoportableproducts
suchasamobilecell phoneandbriey addressthermal management issuespertaining
tothem.
9.2.1 RFPA thermal design in a typical portable product
A typical handheldproduct, suchasacell phone, isshowninFigure9.2withafewof its
major functional electronic blocksidentied. Thepower amplier aspart of theRadio
Frontendis oneof thecritical components enablingconnectivity betweenthehandset
and thebasestation. Thepower amplier in ahandset is themost power consuming
component. However, prevailingtrendssuchaslonger talktimewiththebattery, smaller
size, andlighterweightinahandsethavebeenputtingcontinuingpressureonthehandset
PA to bemoreenergy efcient. In cellular modesuch as in GSM, thetransmitter PA
typicallyproduces29dBmto35dBm(1W 3W) RF power [9]. Figure9.3showsan
explodedviewof thecell phonewithtwosuchRFPA modules, onefor GSMapplication
andtheother for WCDMA application. A typical RFPA moduleis packagedinaland
gridarray(LGA)-typepackage. Thesemiconductor dietechnologyusedfor thehandset
PA is typically GaAs HBT or GaAs pHEMT or GaAs MESFET [10]. The package
414 RF power amplier thermal design
Signal
Display
Power
Mgmt
Figure 9.2 Genericmobilephone. RFPA ispart of theradiofrontend.
GSM PA Module
WCDMA PA
Module
Thermal Vias
Courtesy:
Binghamton University
Figure 9.3 RFPA modulesubstratewiththermal vias.
modulesubstrateistypicallyatwo- tofour-layer organicmaterial. TheRFPA deviceis
typicallybondedtotheorganicsubstratewithsilver-lledepoxyfor dieattach.
Typically, thesehandheld/portableproducts aresealedenclosures andrely solely on
freeconvectionfor cooling. Ingeneral, theheatowpathinthesetypesof productfrom
theRFPA devicetotheexternal ambient is throughaseries of conduction, convection
and radiation paths. Heat generated in theRFPA deviceis typically conducted to the
substrateof thepackagewiththehelpof thermal viasbuilt intothesubstrate[11]. Such
thermal viasareshowninFigure9.3; thethermal viasaretypicallyCuplatedthru-holes
inthesubstrate; typically theviasarelledwithepoxiestoprevent thedrainingof the
solder material throughthevias duringsolder reowoperation; suchllingalso helps
improveconductionheat transfer fromthedevicetothesubstrate.
FromtheRFPA modulesubstrate, theheat isconductedintotheprintedcircuit board
(PCB), then to the outer enclosure walls of the portable phone. Heat transfer from
9.2 RFPA thermal design basics 415
Figure 9.4 CFDsimulatedair owpatterninsideandoutsideof amobilephone, includingair ow
aroundtheRFPA module. Courtesy: IEEE, Proceedingsof the44thElectronicComponents
andTechnologyConference, pp. 411420, 1994. Theexternal owapproachesair velocities
- 0.2m/s(primarilyfreeconvection), theinternal owsarenearlynegligible.
thePCB to theouter casewall may occur by conduction, convection and/or radiation
dependingonthespecicsof theenclosure. Theheat isnallyremovedfromtheouter
enclosureto theenvironment by freeconvection. Basedonextensivework conducted
by the author [12] using CFD (Computational Fluid Dynamics) thermal simulations
andsupportedbyexperimental testresults, thefollowingsummarydescribesthetypical
thermal behavior of acell phone:
1. The external air ow approaches air velocities - 0.2 m/s, i.e., primarily free
convectionair owpatterngovernsthecoolingof thecell phone.
2. Theair ow internal to theenclosureis nearly negligible; freeconvection fails to
developinsidetheconnedspaceof acell phoneenclosure; thusthemainmodeof
heat transfer insideacell phoneoccursbyconduction.
3. Typically, thehighesttemperatureinthephoneenclosureoccursintheRFPA module.
Theseair oweldsareshowninFigure9.4. Thetemperatureeldpatterninsidesuch
acell phone, includingaroundtheRFPA module, isshowninFigure9.5.
416 RF power amplier thermal design
98.5
24.1
28.3
32.4
36.5
40.6
86.1
86.1
81.9
77.8
69.6
61.3
53.0
48.9
44.8
RFPA Module
Temperature contours are
in deg. C
Figure 9.5 Temperaturepatterninsideandoutsideof amobilephone, includingthat of itsRFPA
module. Temperaturecontoursarein

C. Courtesy: IEEE, Proceedingsof the44thElectronic


ComponentsandTechnologyConference, pp. 411420, 1994.
9.2.2 RFPA thermal design in a typical radio base station
IncontrasttoRFPA devicesof about1WRF outputpower capabilityusedinahandheld
mobilephone, theRFPA devicesusedinwirelessRBStypicallydeliverRF outputpower
intherangeof 37dBm54dBm(5W250W) [13]. Typically, theseRFPAsareoperated
inahighlybacked-off conditionfromtheir P
1dB
compressionpoint inorder tomeet the
linearity requirements. In such amodeof operation of an RFPA, theRF efciency is
substantiallyreduced. Thus, dependingontheoperatingmode, thesehigh-power RFPA
devices haveanRF efciency intherangeof 10%to 65%, hencethey output alarge
amount of wasteheat, ranging fromafewwatts to in excess of 200 W fromasingle
RFPA component. Onefaces many challenges in creating thermal solutions for such
RFPA devices. Inthis section, wewill briey giveanoverviewof thermal designand
thermal control of suchhigh-power RFPAsinanRBS.
Examplesof equipmentusedinvariousRBSaredisplayedinFigure9.6. Wewill focus
onthemostcommontype, themacroRBS. A typical macroRBSantennatowerisshown
withitsthreesector antennas. Atthefootof suchatower, thereistypicallyabuildingof
9.2 RFPA thermal design basics 417
Macro Radio Base Station
Controller
Pico Basestation
Towertop Amplifier
Macro Radio Base Station
Controller
Pico Basestation
Towertop Amplifier
Tower Antenna
Macro Radio Base Station
Figure 9.6 RadioBaseStations. Courtesy: FreescaleSemiconductor Inc., RadioFrequency
Division.
RBS
Controller
Forced Air Cooled RFPA Rack
Figure 9.7 RadioBaseStationController andatypical rackmountedRFPA pallet. Courtesy:
FreescaleSemiconductor Inc., RadioFrequencyDivision.
about 533m(15
/
10
/
10
/
) insizewhichsheltersvariouselectronicequipment
includingthebasestationcontroller. Wasteheat fromtheelectronic equipment housed
insuchabuildingistypicallyremovedbyforcedair cooling/conditioning.
A typical BaseStationController alongwithoneof itsforcedair-cooledRFPA racks
is shown in Figure 9.7. Cooling fans force air ow in the range of 1 m/s to 3 m/s
(200lfmto500lfm) over thecoolingns of aheatsink that arephysically attachedto
theRFPA pallet. Anendviewof atypical palletwiththeattachedaluminumnheatsink
isshowninFigure9.8.
A simplied description of the thermal stack-up in an RFPA pallet is shown in
Figure9.9aand9.9b. A high-power RFPA componentissolderedor physicallyboltedto
ametal insert, typicallyaCucoin, intheRFPA pallet. Thepalletconsistsof anRFPA pcb
withall thesupportingcomponentswiththeCuinserts. Thethermal interfacebetween
theRFPA component andtheCuinsert is solder or thermal greaseor amechanically
complianthighthermal conductivitypad. ThepalletisphysicallyboltedtoanAluminum
nned heatsink, again using thermal greaseor athermal pad at theinterface. TheAl
418 RF power amplier thermal design
Al finned heatsink
RFPA pallet
RFPA component
Figure 9.8 A closer viewof anRFPA pallet withitsattachedAl nnedheatsink. Courtesy:
FreescaleSemiconductor Inc., RadioFrequencyDivision.
(a)
Thermal Grease or
Compliant Thermal Pad
RFPA Die
Cu coin /
Heat spreader
Aluminum Chassis / Heatsink
RFPA Package
Flange
PCB
R
jc
R
interface
R
heatsink-to-air
T
a
T
c
T
j
(b)
Figure 9.9 (a) RFPA pallet thermal stack-uppictorial view; (b) stack-upthermal resistance,
R
ja
= R
jc
R
interface
R
heatsink
.
nnedheatsink, inturn, iscooledbyair owdrivenbyafan. Heat owfromthedevice
to theambient is schematically describedas throughresistors markedas R
jc
, R
interface
,
andR
heatsink-air
. Eachof thesethermal resistorswill bediscussedingreater detail inlater
sections.
A typical RFPA component and its simplied physical construction are shown in
Figure 9.10a and 9.10b. This component would be classied as an air-cavity metal-
ceramicRFPA transistor. Analuminaceramicwindow-frameisbrazedtoametal ange
usingahigh-temperaturebrazingmaterial suchasCuAg, creatingtheair-cavity. Metal
anges aretypically of WCuor Culaminates havingathermal conductivity kranging
9.2 RFPA thermal design basics 419
(a)
Metal flange
Multiple die.
Ceramic window frame
Air cavity on die and wires
Ceramic Lid
Plated leads
(b)
Figure 9.10 (a) RFPA component device: air-cavitymetal-ceramicpackage; (b) RFPA component
device: asimpliedcross-sectional view.
from180W/mK to250W/mK. MultipleactiveRF transistorsandpassivematching
componentsarebondedtothemetal angeinsidetheair-cavityusingmetallurgical die
attachmaterialssuchasAuSi eutecticor AuSneutectic. Thesediebondmaterialshave
highktypicallyrangingfrom100W/mK to50W/mK. Wirebondinterconnectsandlid
seal completethepackage.
Froma heat ow viewpoint, dissipated heat fromthe active regions of the device
ows through the thickness of the device, through the die attach interface, through
themetal angeof thetransistor, through interfaces such as solder or aconformable
conducting material and nally into an external heatsink to which such high-power
devicesaretypicallyattached, either byreowsolder orbybeingbolteddown. Heatow
isbyconduction, theowisthroughaseriesof verylowthermal resistancepaths, thus
assuringaverylowoverall thermal resistance.
9.2.3 Basic heat transfer processes and their role in an RFPA thermal performance
Inthissectionwewill brieyreviewthebasicphysicsrelatedtothermal energytransferin
amaterial bodyoramongmaterial bodies. Fourmodesof heattransferwill bediscussed:
420 RF power amplier thermal design
conduction, convection, radiation, andphasechange. Alongtheway wewill point out
what roleeachheat transfer modeplaysinthethermal performanceof anRFPA.
Conduction
Theow of thermal energy in an RFPA fromthedevicejunction through therest of
thedeviceandsubsequentlyintothecomponent packagingstructureand, nally, tothe
attachedheatsinkstructuresismostlygovernedbytheconductionheat transfer process.
Anexcellent analysis of conductionheat transfer canbefoundinmany text books.
[14, 15]. As we briey review conduction heat transfer, we will introduce material
propertiessuchasthermal conductivityandthermal diffusivity. Conductionheattransfer
inamaterial bodyoccurswhenthereisatemperaturedifferencebetweentwopointsin
thebody; italsooccursbetweentwomaterial bodiesatdifferenttemperaturesincontact
witheachother. Temperaturedifferenceisthedrivingforcefortheowof thermal energy
fromahigher temperatureregiontoalower temperatureregion. At amicroscopiclevel,
conductionheat transfer is dueto theowof phonons (quantizedlatticevibrations in
thematerial body) andtheowof electrical carrierssuchaselectrons. Conductionheat
transfer canbeinthesteadystateor inthetransientmodeinrelationtotime. Inthecase
of steadystatethermal conduction, thetemperaturedistributioninthematerial bodyhas
reachedaxedvaluethat does not changewithtimeandthermal energy ows froma
regionof higher temperatureto aregionof lower temperature. Inthecaseof transient
thermal conduction, thetemperatureat anypoint intheheat owpathvarieswithtime.
Initssimplest form, steady-stateheat conductionalongonedimensioncanbemath-
ematicallydescribedas
Q = kA
_
dT
dX
_
(9.2)
whereQistheheattransfer rate, (dT,dX) isthetemperaturegradientalongtheheatow
path, andA is thecross-sectional areafor heat ow. k, theproportionality constant, is
amacroproperty of thematerial calledthermal conductivity. It ismeasuredinunitsof
W/m

K. Materialswithhigher valuesfor thermal conductivitysupport alarger owof


thermal energythroughthemforagiventemperaturegradientcomparedtomaterialswith
lower values. Metalshavegenerallyhigher valuesfor thermal conductivitycomparedto
dielectrics. For real materials, thermal conductivity valuesrangemorethanveorders
of magnitudewithdiamondat thehighendof thespectrumthroughtoair at thelower
end.
Equation(9.2) canbegeneralizedfor heatowinthreedimensionsinamaterial body
wherethetemperatureischangingwithtimeandthematerial bodyincludesheatsources
andheat sinks
d
_
kdT
dX
_
dX

d
_
kdT
dY
_
dY

d
_
kdT
dZ
_
dZ
q = c
dT
dt
(9.3)
where q is the heat energy generated per unit volume, is the mass density of the
material andcisspecicheat of thematerial. For materialswithhomogeneousthermal
9.2 RFPA thermal design basics 421
conductivity (i.e., thermal conductivity is thesamealongtheX, Y, andZdirections in
thematerial), thentheequationsimpliesto
d
2
T
dX
2

d
2
T
dY
2

d
2
T
dZ
2

q
k
=
c
k
dT
dt
. (9.4)
The material property k,c is called the thermal diffusivity. Thermal diffusivity is a
macro material property in the description of transient heat ow by conduction in a
material body. Thelarger this valueis for amaterial, thefaster will heat owthrough
thatmaterial. Thispropertyismeasuredinunitsof m
2
/s. Inalatersectiontheseproperties
will bediscussedinsomedetail for thosematerialsusedinthecreationof anRFPA.
Convection
Theowof thermal energy fromanned heatsink attached to an RFPA pallet to the
free air or the air owing over or through it is by convection. Convection is the key
heat transfer process in cooling theequipment in an RBS. Substantial expenditureis
incurredinthehardwareconstruction(nnedheatsinks, air-movingfans, air-lters, and
air coolingequipment) anditsoperation(fans, pumps, andrefrigerationof air mass) to
removeanddisposeof thewasteheat fromanRBS.
Detaileddiscussionsonconvectiveheat transfer aretreatedinvariousbooks[16, 17,
18]. Aswebriey reviewconvection, wewill introducetheconcept of convectiveheat
transfer coefcient, a phenomenological parameter, measuring the efciency of heat
transfer. Heat transfer fromasolid body to auid such as air or water at adifferent
temperatureisgenerallygovernedbyconvection. If theuidincontact withthesolidis
stationary, thentheheattransfer isstill byconductionbutif theuidisinmotionrelative
to thesolid, thenthetemperatureeldintheboundary layer, that is theuidlayers in
contact withthesolidbody, isaffectedby theuidow. Inthesimplest representation
for theenergy owfromthesolid to aowing uid, Newtons lawof cooling can be
usedandispresentedinananalogousmanner tothat of conduction:
Q = h
conv
A(T
wall
T
uid
) (9.5)
whereQistheheat transfer rate, T
wall
isthesurfacetemperatureof thesolid, T
uid
isthe
freestreamtemperatureof theowinguidand A is thecross-sectional areafor heat
ow. h
conv
, theproportionalityconstant, iscalledtheconvectiveheattransfercoefcient.
Itismeasuredinunitsof W/(m
2
C). Itdependsonmanyparametersincludingtheuid
propertiessuchasviscosity, thermal conductivity, specic heat anddensity. If theuid
owadjacent tothesolidwall isduetothedensity gradientsintheuidnear thesolid
wall, theprocess is called natural convection or freeconvection. If themovement of
theuidis causedby external forces suchas fans, pumps, theprocess is calledforced
convection. Typical valuesof convectiveheat transfer coefcient aresummarizedinthe
Table9.1. Heat transfer efciency for forcedair coolingcanbeanorder of magnitude
superior to that fromfreeair alone. Therangeshown for forced air is mainly dueto
theamount of air mass movedover thesurfaceandthedegreeof turbulence(mixing)
intheair createdby themovingair. Theheat transfer coefcient for liquidwater can
422 RF power amplier thermal design
Table 9.1 Typical values of convective heat transfer
coefcients
Mode h
conv
[ W/(m
2
C)]
Freeconvectioninair 10
Forcedconvectioninair 10100
Forcedconvectioninwater 1001000
beanorder of magnitudehigher thanthat duetoforcedair. Thisimprovedheat transfer
efciencyfor water isprimarilyduetoitsveryhighheat capacity, c, comparedtoair.
The ow of thermal energy froma heatsink attached to an RFPA to the external
ambient, beit freeair or byforcedcooledair, isbyconvectiveheat transfer.
Radiation
Inthethermal control of electronic equipment interrestrial applications, radiativeheat
transfer playsarelatively minor role; however, it isthedominant heat transfer modein
thedisposal of wasteheat inspaceapplications.
Detaileddiscussionsonradiativeheattransferaretreatedinvarioustextbooks[19,20].
As webriey review radiation, wewill introducethematerial property of emissivity
and the concept of radiative heat transfer coefcient, a phenomenological parameter
measuringtheefciencyof radiativeheattransfer. Unlikeinthecasesof conductionand
convectionwherethermal energy transfer occurs throughmaterial mediums, radiative
heat transfer can occur through vacuum. Thermal energy transfer by radiation is part
of ageneral process known as electromagnetic (EM) radiation. In thelargespectrum
governingEM radiationfromthelongwave(wavelength meters) radiowavestovery
short wavelengthgammarays (wavelength nano-meters), thermal radiationfalls in
therangeof 0.1to100micro-meters.
Radiativeenergyemittedbyabodycanbedescribedbytheequation
E = AT
4
(9.6)
whereE is theenergy radiatedper unit timeandper unit area, A is thesurfaceareaof
theradiatingbody, T is its absolutetemperature, is theStefanBoltzmannconstant,
and is amaterial property of theradiatingbody calledits emissivity. Theemissivity
property ranges from0to 1; it is 0for aperfectly reectingbody and1for aperfect
blackbody. Most real radiatingsurfacesfall inbetweenandgenerallyarereferredtoas
grey bodies. It isworthmentioningthat thevalueof theemissivity isnot basedona
visual observationof howblack or grey theradiatingsurfacelooks visually; it is how
blackor greythebodyisat thewavelengthof 0.1to100mcorrespondingtothermal
radiation.
As in the case of convective heat transfer, it is a convenient practice to describe
radiativeheat transfer by:
Q = h
rad
A
1
(T
1
T
2
) (9.7)
9.3 Thermo-physical properties of materials in an RFPA 423
whereA
1
istheareaof radiatingbody1, T
1
andT
2
arethetemperaturesof thetwobodies
exchangingheat by thermal radiation, andh
rad
istheradiativeheat transfer coefcient.
Usingthisrepresentation, it iseasier tocombineradiativeheat transfer withconvective
heat transfer calculations. However, inthisformtheparameter h
rad
isastrongfunction
of thetemperatureof theradiatingbody. Asmentionedearlier, interrestrial applications
of anRFPA, h
rad
is very small incomparisontoh
conv
andthus canbeignoredwithout
mucherror.
Phasechangecooling
Themost commonly known example for phasechange cooling is boiling of a liquid
fromahot surfaceandtheassociatedvaporizationof theliquid. Theevaporatingliquid
extracts thermal energy fromthe solid surface it is in contact with and undergoes a
phase change fromliquid to vapour. Boiling in the liquid occurs when the liquid is
maintainedatatemperatureaboveitssaturationvapour pressuretemperatureanddriven
bythetemperaturedifferencebetweenthehot surfaceandtheliquidssaturationvapour
pressuretemperature. Boilingisaverycomplexphenomenon, withmanystagesstarting
as nucleateboiling, transitioninginto unstablelmboilingandnally reachingstable
lmboiling. Even now a full understanding has not been established in the unstable
transitionregionof nucleatetolmboilingandintensiveresearchison-going. Readers
are referred to good discussions on boiling heat transfer in the references [21, 22].
Similar toconvectionandradiation, asimpliedheat transfer coefcient h
boiling
canbe
assignedtoboilingheat transfer asdescribedinthefollowingequation:
Q = h
boiling
A(T
wall
T
sat
) (9.8)
whereQ is theheat owrate, T
wall
is thesurfacetemperatureof thesolid, T
sat
is the
temperatureatwhichtheliquidreachesitssaturationvapourpressureandAisthesurface
areafor heat ow. Boilingheat transfer rate(Q,A) canbeintherangeof 10W/cm
2
to
100W/cm
2
forwater, oneof thehighestcomparedtootherheattransfermodes. However,
boiling heat transfer is not often used in RFPA cooling applications dueto numerous
challenges suchas instability associatedwithnucleateboiling, material compatibility,
hardwarecomplexities, andlongtermreliability.
9.3 Thermo-physical properties of materials in an RFPA
Wehavealreadyintroducedthedenitionsof thematerial propertiesthermal conductiv-
itykandthermal diffusivityk,cinthecontext of explainingconductionheat transfer.
Here, wewill discuss in moredetail thesematerial properties as well as thethermo-
mechanical property of coefcient of thermal expansion, CTE, for certainmaterialsof
interest intheconstructionandoperationof anRFPA sincetheyhavealargeimpact on
itsthermal performance.
Table9.2 summarizes typical values for k of devicematerials (Si, GaAs, GaN and
SiC), package substrate/ange materials (CuW, Cu Laminates, Cu, AlN, and BeO),
dieattachmaterials(AuSi, AuSn, PbSnAg, Ag-lledepoxy), thermal interfacecontrol
424 RF power amplier thermal design
Table 9.2 Thermo-physical properties of various materials used in the RFPA hardware construction
Material
Thermal
conductivity
(W/mK)
Density
(g/cm
3
)
Specic
heat
(J /gK) CTE (ppm/K)
Silicon 148 2.33 0.71 2.6
GaAs 50 5.3 0.3 5.7
GaN 170 6.2 0.5 3.2(orthogonal)
5.6(parallel)
SiC (6Hcrystal) 460 3.2 0.7 4.3(orthogonal)
4.7(parallel)
AuSi (4%Si) 95 1.57 0.15
AuSn(80/20) 50
PbSnAg(highlead>90%) 35
Agepoxy 10
WCu(80/20) 180200 15.6 7.6
Culaminates(Cu-CuMo-Cu) 220250 89
Cu 390 8.95 0.385 16.5
AlN 60190 3.5 4.5
Al2O3(96%) 2030 3.8 6.5
BeO 210230 2.12.5 68.5
Diamond 5002000 3.5 1.5
Thermal grease 3
Thermal pad(graphitepad) Inplane: 240
Thickness
Direction: 5
InPlane: 1
Thickness
Direction: 30
Al alloy(6061) 167 2.7 0.9 24
Note: All properties areat 300

K. All values providedhereshouldbeconsideredas guidance;


for rigorousdesign/researchwork, consult original literature.
materials(thermal grease, compliantthermal pad) andheatsinkmaterials(Cu, Al alloy);
inaddition, italsohasentriesforafewotherthermo-physical properties(suchasdensity,
specicheatandcoefcientof thermal expansion). General referencesarecited[23, 24]
to literatureto look up thethermo-physical properties for many of thesematerials of
interest.
Somegeneral discussions onthebehavior of kareinorder. Compositionandpurity
of thematerial generally affect its k. Typically, thepurer thematerial is, thehigher its
k. Typically, alloys andintermetallics havelower kcomparedtothepureelements that
makeupthealloy. A goodexamplewouldbepureCuversusalloysof CusuchasCu-151
andCu-194; all threeCumaterialsaretypically usedinRFPA packagingapplications.
Compared to pure Cu (k = 385 W/mK), these alloys have lower values of thermal
conductivitye.g., Cu-151hask= 350W/mK whileCu-194hask= 240W/mK, 60%
lowerthanpurecopper. Addedelementsinthepurematerial tocreatethealloystypically
createnewgrainstructures; thegrainboundaries causemorescatteringcentersfor the
phonons and electrons which arethecarriers of thermal energy in thematerial body,
leading to areduced k valuefor thealloys. Increased temperaturetypically adversely
9.3 Thermo-physical properties of materials in an RFPA 425
0.00E+00
2.00E+02
4.00E+02
6.00E+02
8.00E+02
1.00E+03
0.00E+00 1.00E+02 2.00E+02 3.00E+02 4.00E+02 5.00E+02 6.00E+02 7.00E+02
T
h
e
r
m
a
l

C
o
n
d
u
c
t
i
v
i
t
y

(
W
/
m
/
K
)
Temperature (K)
Figure 9.11 kof Si asafunctionof temperature.
affectskfor certainclassesof material. For example, kof Si dropsfromabout 148W/m
K to about 100 W/mK as theoperating temperatureof Si rises fromabout 25

C to
150

C.Asaconsequence,thethermal resistanceof theSi devicewill behigheratahigher


operatingtemperature. This needs to betakeninto account inthethermal designof a
high-powerRFPAusingSi transistorsdissipatingsubstantial heat.Incontrast,thethermal
conductivityof sometypesof material donotshowmuchdependencywithtemperature.
Good examples are metals (Cu), metal alloys (Cu-194, Cu-151), metal composites
(CuW, CuMo, andCu-CuMo-Culaminates), andintermetallics(AuSi, AuSn). For these
classesof material, thermal energyispredominantlycarriedbythechargecarrierssuch
as electrons and scattering is dominated by thexed grain boundaries in thematerial
and not by theelectron-phonon scattering, thus thereis aweak to no dependency on
temperature.
Comparingthethermal conductivity of RFPA devicematerials(Si, GaAs, GaN, and
SiC) at roomtemperatureof 20

C, SiC hasthehighest value, about threetimeshigher


than Si, whileGaAs has thelowest value, about 2.5 times lower than Si, and GaN is
similar invaluetoSi. Thus, inasteady-statethermal owsituationwithasimilar device
layout, thethermal resistanceof aGaAs devicewill bethehighest, theSiC devicethe
lowest, andaGaN devicewill becomparabletoaSi device. Thedetailedbehavior of k
versustemperatureof Si isshowninFigure9.11. Inthethermal designof ahigh-power
RFPA usingSi transistorsthensuchdetailedknowledgemust betakenaccount of.
Inanefforttoreducethethermal resistanceinahigh-powerRFPA, thedevicepackage
anges use materials of increasingly higher k values. CuW with a k value of about
180W/mK hadbeenthework-horsefor many years. This has beenreplacedinmany
applications[25, 26] byCulaminates(Cu-CuMo-CuandCu-Mo-Cu) withakvalueof
about 250W/m

K. Incertaincases, Cuwithanevenhigher kvalueof 385W/m

K is
usedfor RFPA packageanges.
In the construction of an RFPA transistor package, materials of differing strength
andcoefcient of thermal expansion(CTE) arejoinedtogether at varioustemperatures.
Typical examplesarethejoiningof analuminaceramicwindowframetoametallicange
material suchasCuWor Cu-CuMo-Cuusingahigh-temperaturebrazingmaterial, e.g.,
CuAg, tocreateanair-cavity transistor package. Suchamanufacturingprocesscreates
426 RF power amplier thermal design
a very large stress due to the mismatch in the CTE values between alumina and the
Cu-laminateangeandthehigh-temperaturebrazing. Thiscanaffect therobustnessof
the transistor package and can manifest itself in a dramatic manner such as ceramic
window-framecrackingor severede-lamination. It canalsohaveamoresubtleimpact
such as warping of the atness of the ange, which can potentially result in higher
interfacial thermal resistancebetweentheRFPA component packageandthenext level
assembly. Thus, thechoiceof packageangematerial isusuallyacompromisebetween
thedesireto get thehighest k valuefor theangematerial versus theneedto closely
matchitsCTE totheceramic window-frame. Substantial technical andtradeliterature
exists[27] onthetopicsof tailoringthecompositionsof CuWandCuMo, andof tailoring
therelativethicknesses of thelaminates such as Cu/CuMo/Cu, and of controlling the
amount of cross-rollingvarious layers increatingthetransistor angematerial for an
RFPA packageheader.
In the making of very high-power RFPA devices, there are three very commonly
usedmetallurgical material systemsfor joiningthesemiconductor devicetotheange
(commonly calleddieattachmaterials): AuSi eutectic hardsolder, AuSneutectic hard
solder, andPbSnAgsoft solder. Achievingahigh-quality dieattachjoint is critical in
realizinglowthermal resistancefor thedeviceas well as for creatingarobust product
under power andtemperaturecyclingstresses of theRFPA that it will besubjectedto
during its operational life. Thethermal resistanceof such joints and contacts will be
discussedlater infurther detail. Sincesuchjointsareverythin, of theorder of 5mfor
AuSi eutectic, 25mfor AuSnpreform- basedattach, and40mfor PbSnAgsolders,
k alonedoes not play themain rolein determining thejoints thermal resistance; the
quality of thejoint (as determinedby any voids at theinterfaceandinthebulk of the
diebond) playsanequally important roleindeterminingthejointsthermal resistance.
As in the joining of a ceramic window frame to the metal substrate, the joining of
the semiconductor device to the package ange material requires careful attention
to minimizing the thermo-mechanically induced stresses in the device material and
achievingtherequiredatnessfor theheader. kvaluesfor thethreejoiningmaterialsare
comparedintheTable9.2; AuSi hasthehighestkvalue(about100W/m

K) andenables
thelowest thermal resistancefor thejoint betweentheSi RFPA deviceandthepackage
header; however, it is also oneof themost demanding in manufacturing disciplineto
achieve a high-quality joint. AuSi, being a hard solder, is not forgiving in absorbing
CTE mismatch induced stresses; thus extreme care must be taken in managing the
CTE mismatchbetweentheSi deviceandthepackagengematerial. Thisexplainsthe
development of variousmaterial systemssuchasCuW, CuMo, Cu-CuMo-Culaminates
wherebothCTE andkvaluesareoptimizedtoprovidethebest thermal solutionfor the
packageange. PbSnAgsoft solder, althoughhavingthelowest kvalue(35W/m

K)
among the three metallurgical diebond materials mentioned, reduces the thermo-
mechanical stress in thejoint under lowduty-cyclethermal fatiguestress conditions,
thusenablingthejoiningof athermo-mechanically mis-matchedstructuresuchasaSi
deviceto Cuangeinahigh-power RFPA device. Dueto its desirablelowduty-cycle
fatiguebehavior, PbSnAg-basedsoft solder dieattachisextensivelyusedinhigh-power
Si RFPA devicepackaging. AuSnreform-baseddieattachislesscommonlypracticedfor
9.4 Tools to characterize and predict the thermal performance of RFPAs 427
Si power devices; however, it isverycommonlyusedinbondingGaAsandGaN RFPA
devicestometal anges. Typically, AuSndieattachthermal performancefallsbetween
that of AuSi eutectic dieattachandPbSnAgsolder dieattach. Finally, thereisanother
class of dieattachmaterial, outsidetheclass of metallurgical dieattaches, that is used
in RFPA device construction; this is Ag-lled epoxy adhesives. They have relatively
low k values (in the range of 5 W/m

K to 10 W/m

K) but these epoxies typically


handle themis-match induced thermo-mechanical stresses well. However, their bond
strengthtypicallydegradeswithmoistureandincreasedtemperature. Typical bondline
thicknessfallsintherangeof 25mto50m. Forthesereasons, theseAg-lledepoxies
are typically used for die attach in low-power RFPAs such as those used in portable
products, general purposeampliers, andinpredriversinahigh-power RFPA lineup.
Wedescribedearlier thatintypical usethehigh-power RFPA componentisphysically
securedtoaCupallet/heatsink toenableremoval of thewasteheat (Figure9.9). If the
RFPAcomponentisnotsolderedtotheCupallet, thenitismechanicallyfastened. Insuch
ascenario, toreducethethermal interfaceresistancebetweentheRFPA component and
theCupallet, thermal greaseor amechanicallycompliant thermal padisused. Thermal
greaseistypicallysiliconeuidlledwithmetal oxidepowderswithaneffectivekvalue
of 3W/m

K [28]. Theyll theinterstitial spacebetweenthetwomatingmetal surfaces.


Thethermal interfaceconsistsof twoparallel pathsfor heat ow, onethroughmultiples
of metal-metal point contactsandtheother throughmultiplesof interstitial spacelled
with thermal grease. Thesiliconeuid can belost or thejoint can becomedry, thus
their long-termeffectiveness is aconcern. Theother class of material is aphysically
compliant thermal pad. Thoughtherearenumerous types, themost effectiveones are
pyrolyticgraphitesuchasTgon
TM
[29]. Suchthermal padsarepolymericmaterial with
embeddedpyrolyticgraphitebers. Theyareavailableinvariousthicknesses, 0.125mm
to0.5mm. Theyhavekvalues200W/m

K intheplanar dimensionand5W/m

K
inthethicknessdimensionof thepad. InRFPA applications, metal foils(suchasIndium
or Cuor Solder) arealsoused.
9.4 Tools to characterize and predict the thermal performance of RFPAs
A key metric inassessingthethermal performanceof acomponent suchas anRFPA
is R
jc
(or
jc
), the junction-to-case thermal resistance. R
jc
is pictorially explained in
Figure 9.9b. This metric is analogous to the electrical resistance, R. The dissipated
power inthedevice, P (analogoustothecurrentI inanelectrical circuit), owsfromthe
devicejunction to theheatsink under thedriving forceof thetemperaturedifferential
T
j
T
c
(analogoustovoltagedifferenceintheelectrical circuit), overcomingthethermal
resistanceR
jc
(analogoustoelectrical resistance, R). For aquantitativedeterminationof
R
jc
, oneneedstodeterminetheparametersT
j
, T
c
, andP. Inthissectionwewill address
thisarea, withafocusonmeasuringandpredictingT
j
, thedevicejunctiontemperature.
Experimental thermal characterizationandcomputer aidedthermal modelingaretwo
approachestocharacterizeandoptimizethethermal performanceof electronicdevices
and systems. Experimental thermal characterization usually can provide a direct and
428 RF power amplier thermal design
accurate measurement of device or package temperatures; however, assembling the
experimental hardware, theeffort to preparesamples, andthenumber of experiments
neededall takeupconsiderabletimeandexpense. Also, any measurement has associ-
atederrorsarisingfromcalibration, methodology, operator, andsampledistribution. On
theother hand, advancements inmodelingandsimulationsoftwareaswell ascomput-
ing speed make thermal simulation effective in analyzing and predicting the thermal
performanceandthus offers anattractivealternative. However, thesimplications and
assumptions madeinconstructingthecomputer model of thephysical reality, andthe
uncertainties in our knowledge of material properties and boundary conditions, all
impacttheaccuracyof thesimulatedthermal results. A careful useof bothexperimental
characterization and computer-aided simulation can makeup thedeciencies in each
andproviderealisticandaccurateresults. Inthissectionwewill reviewbothapproaches
andtheir applicationstowardsthermal characterizationof high-power RFPAs.
Measurement: methods, tools, currentstatus
Duringexperimental thermal characterizationof anRFPA device, wetypicallymeasure
thetemperatures of theactivedevicejunction, themountingchassis, andtheambient.
Inaddition, wemeasureanyrelevant boundaryconditionsuchasair owconditions.
Wewill rstaddressthemeasurementof surfacetemperaturesof acomponentpackage
ormoduleorheatsinkusingathermocouplethermometer. A thermocouplethermometer
is a temperature sensing junction created by joining two dissimilar metals together.
When such a thermocouple junction is heated or cooled, a voltage is produced that
canbemeasuredandcorrelatedto temperature. Thermocouples areavailableas wires
with different combinations of metals or calibrations. The four most common types
of thermocoupleareJ, K, T, and E. As an example, TypeJ thermocoupleis madeof
thedissimilar metalsironandconstantan, andcanbeusedinthetemperaturerangeof
40

C to 750

C andhasatemperaturesensitivity of 55V/

C. Excellent product
informationisavailableonthermocouples[30]. However, anumber of precautionsneed
tobetakeninapplyingathermocoupletomeasuresurfacetemperaturesaccurately. The
diameter of thethermocouplewireshouldbesmall suchthat heat loss alongthewire
does not causeanerroneous reading[31] of thesurfacebeingmeasured; gauge36or
gauge40wireispreferred. Thethermocouplebeadshouldmakegoodphysical, andthus
thermal contact, tothesurfacebeingmeasured.
Whenwerefer to devicetemperature, typically it is thedevicejunction(also called
channel) temperature; for many RFPA devices, thedevicejunctionis typically located
close to the surface of the die (for an LDMOS device, it is about 10 mbelow the
surface), thus the surface temperature measured on the die is nearly the same as the
junctiontemperature. Techniques to measuretheoperatingtemperatureof asemicon-
ductor devicecanbebroadly groupedintotwocategories, direct andindirect methods.
Direct methods include infrared (IR) thermometry [32, 33], liquid crystals [34], and
thermographicphosphors[35] whichallowdirectmappingof thesurfacetemperatureof
thedevice. Amongthesedirecttechniques, IRthermometryistheonlytechniquecapable
of quantitativetemperaturemeasurement; theother twotechniquesarequalitative. The
9.4 Tools to characterize and predict the thermal performance of RFPAs 429
indirecttechniquesuseatemperaturesensitiveelectrical parameterof thesemiconductor
device(suchasV
f
foradiode, orV
eb
forabipolartransistor, or V
ds
foraFET) tomeasure
thedevicetemperature[36]. However, thistechniquetypicallyprovidesonlyanaverage
temperaturefor thechip and is proneto many errors and difculties when applied to
RFPA devices. Thus, Infrared Thermometry is themost commonly used techniqueto
provide direct, quantitative thermal mapping of an RFPA device. Before we address
InfraredThermometry indetail, wewill briey reviewother measurement techniques
thatarecommonlyusedincharacterizingthethermal performanceof electronicdevices,
packagesandmodulesingeneral.
Inthecontextof selectingor developingasuitablepackagetohouseasemiconductor
device, onemay useathermal test chip or an activedeviceto thermally characterize
thepackageor module. Insuchanapproachacalibrated(withrespect totemperature)
electrical parameter such as theforward-biased diodevoltage(V
f
), or forward biased
devicejunctionvoltage(suchasV
ds
for aFET or V
eb
for abipolar transistor) isusedas
temperaturesensitiveparameter tomeasurethedevicetemperature. Abundant literature
exists onthis approachof usingactivedevices [36, 37] andthermal test chips [38] to
performsuchatask. If oneweretouseathermal test dietocharacterizeapackageor a
module, thetypical thermal test diewill consist of aseparateheatingsource(typically,
athin-lmresistor depositedonthedie) andtemperaturesensorse.g., diode, transistor
or metal-lmresistor, all integratedintothetest die. Typically, suchsensingdiodesare
calibratedfor V
f
versusT prior touse. They typically haveatemperaturesensitivity in
therangeof 2to3mV/

C. Toimprovetheaccuracyinmeasuringthevoltagedropacross
thetemperaturesensingdiode, four-wireKelvincontactsshouldbeused(i.e., separate
forcingandsensinglinesareusedtocontact eachsensingdiode).
Intheabsenceof theavailabilityof thermal testchips, activedevices(transistors, ICs)
canbeusedtoprovidebothheatingandtemperaturesensingfunctions.Insuchasituation,
anelectronicswitchingcircuitisusedtoswitchthedevicefromapoweringfunctiontoa
sensingfunction. Withtheuseof electronicswitching, thetimedelaybetweenpowering
andsensingcanbemadeveryshort(of theorderof afewtensof micro-seconds). Shorter
delaytimesarepreferred, butoneneedstoallowtheelectrical transientsduetoswitching
inthemeasurement regionof theactivedevicetodiedownsothat onecapturesthetrue
electrical signal representingthetemperatureeffect. Nevertheless, thejunctionregion
will cool rapidlyduringthisdelaytime. Oneneedstobalancethesetwoconsiderationsin
selectingasuitabledelaytime. Thereareknowntechniquestocalculateandcorrect for
thecoolingthatoccursinthesensingregionbeforethetemperaturesensingisperformed.
Abundant literatureexistsonthismethodology[3941].
Getting back to IR thermometry, excellent IR measurement equipment is com-
mercially available to performaccurate quantitative temperature measurements [42].
Figure9.12displaysastate-of the-art IR microscopethat istypicallyusedincharacter-
izingRFPA devices.
Objects in the temperature range 0

C200

C emit infrared radiation in the EM
spectrumof wavelength2m10m. Theemittedradiationisgovernedby:
q = AT
4
(9.9)
430 RF power amplier thermal design
Figure 9.12 AnIR microscopewithanRF test bench.
whereqis theradiant ux/unit area/unit solidangleinW/cm
2
-ster, is theStephan
Boltzmannconstant(5.67310
12
W/cm
2

K
4
), theemissivityandTisthetemperature
in

K. AnIRtransmitting(or reecting) lenssystemcollectstheheat radiationfromthe


deviceundertest(DUT) andfocusesitontoaliquidnitrogencooledInSbdetector. State-
of-the-artequipmentusesfocal planearray(FPA) detectors, thusathermal imageof the
DUTsentiresurfaceisbrought intofocus. Thesignal fromthedetector isprocessedby
suitableelectronics anddisplayedas acolor intensity map. Thecolor intensity mapis
convertedto aquantitativetemperaturemapby acalibrationprocedureusingablack-
body radiator. Various lens choices enable spatial resolution at the DUT level of the
order of 2mandatemperatureresolutionof theorder of 0.1

C for aDUT at about


80

C.
Sincetheradiant energyfromtheDUT isafunctionof boththetemperatureandthe
emissivityof thesurface, theemissivityneedstobeknowntoarriveat thetemperature
fromtheimage. Current day IR microscopes havespecial algorithms todeterminethe
emissivity of aDUT pixel-by-pixel throughmeasurement of radiant images at known
temperatures.However,theemissivitydeterminingproceduresthataretypicallyavailable
as part of themeasurement equipment can introduceerrors for materials likeSi that
are IR translucent. To overcome this difculty, typically the surface of a Si DUT is
uniformlycoatedwithanIR-opaquehighemissivitypaint. Thisprocedureassuresxed
emissivity for thesurfaceof theDUT, thus simplifyingthetemperaturedetermination
andincreasingtheaccuracy. Thechallengesinselectingacoatingmaterial andapplying
9.4 Tools to characterize and predict the thermal performance of RFPAs 431

Max die temperature (T


j
max) = 129.7C

Case temperature (T
c
) = 73C

Calculated P
diss
= 72.93W
R
jc
= (129.7 73)C / 72.93 W = 0.78C/W
Si LDMOS RFPA at 20W output RF power
0.0 43.2 86.5
Temperatrure (C)
129.7
Figure 9.13 Temperatureproleof anRFPA devicecapturedbyanIR microscope.
ittoanRFPA devicesurfacesuchthatithaslowtonoimpactontheRF performanceof
thedeviceareaddressedintheliterature[43]. A typical infraredthermal prolefor aSi
LDMOSRFPA deviceisshowninFigure9.13. AnRFPA devicemayexhibittemperature
nonuniformities; however, thehighest measured devicetemperature(T
jmax
) is used in
characterizingthethermal performancemetricR
jc
.
Modelingandsimulation: methods, tools, currentstatus
Themathematical simulationof uidowandheat transfer involvessolvingsimultane-
ously theconservationof mass (continuity equation), conservationof momentum, and
conservationof energyequations. Thisinvolvesseekingasolutionfor aset of coupled,
nonlinear second-order, partial differential equations, involvingvariables suchas u, :,
and n for thevelocity of theowing uid, P for thepressure, and T for thetemper-
ature. Needless to say, this is a very complex undertaking. However, state-of-the-art
softwaretoolsexist todaytohandlethisclassof problemverywell. Therearetwomain
approaches, onebasedonnitevolume/differencemethods(FVM) andthesecondbased
onniteelement methods(FEM). FVM isusedinmanyCFDtools.
For electronic coolingapplications, many CFD tools havebeentailoredto focus on
uidowandheattransferonly. Intheuseof aCFDtool, thespatial domainisdiscretized
intosmall cellstoformavolumemesh; theconservationequationsfor thesediscretized
volumetric spaces areexpressed in algebraic form, then suitablealgorithms solvethe
equationsof continuity, momentumandenergysimultaneously. TheCFDtool not only
determinestheuideld, butitalsosolvesanysolidconductionwithinthecomputational
domain (conjugatesolution). In CFD analysis, thereis no need to assumeconvective
heat transfer correlationsat thesolid-uidboundaries; exact uideldsexternal tothe
solid surfaces are predicted during conjugate analysis. Thus, CFD tools have greater
appeal for systemlevel thermal simulations.
IntheFEM approach, theconservationequations aresolvedby approximatingcon-
tinuous quantities at discretepoints spaced into a grid or mesh. Generally, FEM can
432 RF power amplier thermal design
handlemorecomplexgeometrical shapescoupledwithlargedifferencesinthethermo-
mechanical properties.Atthecomponentlevel analysiswhereconductionisthedominant
heat owprocessandwherereliableboundaryconditionscanbeused, FEM hasgreater
appeal sinceitcanhandleverycomplexshapeswithlargedifferencesinlevelsof detail,
fromthedieactivearealayout toacompletelyassembledcomponent device, all inone
model. Thus, at component level analysis, typicallyFEM toolsareused; empirical con-
vectiveandradiativeheattransfercorrelationsareappliedtoall theboundarysurfacesof
thepackage/PCB. Thus, a-priori engineeringknowledge/judgment isneededtoachieve
good results. Furthermore, temperatureproles obtained fromsuch an FEM analysis
can be directly used in subsequent thermo-mechanical analyses to assess the various
structural stressesinthecomponent.
ANSYS [44], Abaqus FEA [45], MSC Sinda[46], COSMOS [47] aresomeof the
leading FEM simulation tools commercially available. FloTHERM
R _
[48], ANSYS
Icepak [44], ANSYS FLUENT [44] aresomeof theleadingCFD simulationtools. To
executeefciently onany of thesesimulationtools mentionedhere, it is imperativeto
havegoodmodels that truthfully represent thephysical reality. Key steps andtools in
buildinggoodmodelsare:
r
producingaCADgeometryforthestructureusingaprogramsuchasAutoCAD
R _
[49]
or Pro-E [50] and/or using ECAD programs such as Cadence
R _
Allegro
R _
Package
Designer [51] or Mentor Graphics
R _
[52];
r
assigningmaterial properties (thermal conductivity, mass density, specic heat, vis-
cosity) tothevariousphysical regionsof themodel;
r
assigningproper boundary conditions suchas axedtemperature(e.g., ambient) to
a reference location, and convective and radiative heat transfer coefcients at the
heatsinksurfaceinanFEM approach;
r
creatingthemesh/gridwiththeappropriatelevel of detail (balancingthedesiredlevel
of physical detailsversusmodel sizeandsimulationtime);
r
post-processingtodisplay andextract theinformation(temperature, heat ux, uid-
owprole, contour plotsof temperaturesandair ow, numerical tables, etc.). Many
of thesesupportivetoolshavebecomesmart anduser friendly. Thus, model building
andsimulationhavebecomeanintegral part of RFPA thermal management.
9.5 RFPA thermal design and management advanced
Inthissectionwewill discussingreater detail thethermal designandthermal manage-
ment of high-power RFPA components. Thoughmuchof thediscussionwill generally
beapplicableto various RFPA devicetechnologies, wewill focus on Si laterally dif-
fusedmetal oxidesemiconductor (LDMOS) devices. TheSi LDMOSdevicetechnology
has many advantages such as high RF power gain, good efciency, excellent linear-
ity, and ruggedness, requiring only a single supply voltage, inherently good thermal
structure, andall at lowcost. Figure9.14comparestwoSi technologies, bipolar versus
LDMOS. Bipolar transistors requirecomplex packages as theunderneath sideof the
9.5 RFPA thermal design and management advanced 433
Gate
Drain
Source
n
+
n
+
p
+
p

epi
p
+
substrate
n
+
substrate
sinker
backside
metal
Source at Ground Potential
Pkg Metal
Flange
Pkg Metal
Flange
Dielectric
(Beo or AIN)
collector
V =
~
26V
Base Emitter
Bipolar
LDMOS
n

n
+
p
Vertical current flow
Figure 9.14 Cross-sectional viewof Si LDMOSandSi Bipolar RFPA devices.
dieis thecollector and henceit requires an electrical isolator such as BeO or AlN to
beinsertedbetweenthedieandtheange. Also, astheemitter isonthetopof thedie,
abond wireis required to connect it to theangewhich adds inductanceand lowers
theRF gain. Incontrast, LDMOStransistorscanbebondeddirectlytothemetal ange
as the underneath side of the die is the source. This results in lower source induc-
tanceandhencehigher gain, lower thermal resistance, andsimpler packageswhichare
lower cost.
Si LDMOS device technology has almost totally replaced Si bipolar technology
for RFPA devices inwireless infrastructurebase-stationapplications, andincreasingly
alsoinother high-power RF applications suchas wireless broadcasting, industrial and
avionics. LDMOSdevicetechnologyhascontinuouslyevolvedsincetherstgeneration
in1993to thecurrent eighthgenerationdevicestructures [53], improvinguponmany
RF performancemetrics, notably efciency, power density (W/mmof gateperiphery),
linearity, andruggedness.
Toachievethelowest junction-to-heatsink thermal resistancefor anLDMOSRFPA,
anintegratedandcomprehensiveapproachfromSi devicelayout, diethinning, package
material selection, assembly manufacturing processes, to devicemounting in theend
applicationisrequired. Wewill addresseachof theseareas.
Thebasic LDMOS devicestructurehas threeelectrodes drain, source, and gate.
Currentowbetweenthedrainandthesourceiscontrolledbythegate. Heatdissipation
occurs in thecurrent ow path in thehigh-resistanceregion, creating increased tem-
peratures. A simplied view of this heat source description is shown in Figure 9.15,
highlightingtheheat dissipatingstructure, markedasaheat sourcenger.
In creating an RFPA transistor, multiple device ngers are laid out, as shown in
Figure9.16.
Thermal resistancedueto theheat sourcelayout cangenerally beunderstoodusing
thespreading thermal resistance concept. Spreading thermal resistanceis inversely
proportional to the geometrical size of the heat source and the effective thermal
434 RF power amplier thermal design
Gate
Metal
Heat Source Finger
Gate
Drain
4mil
Thick Silicon
drain-source pitch
one finger
0
Source
Metal
Figure 9.15 Cross-sectionof anLDMOSdevicestructure, describingtheheat source.
Cross
section
plane
Transistor
fingers
(channel)
Periodicity
Figure 9.16 Representationof anLDMOSRFPA device, showingmultipleheat sourcengerslaid
out inparallel array.
conductivity of thesemiconductor material andangecombinationonwhichtheheat
sourceislaidout. It canbewrittenas
R
spreading
=
a
kd
th
(9.10)
whereaisaconstant,kistheeffectivethermal conductivityof thesemiconductormaterial
andangecombination, andd
th
istheequivalentthermal diameter representingtheheat
source. d
th
is proportional to thesquareroot of thearea of theheat source. Thus, to
reducethespreadingthermal resistancearisingfromdevicelayout, weneedtoincrease
theactive area. Furthermore, for a given heat sourcearea, maximizing thebounding
perimeter of theareareducesthespreadingthermal resistance. Thus, inlayingouthigh-
power devices, it iscommonpracticetodividethetotal activeareaintomultiplesmall
segments (such as multiple parallel ngers) and utilize various meandering patterns
(such as interdigitated rectangles). In the previous section under design tools, it was
mentionedthattheeldof thermal model buildingandthermal simulationhavebecome
9.5 RFPA thermal design and management advanced 435
Line Trace
124.00
110.00
100.00
90.00
80.00
70.00
60.00
50.00
0 50
27576 Distance (um) Save Line Trace to Text File
100 150
Pixel #
T
e
m
p
e
r
a
t
u
r
e

(

C
)
200 250 300 350 382
Figure 9.17 IR thermal imageandthetemperaturelineproleof anRFPA device. Temperature
nonuniformitiesamongthethreeactiveSi transistor chipsaswell withineachchipareshown.
Peakdietemperature= 124

C at T
case
= 70

C.
very robust and thus it is common practice to simulate and optimize device layouts
for improved thermal performance using such computer-based thermal models. In a
typical LDMOS device layout, a single heat dissipating nger is a few micrometers
(2 m) wide, a few hundred micrometers (300 to 1000 m) long, and located
afewmicrometers (10 m) belowtheSi surface. Multiples of such nger-likeline
structures are laid out with typical pitch between the ngers in the range of 20 to
100m. Theauthor has carriedout asystematic study of many suchlay-outs, leading
tosomegeneral guidelines:
r
ner pitchbetweenheat sourcengersresultsinahigher temperaturefor anarrayof
ngers; coarser pitchresultsinlower arraytemperature;
r
foragivenarrayngerdesign(i.e., width, pitch), temperatureprolesalongthengers
aresimilar for central and outer ngers; for any nger, temperaturepeaks near the
center of thenger andfallstoalower valuetowardstheendof thenger;
r
arrayswithlonger ngersresult inahigher temperatureuniformityalongthenger.
Thus, tomaximizetheuniformity of temperatureacross andalonganarray of ngers,
thelayoutshouldusealargenumber of longngers. Tominimizethethermal resistance
duetolayout, coarser pitchshouldbeusedwithinanyother constraintssuchasrealizing
adequateactiveareafor theintendedRF power inagivensizeSi chip. Evenwithcareful
attentiontothelayout of theactiveareatominimizetemperaturenonuniformities, such
nonuniformities do exist in an RFPA device. Figure9.17 is an IR imageof an RFPA
withthreeactiveSi chips, showingsomelevel of temperaturenonuniformity between
thethreechipsaswell aswithineachchip.
436 RF power amplier thermal design
Observedtemperaturenonuniformitiescouldbedueto: (a) nonuniformRF excitation
of amultinger devicecausedby small differences intheamplitudeandphaseof the
input RF signal feedingthedevice; this causes anassociatednonuniformP
diss
insuch
amultinger devicearray, (b) evenwithuniformP
diss
inamultidie/multinger layout,
duetothegeometrical effectsassociatedwithheatdiffusion, temperaturesintheinterior
die/structuretend to behigher compared to temperatures in thedie/structurenear the
outer boundaries, (c) manufacturingdefectssuchasadiebondvoidcouldcreatelocal
hotspots, thuscausingtemperaturenonuniformitiesinthedevice, (d) thermal spreading
effect of theunderlying substratealso contributes to thetemperaturenonuniformities
seenintheactivedevices.
Another contributor to the overall device thermal resistance is that fromthe nite
thickness of the Si chip itself. This thermal resistance is directly proportional to die
thickness. Typical Si thicknessinhigh-power RFPA LDMOS devicesisabout 100m
whereas in themain-streamsemiconductor devices, thetypical diethickness is about
300m. Therearemanymanufacturingchallengestoovercomeinthinninglargediam-
eter (200mm) wafers [54] to 100mthickness andsubsequently handlingthewafers
through backsidemetallization, transport and sawing. However, newproduct require-
mentssuchasstackedmemoryinconsumer products(e.g., mobilephonewithcamera)
havemadeafavorableimpact inthedevelopment of wafer thinningtechnologiesinthe
semiconductorindustry. Backgrindingisthemostcommonmethodtothinwafers. Back-
grindusuallyleavesgrinddamagesuchasmicro-cracksonthewafersurface; back-grind
alsoleaves sharpwafer edges whichbecometheweakest part of thewafer. Additional
stressrelief processingsuchaspolishing, dryetch, or wet etchisusuallynecessaryfol-
lowingback-grind. Suchafollow-upprocesstypicallyreducesthegrinddamage, reduces
wafer stressandthuswarpingandbowinthewafer, andimproveswafer anddiestrength
[55]. ProducingstrongSi diefromthewafer thinningprocess is essential to realizea
high-assembly yield and areliableproduct as theSi chip is diebonded to CTE mis-
matchedmetal anges. Inearlierdiscussionsonthermal conductivity, wehadmentioned
that GaAs has lower thermal conductivity by about 2.5times compared to Si. Hence,
high-power GaAs RFPA devices arethinned to 25 mto 50 mthickness to reduce
the thermal resistance due to the GaAs substrate. Thus, considerable manufacturing
investment isneededtoenableleadingedgeperformanceinhigh-power RFPA devices.
Next, wewill consider thermal resistanceinthediebond, theinterfacejoint between
theRFPA dieand theunderlying metal ange. Wedescribed in an earlier section the
general properties of andtherelativemerits of four different dieattachmaterials used
intheRFPA industry: AuSi, AuSn, PbSnAg, andAglledepoxy. Tolower thethermal
resistance in the die bond, we seek a high k for the die bond material as well as a
void-freeandthinbondline. AuSi eutecticdieattachcanfulll all theserequirements.
AuSi dieattachfor high-power RFPA Si devicesistypicallyaccomplishedbyanin-situ
metallurgical reactionbetweenSi (beingsuppliedfromtheSi die) andAu(suppliedby
theAumetallizationonthebackof thedieandontheangesurface). AnSEMviewof a
AuSi dieattachcross-sectionisshowninFigure9.18. A nearlyvoid-freediebondwith
abondlinethickness of about 5mcanbetypically achievedwithAuSi eutectic die
attach. Thus, AuSi diebondprovidesthebestdiebondmethodavailablefor high-power
9.5 RFPA thermal design and management advanced 437
Figure 9.18 AnSEM imageof AuSi die-bondof anLDMOSSi RFPA devicetoaW/Cumetal
ange. Bondlineisthin(5m), of uniformthickness, andvoidfree.
RFPA Si devices. Furthermore, AuSi dieattachdoesnot showanyhardeningor fatigue
behavior after long thermo-mechanical cycling since, being a hard solder, it behaves
elastically. Understanding the stress created in the Si and designing for the stress to
bewell belowthestrengthof Si is key inthesuccessful practiceof AuSi dieattachin
high-power RFPA devices. It iswell knownthat anyvoidinthedieattachinterfacecan
addadditional thermal resistancetothepathandit usuallymanifestsitself asahot spot
intheactiveregionof thedie[56, 57].
AuSndieattachislesscommoninbondinghigh-power Si RFPA devices. However,
it is one of the most common die attach methods in bonding high-power GaAs and
GaNRFPA devices. Typically, AuSndieattachisaccomplishedwiththehelpof aAuSn
preformor predeposited AuSn solder, thus thebond-linethickness is in therangeof
25 m. TheAuSn dieattach interfacelayer typically has ahigher thermal resistance
comparedtoaAuSi dieattachinterfacelayer bothduetoits lower kvalue(50W/m

K versus100W/m

K) andlarger bondlinethickness.
PbSnAg die attach is very common in bonding Si power devices to a CTE mis-
matchedsubstratesuchas CubecausePbSnAgsoft solder has excellent thermal cycle
fatigue behavior. PbSnAg die attach is typically accomplished by dispensing molten
liquidsolder or solder paste, thus thebond-lineisthicker andtypically intherangeof
40m. ThePbSnAgdieattachinterfacelayer typically has higher thermal resistance
compared to AuSi dieattach interfacelayer both dueto its lower k value(35 W/m

K versus100W/m

K) andgreater bondlinethickness. Ag-lledadhesivedieattach


is most commonly used in the manufacture of low-power RFPAs for various mobile
devicesandinthemanufactureof general purposeampliers(GPA) andpredriver PAs
that have lower thermal dissipation. Typical bond-line thickness ranges from25 to
50m. Ag-lledadhesivedieattachhasthehighest thermal resistancecomparedtothe
other threemetallurgical dieattach methods both dueto it having thelowest k value
(-10W/m

K) andthehighest bondlinethickness(50m).
Next inthethermal stack-uplet us consider thethermal resistancedueto thecom-
ponent devicesubstrate, typically ametal angeinthecaseof ahigh-power LDMOS
438 RF power amplier thermal design
TWO BARE SURFACES IN CONTACT:
1
2
1
X
K
1
K
2
2
POCKET OF AIR
0 INTERFACE =
X
+
(K
eff
)
pt
A
pt
K
eff
= K
1
K
2
/
K
eff
1 TO 4 ORDERS OF MAGNITUDE HIGHER THAN K
air
K
1
+ K
2
2
K
air
A
air
X
POINT CONTACT
Figure 9.19 Pictorial descriptionof thermal contact resistance.
transistor. Wediscussedinaprevious sectionthethermo-physical properties for afew
ange materials such as CuW, CuMoCu, Cu-CuMo-Cu and Cu. k values range from
180W/mK to 385W/mK. Theselectionof theangematerial andits thickness are
drivenbymorethanthermal considerationsalone. Thermo-mechanicallyinducedstress
in theactivedevicedueto dieattach, in thewindow-framematerial such as alumina
ceramic duetobrazing, andtheatnessof thenishedcomponent packageall deserve
careful attention. TheCTE of theangematerial, itsmodulus, anditsgeometricthick-
nessall playaroleindeterminingtheoverall atnessof theRFPA component package.
Typically, theatnessof nishedpackagesisintherangeof 25mto 40m. Flat-
ness caninuencetheinterfacial contact thermal resistancebetweentheRFPA device
component andtheCucoin/Cuinsert inthethermal stack-up.
InSection9.2.2wepresentedasimpledescriptionof thethermal stack-upinanRFPA
pallet, seeFigure9.9. A high-power RFPA component issolderedor physically bolted
toametal insert suchasaCucoinbondedor insertedintothePCB of thepallet, thusa
direct heat owpathfromtheRFPA component toanexternal heatsink throughtheCu
coinisestablished. If theRFPA componentissolderedtotheCucoin, thentheinterfaces
thermal behaviorislikethatof abondedinterface; wehavealreadydiscussedthethermal
resistancein bonded interfaces inthecontext of dieattach. Bond linethickness, void
level inthebond, andthermal conductivity of thesolder together determinethejoints
thermal resistance for the bonded interface. If the RFPA component is mechanically
bolted or clamped to the metal insert/coin, then the interfaces thermal behavior can
bedescribed in terms of contact thermal resistance. In thethermal stack up wehave
beendiscussing, typicallythepallet inturnisphysicallyboltedtoanAluminumnned
heatsink; again this interfaces thermal behavior can be described as another contact
thermal resistance.
At this point, it is relevant to discuss the concept of contact thermal resistance in
general, andinthecontext of RFPA thermal management inparticular. Whentwosolid
surfaces are brought into contact as shown in Figure 9.19, the interface in reality is
madeupof manypoint contactsbetweenthetwosolidbodieswiththeinterstitial space
lled with air or a heat-sink compound such as silicone grease. Heat ow fromone
9.5 RFPA thermal design and management advanced 439
solidbody totheother occursprimarilythroughthesesolid-to-solidpoint contactsand
secondarily through theentrapped air or heat-sink compound in theinterstitial space.
Since the thermal conductivity of air is typically four orders of magnitude smaller
compared to thethermal conductivity of atypical solid, themajor contribution to the
contact thermal resistance arises fromthe interstitial gap. Contact thermal resistance
theoryandadetailedsurveyarediscussedinreferences[58, 59].
Thecontact thermal resistanceisinuencedbythreeconsiderations:
1. Thegeometryof themating/contactingsurfaces, e.g., shape(atversusconvexversus
concave) andsurfacenish(smoothversusrough).
2. The thermo-physical properties of the contacting surfaces, e.g., k of the mating
surfaces, k of any uidmediumsuchas air or thermal greasellingtheinterstitial
gapinthecontact region.
3. Themechanics, e.g., contact pressure, micro-hardnessof thecontactingsurfaces.
Fromthe above qualitative description of the contact thermal resistance, it can be
statedthat thecontact thermal resistancecanbereducedby:
r
lling the interstitial space with a material of higher thermal conductivity such as
thermal greaserather thanentrappedair;
r
increasingtheappliedjoint pressure, whichenablesmoresolidsolidpoint contacts;
r
reducingthesurfaceroughnessof matingsurfaces;
r
reducingthemicro-hardnessof thecontactingsurfacesthroughmodifyingthesurface
withcoatings.
Intypical RFPA applications, theRFPA devicecomponents matingsurfaceatness
is intherangeof 25to 40m; themetal substrateof theRFPA deviceis typically
metallizedwithaNiAu, or ashof Au(asinNiPdAu) or Sn. TheCucoin/insert inthe
heat-sink typically hasamachinedsurfacewitharoughnessof 1mto2m. Inthe
assemblyof theRFPA devicecomponenttothepallet, typicallythermal grease[28] or a
compliantthermal pad[29] isusedtoreducethecontactthermal resistance. Thecontact
thermal resistancebetweentheRFPA devicecomponent andtheCucoin/insert inthe
pallet inatypical applicationis-0.1

C/W.
TheRFPA pallet withtheCucoinistypicallyboltedtothebaseof annedAl heat-
sink. Thelast itemin thethermal stack-up for theowof wasteheat fromtheRFPA
deviceto theambient air is thethermal resistanceassociated with theheat-sink. In a
macrobasestation, typicallyforcedaircoolingisused; inlesserpowerapplications, such
as micro/pico basestations and remoteradio heads, freeair-cooled heatsink surfaces
aretypicallyused. Sizingof theheat-sink (volume, surfaceareafor heat transfer tothe
owingair) anditsdesign(baseplatedimensions, ncongurationsuchasnthickness,
height andpitch) aretypicallydictatedbytwoconsiderations, namelyavailablethermal
resistancebudget for heat disposal andavailablepressuredropfor air ow. Usually, an
optimization exerciseoccurs in trying to maximizethesurfaceareafor heat disposal
suchas adenser pitchbetweenns, alargeformfactor for ns (i.e., higher valuefor
theratiobetweennheight tonpitch), andminimizationof thepressuredropfor air
ow (such as coarser pitch between ns) to enablethecooling air mass to reach the
440 RF power amplier thermal design
heatsinksnnedsurfaces. Manyhelpful guidesareavailablefromsuppliersof heatsinks
[60]. Aluminumalloys aremost commonly usedfor heatsinks. Extrusion, die-casting,
forging, milling/machining, and bonded n aretheprevalent manufacturing methods
for heat-sinks. The lowest cost heat-sinks are typically made fromAluminumalloys
(k 160 W/m

K) by an extrusion process. In typical basestation applications, the


availabletemperaturebudget for theheatsink is 50

C (maximumT
ambient air
40to
50

C; maximumT
heatsink-baseplate
90to100

C). Typical heat transfer efciencyfrom


theheat-sink surfaceto theambient air is 0.001 W/cm
2
C for freeair cooling and
0.003W/cm
2
Cfor forcedair cooling. Thus, from1cm
2
surfaceareaof anAl nned
heat-sink, about 0.05Wheat isdisposedtotheambient air infreeair coolingandabout
0.15Wheat isdisposedinforcedair cooling. Thus, substantial surfaceareaisbuilt into
theAl nnedheat-sink todisposethetotal wasteheat, andsotheheat-sink addstothe
size, weight, andcost of theRFPA hardware.
9.6 RFPA thermal design trends and prognostication
Themost important trendinthehigh-power RFPA spacetoday is thedrivetoimprove
thelinear efciency. Inearlier discussionsit waspointedout that theefciencyof high-
power RFPAs in wireless basestations ranges from10 to 60% depending on the PA
technologyandmodeof operation. Lower efciencyRFPAstranslateintohigher capital
andoperatingexpenses for thewireless network operators. Thereis anincessant drive
tolower thesecoststoimprovetheprotability ontheinvestment intheinfrastructure.
There is one estimate [61] that an average 3 G cell site in Europe costs $3200/year
to operate; for a European operator running 20,000 cell sites, this will translate to
$64M/year inOPEX. Further, variouspotential regulatorymeasuresareonthehorizon
to reducethecarbon foot-print of mobilecommunication products and services [62].
InformationandCommunicationTechnology(ICT) worldwideisestimatedtocontribute
2%to thegreenhousegas emissions [63]. Within this, themobilecommunications
sectorhasasmall share,butitisexpectedtoincreasesignicantly[64]duetotheexpected
growthinmobiledataandinternet trafc. Radiobasestationsarethemaincontributor
to greenhousegas emissions within theradio network. Higher efciency RFPAs will
helpreducetheenergyconsumption, carbonfoot-print, andOPEX for thebasestation.
Amongthevarious higher efciency amplier choices, Doherty Amplier congu-
rations seemto have the most promise in the near future [65, 66]. In this approach,
RFPAswill beconguredtoprovideacertainaverageoutput RF power andwhenpeak
demandshappen, anadditional peakingamplier will switchontoprovidetheextraout-
putpower. Conceptually, thisapproachisnotall thatsurprising; similar approacheshave
beenadoptedfor manyyearsintheelectricpower utilityindustryonhowtheymanage
power capacity bothfor averageandpeak demands. Giventhisback dropof improved
efciency for RFPAs, looking toward thefuture, thermal management of high-power
RFPAsinwirelessinfrastructureandbroadcast equipment shouldbemoremanageable.
Many of theestablishedpackagingmaterials, assembly processes, andhardwareman-
ufacturing technologies that arein current practicein providing thermal solutions for
9.6 RFPA thermal design trends and prognostication 441
RFPAsshouldbeabletomeet theneedsadequately. Furthermore, therearemanyniche
technologies andsolutions developedintheeldof thermal sciences andengineering
that canbetappedwithingenuity to address exceptions or special situations. Wewill
brieyaddresssomeof thoseitems.
Another identied areafor efciency improvement in an RBS is to reducetheloss
inthefeeder cables. Oneestimate[1] gives this amultiplicationfactor of 17, i.e., one
unit of energy savedintheenergy deliveredtothefeeder cablescouldsave17unitsof
energy upstreamduetoamplier losses. This is very dramatic. Torealizethis, RFPAs
should movecloser to theradiating antennas, thus thereis increased interest in tower
mount ampliers(TMA) andremoteradioheads(RRH). Furthermore, theRRH offers
exibility inthedeployment of distributedbasestations. A typical RRH iscompact in
size(405030cm), weighsintherangeof 15kg, andispackagedinanenviron-
mentally hardenedbox (as it is exposedto weather elements) withnatural convection
cooling. Thermal management practices prevalent in natural convection cooling are
practicedinRRH, usuallytheouter caseof thehousingboxincorporatesthecoolingn
structure.
Next, let us consider trends in the power density [67] and thermal ux at device
level. The leading Si device technology, LDMOS, as practiced in high-power RFPA
applications at 2GHz, 2832V operationhas saturatedCW RF power density inthe
rangeof 0.6W/mmto -1W/mmof gateperiphery. At thispower density level, the
thermal ux density intheactiveareafor practical devices of today is intherangeof
310
7
W/m
2
. It isinterestingtocomparethisthermal uxat thedevicelevel withthat
onthesunssurface(6.610
7
W/m
2
) [68]. Thethermal uxintheactiveregionof the
RFPA deviceisoneof thehighestamongsemiconductordevicesandiswithinafactorof
twocomparedtowhat isbelievedtobeonthesunssurface. Thoughthisisanattention
catcher, thermal conductioninsolids suchas Si is ableto support suchanimmensely
largeheat uxwiththetemperaturegradientsthat areinthepractical range. Thepower
density for Si LDMOS will increase as the technology advances to higher voltage
operation, from32V to48V operation; theoretically, thepower densityincreasewill be
proportional tothesquareof voltage[i.e.,
_
48
32
_
2
2X ]. Thecorrespondingincreased
thermal uxdensityatthedevicelevel will necessitatemanyevolutionaryimprovements
suchasimproveddevicelayouts, further thinningof theSi device, increaseduseof Cu
as the package substrate material, and a search for cost-effective but improved k for
the package substrate materials, all to provide the needed improvements in thermal
management practices.
However, devicelevel power density is an areaof concern for devicetechnologies
such as GaN RFPAs for possible future basestation and broadcast applications. GaN
devices arecapableof muchhigher power density (5W/mm), thus potentially much
larger thermal ux densities arelikely withGaN devices. TheSiC substrateonwhich
typical GaN devices arebuilt has akvalueof about threetimes that of Si. Thus, GaN
on SiC inherently will offer a superior thermal solution compared to a GaN device
onaSi substrate. Takingthisdiscussionfurther topackagesubstratematerials, onecan
makeacasethattodayscommonlyusedpackagesubstratematerials(Cu-laminateswith
k values 250W/m

K) will beperformancelimitingfor high-power GaN RFPAs in


442 RF power amplier thermal design
basestationapplications. Thiswill necessitatetheneedtousepackagesubstratematerials
of higher k values such as Cu and potential new materials such as diamond and Cu-
Graphitecomposites. Therearenumerous technical andeconomic challenges to solve
beforerealizingsuchhighkmaterialsinRFPA products.
Packagematerials, assemblyprocesses, thermal interfacecontrols, andcoolingmeth-
odsthat areincurrent practicetomeet thethermal challengeswithinthecost structure
for high-power RFPAsinbasestationapplicationsareverywell tuned. It isunlikelythat
any major shifts fromthe current practices to manage thermal challenges will occur
in the near future, rather evolutionary improvements are more likely. Enhanced ther-
mal management materials (suchas natural andengineereddiamonds, metal-diamond
composites, graphitecopper composites, and carbon nano-tubestructures), advanced
manufacturing practices (thinning device material down to 25 m, direct bonding to
copper), andadvancedcoolingconcepts (phasechangecooling, liquidcooling, in-situ
thermo-electric cooling) areresearched in thetechnical literature. However, realizing
theminbasestationsandbroadcast applicationswill beseverelychallengedbytheeco-
nomicsandtheneededproof dataonlongtermreliability. Hence, therewill becontinued
pressuretoimprovetheefciencyof RFPAs, todeploysmallercell sites(picocell, femto
cell), andtoseekother systemlevel solutionsat theRBSlevel tocounter someof these
extremethermal challenges.
References
1. P. Misar, Basestationtechnologies, brainstorm: howcanweimprovepower addedefciency
andwhat rolewill high-power RF ampliers play inachievingthis goal?, Feb. 2009, www.
wirelessdesignmag.com.
2. H. Taub and D. L. Schilling, Digital Integrated Electronics, McGraw-Hill, 1977, ch. 1,
pp. 56.
3. W. N. Carr and J. Mize, MOS/LSI Design and Aplication, McGraw-Hill, 1972, ch. 3,
pp. 8589.
4. Technical Data, RF LDMOSWidebandIntegratedPowerAmplier, FreescaleSemiconductor
Inc., MW4IC2020N(2006); MW5IC2030N(2006), MW6IC2040N(2008), MW7IC18100N
(2009).
5. Reliability prediction of electronic equipment, US Dept. of Defense, MIL-HDBK-217B,
NTIS, Springeld, VA, 1974.
6. D. S. Peck, The analysis of data fromaccelerated tests, Proceedings of the IEEE Ninth
ReliabilityPhysicsConference, 1971, pp. 6978.
7. C. A. Harper, Handbookof ThickFilmHybridMicroelectronics, McGraw-Hill, NY, 1974.
8. J. W. Thornell, W. A. Fahley, and, W. L Alexander, Hybridmicrocircuit designandprocure-
ment guide, BoeingCompany, NTIS, Springeld, VA, 1972, Document #AD705974.
9. Power ampliers for handsets [Online]. Available: http://www.rfmd.com, http://
www.anadigics.com, http://www.triquint.com.
10. D. Pavlidis, HBT vsPHEMT vsMESFET: whatisbestandwhy,GaAsMantechDig., 1999.
11. Thermal via farmin PCBs to improve thermal management [Online]. Available: www.
merix.com.
References 443
12. T. Lee, B. Chambers, and M. Mahalingam, Application of CFD Technology to Electronic
Thermal Management,IEEEProceedingsofthe44thElectronicComponentsandTechnology
Conference, pp. 411420, 1994.
13. Highpowerampliersforwirelessinfrastructure, broadcast[Online]. Available: ISMappli-
cations: http://www.freescale.com, http://www.nxp.com, http://www.inneon.com.
14. P. J . Schneider, Conduction Heat Transfer, Addison-Wesley Publishing Company, Inc.,
1955.
15. H. S. CarslawandJ. C J aeger, Conductionof Heat inSolids, OxfordUniversityPress, 1959.
16. W. M. RoshenowandH. Choi, Heat, MassandMomentumTransfer, Prentice-Hall, Englewood
Cliffs, NJ , 1961.
17. E. R. G. Eckert andR. M. DrakeJ r., Analysisof Heat andMassTransfer, McGraw-Hill, NY,
1972.
18. W. H. McAdams, Heat Transmission, McGraw-Hill, NY, 1954.
19. F. Kreith, RadiationHeat Transfer, International textbook, Scranton, PA, 1962.
20. R. Siegel andJ. R. Howell, Thermal RadiationHeat Transfer, Hemisphere, Washington, DC,
1981.
21. W. M. Roshenow, Ed., DevelopmentsinHeat Transfer, MIT Press, Cambridge, MA., 1964.
22. G. Leppert andC. C. Pitts, Boiling, AdvancedHeat Transfer, vol. 1, 1964.
23. Reference to thermo-physical properties for electronic packaging materials: https://
cindasdata.com.MicroelectronicsPackagingMaterialsDatabase(MPMD):Databasecontains
thermal, mechanical, electrical andphysical propertiesof electronicspackagingmaterials.
24. Good reference to material properties for the semiconducting device materials [Online].
Available: http://www.ioffe.ru/SVA/NSM/Semicond/index.html.
25. M. Mahalingam, M. McCloskey andV. Viswanathan, LowRthdevicepackagingfor high
power RF LDMOS transistorsfor cellular and3Gbasestationuse, Whitepaper, presented
at CTIA, Mar. 2003.
26. Z. Radivojevic, K. Andersson, L. Bogod, M. Mahalingam, J. RantalaandJ. Wright, Novel
materials for improved quality of RFPA in base-station applications, SemiThermSpecial
Section, IEEE Trans. Compon. PackagTechnol, 2005.
27. Technical tradeliteratureonmetal angematerialstailoredfor RFPA anges[Online]. Avail-
able: http://www.allied-material.co.jp/e; http://plansee-tms.com.
28. Data sheet on thermally conductive thermal grease [Online]. Available: http://www.
dowcorning.com.
29. Data sheet on electrically and thermally conductive interface pads [Online]. Available:
http://www.lairdtech.com/thermal.
30. Producttechnical informationonthermocouples[Online]. Available: http://www.omega.com/
thermocouples.html.
31. J EDEC Standard, EIA/J ESD512[Online]. Available: www.jedec.org.
32. D. PetermanandW. Workman, Infra-redradiometryof semiconductor devices, Microelec-
tronicsandReliability, PergamonPress, 1967, vol. 6, pp. 307315.
33. D. D. Grifn, Infraredmicroradiometry precisionandaccuracyconsiderationsapplicable
tomicrocircuit temperaturemeasurements, MaterialsEvaluation, Am. Soc. Nondestructive
Testing, Oct. 1968, pp. 215220.
34. G. Fleurn, Liquidcrystal microthermography stateof theart, Proceedings of Semitherm,
1986.
35. D. J . Brenner, A techniquefor measuringthesurfacetemperatureof transistorsbymeansof
uorescent phosphors, NBStech. note591, J uly1971.
444 RF power amplier thermal design
36. D. Blackburn, Anelectrical techniquefor themeasurement of thepeak junctiontempera-
ture of power transistors, Proceedings of the IEEE Reliability Physics Symposium, 1978,
pp. 142150.
37. S. Rubin and F. Oettinger, Thermal resistancemeasurements on power transistors, NBS
Special Publication40014, USDepartment of Commerce, 1979.
38. F. Oettinger, Thermal evaluationof VLSI packagesusingtestchips acritical review,Solid
StateTechnol., Feb. 1984.
39. Technical information: AnalysisTechswebsite[Online]. Available: (www.analysistech.com).
40. Technical information: MicReDswebsite[Online]. Available: (www.micred.com). [Online].
Available:
41. Technical information: TEAswebsite[Online]. Available: (www.thermengr.com).
42. InfraScopeII, Micro-thermal Imaging[Online]. Available: http://www.quantumfocus.com.
43. M. MahalingamandE. Mares, Thermal measurementmethodologyof RF power ampliers
[Online]. Available: AN 1955, 2004, FreescaleSemiconductor, http://www.freescale.com.
44. Technical informationonsoftwaretoperformmodelingandsimulationforThermal,Structural
andFluidanalyses[Online]. Available: www.ansys.com.
45. Technical information on software to performmodeling and simulation for Thermal and
structural analyses[Online]. Available: www.simulia.com.
46. Technical informationonsoftwaretoperformmodelingandsimulationfor Thermal analyses
[Online]. Available: [Online]. Available: www.mscsoftware.com.
47. Technical information on software to performmodeling and simulation for Thermal and
structural analyses[Online]. Available: www.cosmosm.com.
48. Technical informationonCFDsoftwareFloTHERM
R _
[Online]. Available: www.mentor.com.
49. Technical informationonCAD designsoftwareAutoCAD
R _
[Online]. Available: http://usa.
autodesk.com.
50. Technical informationonCAD designsoftwarePro/ENGINEER [Online]. Available: www.
ptc.com.
51. Technical information on ECAD design software Cadence
R _
Allegro
R _
Package Designer
[Online]. Available: http://usa.cadence.com.
52. Technical informationonECADdesignsoftwarefromMentor Graphics[Online]. Available:
www.mentor.com.
53. W. Burger, Recent advancesinLDMOStechnology, IEEE IMS2008Workshop: Advances
inHighPower DevicesandPAArchitecturesfor WirelessInfrastructure.
54. S. Drews (SEZ America), Wafer thinning, IEEE CPMT Phoenix Section, April 2007,
Technical Tutorial: Backendwafer processingtechnologies.
55. G. Hawkins, H. Berg, M. Mahalingam, G. Lewis, andL. Lofgran, Measurement of silicon
strength as affected by wafer back processing, IEEE 25th Annual Proceedings Reliability
Physics1987.
56. M. Mahalingam, M. Nagarkar, L. Lofgran, J. Andrews, D. Olsen, and H. Berg, Thermal
effects of die bond voids in metal, ceramic and plastic packages, IEEE 34th Electronic
ComponentsConference1984; Semiconductor International, CahnersPublishingCompany,
Sept. 1984.
57. M. Mahalingamand E. Mares, Infrared Temperature characterization of high power RF
devices, Proceedingsof IEEE MTT-SInternational MicrowaveSymposium, May, 2001.
58. M Yovanovich, Four decades of research on thermal contact, gap, and joint resistance in
microelectronics, IEEE TransactionsCompon. Packag. Technol., vol. 28, No. 2, J une, 2005.
References 445
59. H. Atkins, H. A. Blum, and C. J . Moore J r., Bibliography for thermal contact resistance
studies, ASME 68-WA/HT-18, Dec. 1968.
60. Product literature and design guides on heatsinks [Online]. Available: http://www.
alphanovatech.com; http://www.heat-sink.com.tw.
61. S. Peera, Basestation technology and trends, brainstorm: what arethegreatest challenges
today in deploying base stations and how can designers address it?, Feb. 2010 [Online].
Available: http://www. wirelessdesignmag.com.
62. O. Arnold1, F. Richter, G. Fettweis, andO. Blume, Power consumptionmodelingof different
basestationtypes inheterogeneous cellular networks, Proceedings of theFutureNetwork
andMobileSummit 2010.
63. McKinsey&Company, Theimpact of ICT onglobal emissions, technical report onbehalf
of theGlobal eSustainabilityInitiative(GeSI), Nov. 2007.
64. G. P. Fettweis and E. Zimmermann, ICT energy consumption trends and challenges,
Proceedingsof the11thInternational SymposiumonWirelessPersonal MultimediaCommu-
nications, Sept. 2008.
65. S. Bousnima, Maximizingefciencyandlinearity, IEEE Microw. Mag., Aug. 2009.
66. W. H. Doherty, A newhigh efciency power amplier for modulated waves, Proc. IRE,
vol. 24, no. 9, pp. 11631182. Sept. 1936.
67. C. E. Weitzel, RF power devices for wireless communications, IEEE MTT-S Dig., 2002,
pp. 285287.
68. N. Strobel, TheSunandStellarStructure,Ch. 12[Online]. Available: www.astronomynotes.
com.
10 Reliability
Bill Roesch
TriQuint Semiconductor
10.1 Introduction
Thischapter providesanoverviewof basicreliabilitytheoriesandpresentssomeexam-
pledatatoillustrateconcepts. Thethreekey reliability precepts of understandingfail-
uremechanisms, failuredistributions, andaccelerationfactors arediscussedindetail.
Various reliability test methodologies andresults arepresentedwithrespect to device
processing and circuit elements that are likely to be included in amplifying devices.
Somedifferencesbetweencompoundsemiconductor andsilicontechnologyarecovered
fromareliabilitypointof view. Additional reliabilityaspectsof fabrication, application,
anddesignarealsodiscussed. It ishopedthat theinformationpresentedhereprovides
thebasisfor establishingreliableradiofrequencypower ampliers.
Reliability isasmuchakey tosuccessinthemicroelectronicsindustry asisperfor-
mance. Not onlymust aproduct performasdesired, it must workfor yearswithout fail.
It doeslittlegoodtomaketheworldshighest efciencyamplier if after twoweeksof
operationitfails. Withthecomplexityof todaysmicroelectronics, aphenomenal level of
reliabilitymust bemaintained. For instance, if theprobabilityof failurefor atransistor
is onein a million, and you havea million transistors in a circuit, theprobability of
failureisnearlycertain. Andyet, amodernmicroprocessor or memorycircuit canhave
morethan10millioncircuit elements. Therefore, for any acceptablereliability onthe
chiplevel, todays circuit elements must beamongthemost reliablethings ever built.
In addition, reliability must continue to increase as the complexity increases and as
performanceimproves.
Thesemiconductor reliability wehaveenjoyed thus far has not comewithout con-
siderablecost. Resources havebeen expended to solvethedaunting problems facing
reliabilityengineersdesigningintegratedcircuits. Thefewwear-outfailuremechanisms
that exist (metal contact interdiffusion, hot carrier degradation, time-dependent dielec-
tricbreakdown, andelectromigration) havebecomeunderstoodwell enoughthatwecan
model theseeffectswithsomeof theadvanceddesigntools.
Weknowthecountermeasures and de-rating factors to apply in order to delay any
wear-out issuesbeyondthedevicesuseful lifewithmargintospare. However, toapply
thecountermeasures effectively, onemust understand thelimitations of thematerials
usedto manufactureICs, andwork to ensurethat products haveadequatemarginand
ahighprobability of success. Overestimatingthecapabilities of thematerials andthe
process couldleadto catastrophic results, andunderestimatingcapabilities couldlead
10.2 Vocabulary and denitions (units, goals, and strategy) 447
to overdesign which would inate costs beyond the limits of feasibility. Striking a
balancebetweenconservatismandjudicioususeof theprocesscapabilitiesisnecessary
for continuous advancements, and can only be accomplished by obtaining empirical
reliabilitydata.
Ampliers must work relatively hardcomparedtoother circuit functions. Highcur-
rents, high temperatures, high-frequency operation, high voltages, and many thermal
cycleseventuallytakeatoll. Twotypesof reliabilityissuescandegradecircuits: defect-
relatedproblemsandwear-out. Defect-relatedproblemsareinducedby manufacturing
aws, suchasamissingprocessstep, contamination, undetectedexcursions, widevari-
ation or narrow capabilities of fabrication processes. Even the best, most efcient,
semiconductor fabricationlinessuffer fromanoccasional defectrelatedevent. Wear-out
isduetothedegradationof aging, without anyinitial defectsbeingpresent.
Althoughit mayseemthat redundancyandinsensitivitytoafailuremechanismisup
tothedesigner, andthat defectsareintherealmof theprocessengineer, inrealityboth
typesof mechanismmust beaddressedbydesignersandprocessengineersalike.
10.2 Vocabulary and denitions (units, goals, and strategy)
Therearetwo key parts to adenitionof reliability. First, is ameasureof goodness
suchasconformancetostandards, meetingexpectations, or compliancetocertainspec-
ications. Typically, measuresof goodness arereplacedby denitionsof what isnot
goodenough. For example, most semiconductor reliabilityengineersspeak intermsof
failure rates instead of success probabilities. As the word rate implies, the second
major partof areliabilitydenitioninvolvestime. Expectedperiodsof goodness may
rangefromseveral secondsfor aguidancesysteminamissile, todecadesfor acommu-
nications systeminanairliner or satellite. Other signicant parts of adenitionmight
includeenvironmental conditionsanddutycycles.
A succinct denitionof reliability is aperformanceattributethat is concernedwith
theprobabilityof successor frequencyof failureandcanbedenedas:
Theprobabilitythatanitemwill performitsintendedfunctionunder statedconditions,
for either aspeciedinterval or over itsuseful life.
Another slightlydifferent denitionof reliabilityis:
Theabilityof aproducttofunctionunder givenconditionsandfor aspeciedperiod
of timewithout sufferingperformancedegradationbeyondadenedlimit.
Of course,thespecicdenitionsof eachwordwithinthereliabilitydenitioncanfurther
complicatethisdenitionof reliability. Forexample, measuringtheabilityof aproduct
is oftenaccomplishedinterms of probability as shownby theinterchangeability of
theseterms inthetwo denitions above. Likewise, theability is oftenmeasuredby
quality terminology and denitions. So, in general, reliability denitions will contain
thefollowingfour aspects:
448 Reliability
r
performanceattributessuchasability, functionality, or probability;
r
conditional attributessuchasenvironment, bias, or operational description;
r
failuredescriptionsuchasallowabledegradation, speciedlimits;
r
timecomponent of aninterval, warrantyperiod, or general lifeexpectation.
Thesimplest denitionof reliabilityis: qualityfor adurationof time.
Sothecomponentsof performance, conditions, andfailurecriteriaarewrappedwithin
asimpler encompassinglabel of quality.Sincetimeissocriticallyinvolved, reliability
is oftenmeasuredby arate. But just as quality is usually measuredinterms of rejects
(or un-quality), reliabilityisoftenmeasuredintermsof failures(or un-reliability).
Reliabilityisameasureof aproductsperformance. Toooftenperformanceisthought
of only in terms of speed, capacity, range, and other normal electrical or physical
measures. However, if aproduct failssooftenthat it isseldomavailablefor use, thenits
speed, range, andcapacityarelessrelevant.
10.2.1 Reliability goals
Reliability goalsaredeterminedwithrespect toeachof thefour componentsexpected
within thedenition of reliability. Theelements of reliability goals areshown below
withexamples:
r
performancecomponent: operationwithinspecieddatasheet parameters;
r
conditional component: at anoperatingtransistor temperatureof 150

C;
r
failure criteria: minimize or eliminate early failures. Keep the overall failure rates
below0.01%,1000devicehours(lessthan100FIT seeSection10.8);
r
timecomponent: extendtheuseful lifeof ICstogreater than20years(175,000h).
Therefore, awell-describedreliabilitygoal examplemight be:
Power ampliers are expected to operate within all data sheet parameters at an
operatingtransistor temperatureupto150

C withless thana100FIT failurerate


for a20-year lifetime.
Of course, reliabilitydenitionscouldcontainevenmoredetailsandrequirements, but
theyshouldnot omit anyof thefour elementsusedtodenereliability.
10.2.2 Semiconductor reliability strategy
Thestrategy toaccomplishandverify thereliability goals involves acceleratedtesting
of thecircuit andtheindividual buildingblocks of ICs [1]. Thesebuildingblocks are
labeledelements, andthey consist of eachmetallizationinterconnect type, resistors,
capacitors, contacts, and active elements of transistors and diodes. Element testing
is optimal for anumber of different reasons. It is essential toisolateandassess all the
variousfailuremechanismspossibleforanamplier. Elementtestingisespeciallyuseful
tothecircuitdesigner becausethedatacanbeusedtomodel thereliabilityperformance
of circuits based upon physical sizes and operating conditions of each portion of the
10.3 Failure criteria 449
design. Element tests areusedto verify, andinsomecases, modify designandlayout
rules. Thestrategyinvolvesthefollowingsteps:
1. Conductfundamental reliabilitystudiestoidentifyandmeasurewear-outmechanisms
for eachamplier process.
2. Calculate failure rates of each amplier element. Continue process improvements
until eachelementsreliabilityexceedsthegoals.
3. Model howtemperature, voltage, currentdensity, andother operational conditionsor
environmentsaffect thetimetofailureof eachelement.
4. Select themaximumratingsfor eachelement, consistent withthereliabilitygoals.
5. Verify complianceto reliability expectations withreliability qualicationtestingof
thecompletedamplier product.
6. Establish the maximum ratings and conditions with the amplier description
(datasheet).
7. Monitor amplier reliabilityandcompareresultswithreliabilityexpectationsbased
onelement predictions.
8. Re-evaluateelementsasnecessaryandlife-test circuitsasimprovementsor changes
aremadetoprocessesandproducts.
Aspartof thereliabilityinvestigationof ampliers, manyproductreliabilitytestshave
beenconductedandpublished. Outsideof transistor elements, theindividual elemental
resultsarelesspublished.
10.3 Failure criteria
The denition of a failure is one of the most subjective and arbitrary aspects in the
measurement of reliability. Whenfailuresareabrupt or catastrophic, thefailurecriteria
has little effect on the outcome. However, when degradation is gradual or graceful,
thenthecriteriausedtodecidetheamount of changeallowedcaninuencetheresults
considerably.
The selection of a measurement of interest is usually narrowed by two types of
concern. One aspect is to consider parameters that are most affected by the aging
method. For example, temperature can universally affect many types of degradation,
but current stress will usually bemonitoredby measuringresistance. Theother aspect
of degradationis to consider theparameters that aremost important for theoperation
of thedevice. For example, gures of merit for ampliers aregain, 1dB compression
point, andthetwo-tonethird-order intercept point [2]. However, efciency, noise, and
absolute power output are some of the many attributes that could be critical for any
particular application. Obviously, thedenitionof most important isdependent upon
theapplicationandtheusersopinion. For thereliability engineer, theobjectivechoice
istomonitor asmanydifferent parametersaspossible, anddeterminewhichparameter
degradesmost rapidlyunder theappliedstress.
Oncethemost rapidly degradingparameter isdetermined, thenasignicant level of
changemustbeidentiedasthecriteria. For someproducts, asimpletestof signicance
450 Reliability
Table 10.1 Example failure criteria
Mechanism Measurement Failurecriteria
General wear-out Gain >1dB decreaseingain
Power >1dB decreaseinpower out
1dB compression >1dB changeininput at 1dB compression
Transistor wear-out Channel current >20%decreaseinchannel current
Beta >20%decreaseinbeta
Leakagecurrent >10timesincreaseinreversecurrent
Breakdown >10%reductioninbreakdownvoltage
Interconnect wear-out Resistance >10%increaseinresistance
Capacitor wear-out Leakage >10timesincreaseinleakage
Capacitance >10%changeincapacitance/unit area
Resistor wear-rout Resistance >10%increaseinresistance
isthespeciedvalueor datasheet limit. Theuseof aspecicationthresholdasafailure
criterioniseasytoapplysinceitisclearlydocumentedandmostlikelyalreadypartof an
electrical measurement regimenincludedintheproduct testingroutine. However, using
a datasheet failure criteria is not a consistent gauge of degradation since the sample
populationcouldlieanywherewithinthespecicationrange. If thepopulationwasnear
thespecicationedge, thenonly aslight shift wouldbeneededtoresult inafailure. If
thepopulationhadexcess margin, andwas at theoppositeextremefromthewear-out
edgeof thespecication, thenalargechangeintheparameter of interest wouldneed
to occur beforethepopulation would begin to exceed thedatasheet limit. Thus, two
samplesfromacommonpopulationmayhavethesamerateof degradation, butdifferent
times-to-failure if thefailurecriterionisanarbitraryspecicationlimit.
Table10.1showsseveral examplesof criteriausedformechanismsandmeasurements
selections. Whatever failurecriteriaisselected, thethresholdmustbeeasilydiscernable
bytheinstrumentation. Inmostcases, itwouldbeexpectedthatmeasurementresolution
should be at least 10 times better than the failure criteria. For example, if a 1mA
changeof channel current is equivalent to the20%increasein channel current, then
an instrument capable of resolving 100 A (or less) would be needed to assess the
failurecriteria. The10 times ruleof thumb for resolving failurecriteriacan bemore
formally evaluatedwithagagerepeatability andreproducibility (GR&R) study of the
measurement instrumentation[3].
An easy method of selecting appropriate failure criteria is one that matches with
previouspublishedresults, but thebest measureof failurecriteriaisonethat correlates
tocustomer ndingsandfeedback.
10.4 Failure modes
Thefailuremodeof adeviceisadescriptionof thesymptomof failurethatisobservable
fromexternal evaluation. For example, acommonfailuremodeis output degraded.
10.5 Failure mechanisms 451
Table 10.2 Some common failure modes and mechanisms
Failuremode Failuremechanism
Reducedoutput Gatemetal sinkingonthird-stageoutput transistor Q9
Reducedoutput Electrostaticdischargedamagebetweensourceanddrainat transistor Q1
Reducedoutput Diecrackthroughcapacitor C12
Reducedoutput 17nA leakagethroughagoldlament betweenpad14andpad15
Reducedoutput Poor solder joint wettingonpad15
Themodeby itself does not providesufcient information to understand thefailure.
Themodedoes not describehowmuchdegradation, nor does it describethecauseof
the degradation. The mode is an intermediate classication that provides a common
vocabularybetweenthecustomer andsupplier. Often, thecustomer will simplydescribe
thefailureasthepartisdead.Thefailureanalystwouldprefermoredescriptivefailure
modes, suchastheoutput power begantoslowly degradeafter 10monthsof nominal
use, and failed to meet minimumrequirements in the350
th
day of use, or thegain
degradedwithuseandfailedafter longtransmitsequences thepartwouldrecover after
cool downor if mechanical pressurewasappliedtothecaseof thecircuit.
Thefailuremechanismdescribeswhatcausesthedegradationof themode, preferably
in terms of the physical location and the physics behind the degradation. Table 10.2
showssomeexamplesof failuremechanismsfor oneparticular failuremode.
Althoughthefailuremechanismmaybeamorespecicdescriptionof afailurethan
themode, themechanismisnot necessarilyadescriptionof theroot cause.
10.5 Failure mechanisms
Whether madefromcompoundsemiconductors or silicon, integratedcircuits aresus-
ceptibleto thesameclasses of failuremechanism. Failuremechanisms can generally
be differentiated into three types of physical structure: metallization, dielectric, and
semiconductor.
10.5.1 Metallization
Interconnects areexpected to beconductive, to beimmuneto electromigration, to be
bondable, to beableto adheretoother circuit layers, to resist corrosion, toformgood
contacts, and to bepatternableinto thedesired structure. Metallization failures result
fromdegradationinoneor moreof theseattributes.
Silicon technology uses aluminum(with small percentages of copper and silicon
added) almost exclusively for all metallization, which has some advantages. Adding
about2%siliconaidstheformationof directohmiccontactstothesiliconactiveregions
withoutinterdiffusionandcontactspiking. Theadditionof small amountsof copper has
becomepopular toincreasealuminums immunity toelectromigrationas metallization
featuresizesdecrease.
452 Reliability
Becauseof itsmaturity, thealuminumprocessingusedinsilicon-baseddevicesgener-
allymeetsall theabovementionedcriteriafor metallization. Therehavebeenpersistent
aluminumproblems withcorrosion, intermetallic formation, andelectromigration, but
inmodernprocessesthesehavebeencontrolled.
Compound semiconductor processing generally involves different specialized met-
allizations for ohmic contacts, Schottky gateformation, and interconnects (including
capacitor electrodes). Naturally, each of these types of metal are designed to meet
specic metallization properties, sometimes at the expense of other properties. Most
commercial compoundsemiconductor ICsemploy gold-basedmetals, principally with
titaniumand various other refractory metals. Gold/germaniumis generally used for
ohmic contacts. Aluminumhas been used for the gates of discrete power MESFETs
andfor someinterconnects, andsomeof theafore-mentionedaluminumproblemshave
beenobserved. Gold-basedconnectionsavoidthepossibility of theintermetallic prob-
lemsthatanaluminum/goldmetallizationintroduces. Gold-basedmetalshavetherefore
dominatedcompoundsemiconductor IC production.
The performance of gold metallization in terms of conductivity, bondability, and
adherence are probably about equal to that of aluminumused in silicon processing.
These have not been mentioned as problems as long as the metal systemhas been
primarily gold. Intermixingof goldandaluminumintroduces thetypical intermetallic
problemssuchaspurpleplague[4].
Next, metallizationsareexpectedtohaveanimmunitytoelectromigrationandresis-
tance to corrosion. Tests on aluminumand gold metallized ICs have indicated sus-
ceptibility toboththesemechanisms. Assilicongeometrieshavedecreased, aluminum
electromigrationissueshavebeenalleviatedby useof copper metals. However, copper
is muchmorereactiveandsusceptibleto corrosion, so protectivemetals areaddedto
surroundthecopper andprevent reactionswithsubsequent processchemicalsandwith
moist environments. Becauseof theincreasingpriceof goldandbecauseof theadvent
of copper bump technologies, somecompound semiconductor metallizations arealso
convertingtocopper. Electromigrationandcorrosionarenot consideredtobeprimary
failuremechanisms for gold interconnects but gold corrosion has been discovered in
highlyacceleratedhumiditytesting. However, goldcorrosionhasnotbeenreportedafter
yearsof normal elduseinnonhermeticpackages.
Metallizations should also be fairly inert with respect to other materials used in
theprocessing. Aluminumprobably has theedgein this category. Even though gold-
GaAs interdiffusion is essential in forming ohmic contacts, too much interdiffusion
of gold, either on the ohmic contacts or the Schottky gates, is the primary wear-out
mechanismin GaAs ICs. This interdiffusion may be at a controlled state, but the
variety of existing metal schemes and process techniques would indicate there is no
single, superior answer to the interdiffusion problem. Some gate metallizations are
beingproducedfromrefractorymetalswhichcanwithstandhightemperatureswithout
interdiffusion. But Au-Ge-typeohmic contacts, whicharesusceptibletointerdiffusion
after longhigh-temperatureexposures, arestill inwidespreaduse. Copper isalsoafast
diffuser andwouldbedetrimental toall semiconductor contactsif not separatedby the
moistureanddiffusionbarrier metalsthat accompanyitsuse.
10.5 Failure mechanisms 453
Pattern denition of themetallization is performed differently for each of themet-
allizations. Because of the history of processing the aluminummetal used in silicon
devices, dry etching is usually done on all layers. Compound semiconductor metals
areusually formed by aliftoff process, at least at theohmic, gate, emitter, base, and
collector contact levels. Upper layers may beetchedor plated, but sometimes liftoff is
usedforall metal layers. Liftoff islesscomplicatedthantheetch-backprocessbecauseit
usuallyrequiresnoetchingsteps, but liftoff isaprocedurethat requirescareful control.
Both gold and copper are difcult metals to etch. Most copper patterning on silicon
devices uses achemical mechanical polishing(CMP) process to achieveplanarization
andpatterningof their ever-decreasingfeaturesizes.
So, aluminum, gold, andcopper metallizations eachhaveadvantages anddisadvan-
tages, but all threehavebeenproventohaveadequatereliabilityfor useindevicesand
ICs.
10.5.2 Dielectric
Thenext classicationof failures is relatedto dielectrics. For themost part, materials
usedtoformdielectricsarequitesimilar, but their requirementsarenot. Siliconhasthe
advantageof an ability to growastable, high-quality oxidewhich is used principally
to formMOS gates and surface dielectrics. The silicon oxide is also used to isolate
individual transistorsandtoformMOScapacitors. Abovethesurfaceof silicon, layers
of nitridearegenerally usedfor interlevel dielectrics. Compoundsemiconductorshave
nouseful oxide, thereforesiliconoxideisoftenusedinprocessingasacappingmaterial
or sometimes for interlevel dielectrics. Silicon nitride is the dielectric usually used
in metal-insulator-metal (MIM) capacitors. Silicon nitride is also often used as the
interlevel dielectric. Theusual formof depositionis plasmaenhancedchemical vapor
deposition(PECVD). Thesiliconindustry hasdevotedmuchwork tothedevelopment
of dielectrics becauseof their importanceingateformationandisolation. Compound
semiconductorsuppliershavetakenadvantageof thisworkeventhoughtherequirements
of the dielectric are much more forgiving, because Schottky rather than MOS gates
are used and the compound semiconductor substrates are self-insulating. For some
compoundsemiconductorprocesses,interlevel dielectricsarenotneededsinceairbridges
aresupportedabovethebaseinterconnectandcontactlayers. Exceptforcapacitors, some
ICscouldconceivablybeconstructedwithout anydielectricat all.
Thespecial challengesof power amplier performancemakethedielectric acritical
considerationfor silicondevices. Thegatedielectrics arecontinuingto get thinner as
silicongeomtriesshrink. Thereisanumber of gatedielectric degradationmechanisms
that need to be considered in the design of a CMOS power amplier and each can
degrade during amplier operation. There are three predominant degradation mech-
anisms that can result in silicon dielectric failure. Hot carrier injection (HCI) is the
predominant degradationmechanisminNMOSdeviceswhereasnegativebiastempera-
tureinstability(NBTI) dominatesinPMOSdevices. Inaddition, PMOSdevicescanalso
experienceHCI. Time-dependent dielectricbreakdown(TDDB) isafailuremechanism
duetocatastrophicfailuresof thetransistor gatedielectricresultinginahardfailureof
454 Reliability
thedevice. TDDB failuresareclassiedintwomaincategories: intrinsic andextrinsic
failuremodes. Theextrinsic modeis afunctionof thedefects intheoxideduringthe
fabricationprocess. Usually, it resultsininfant mortality typesof failureat arelatively
lowelectric eld of 24 MV/cm. Theintrinsic TDDB modecorresponds to thetech-
nology capability andisafunctionof thetransistor or capacitor designandalsoof the
dielectriccompositionandquality. Inthenewprocesstechnologies, theintrinsicfailure
modeoccursfor anelectriceldstrengthof >10MV/cm.
As one might expect, a variety of failure mechanisms affect this wide variety of
dielectricmaterials, but themost important failuremechanismsarerelatedtoinsulated
gatesandcapacitors.
10.5.3 Bulk substrate material
Thenal general physical categoryof failuremechanisminvolvesthesubstratematerial.
Thedifferenceinresistanceandmobilitygivescompoundsemiconductorssomeperfor-
manceadvantagesinthisareacomparedwithsilicon. Thesemi-insulatingpropertiesof
compoundsemiconductorshave, for themost part, eliminatedrst-order problemswith
isolationandlatch-upwhichareconstant nuisancesfor silicon. However, thecomplex-
ities of compoundsemiconductor epitaxial layers maketheir transistors susceptibleto
traps, andcircuitinteractionscalledbackgatingor sidegatinghavebeendiscovered.
Semi-insulationmaynotbequiteenoughtopreventsubstrateconductionfor microwave
and radio frequency circuits, but many performance issues are signicantly reduced
comparedtosilicondevices. Of thethreephysical classicationsfor failuremechanism,
thesubstrateisarguablytheleast signicant for reliabilityissues.
10.5.4 Schottky gate FET failure causes
The primary failure mechanisms for silicon technology generally involve gate oxide
integrity, electromigration, corrosion, and isolation while galliumarsenide problems
center aroundinterdiffusionof metallizations. TheFET ismostoftenthecenter of atten-
tionincompoundsemiconductor circuits. Testinghas revealeddegradationof channel
resistanceanddecreasingchannel currentasclear failuremodesof metal semiconductor
FETs (MESFETs) and high-electron mobility transistors (HEMT) at the end of their
expectedlifetimes.
Early GaAs MESFETs suffered fromdirect channel burn-out. The shorting of the
channel fromgate-to-drain, gate-to-source, anddrain-to-sourceresultedfromalack of
consistent processingandpoor substratematerial. Morerecent studies havefoundthis
shorting to occur only when MESFETs are overstressed in terms of current density,
voltagebreakdown, and/or excessivepower dissipation.
Another reportedfailuremechanismwhichcouldoftenaccountfor increasedchannel
resistanceanddecreasedsaturationcurrent was ohmic contact degradation. Interdiffu-
sionof galliumandgoldwasaproblemfor manufacturersafewyearsago. Thisinter-
diffusionoftencausedincreasedeffectivechannel resistanceandcontact degradation.
AsmanufacturersstandardizedonAu-Ge-Ni ohmicmaterials, insteadof incorporating
10.6 Failure distributions 455
Cr or In, this problemsubsided. Anecdotal evidence also seems to suggest that the
depositiontechniqueisalsoakeytostableohmiccontacts. Depositionof ohmicmetals
seriallyindistinctstackshasreportedlybeenmorestablethanohmiccontactswhichare
depositedsimultaneouslyor fromaprecombinedsourceof metals.
As compoundsemiconductor development has progressed, theohmic contacts have
becomelessof aproblem. InvestigationsintoFET degradationonadevicephysicslevel
hasfoundthatchangesinpinch-off and/ortransconductanceoftenoccurattheendof life
andcannotbeexplainedbyohmiccontactdegradation. Analysisgenerallyalsoindicates
aneffectivereductionof carrier concentrationinthechannel of theFET. At least three
possiblemechanismscouldberesponsiblefor thispredominant failuremode. Changes
inthecarrier concentrationof theFET canbecausedbycompensationfromgateatoms,
diffusionof carriersoutof thechannel, or effectivereductionof thechannel depthbythe
encroachmentof thegate. CompensationcanbemeasuredbyDLTS(deeplevel transient
spectroscopy). Diffusioncanbeassessedby theeffects of bias duringlife-testing, and
movement of thegateintothechannel canbeconrmedby Auger or other destructive
analytical techniques.
The effects of burn-out, ohmic contact degradation, carrier compensation, carrier
diffusion, or gatemetal interdiffusion is specically dependent on themanufacturers
process. It ispossiblethat several mechanismsat worksimultaneously.
10.6 Failure distributions
Oneof thekeystounderstandingreliabilityisanunderstandingof failuredistributions.
Any particular sampleof devices will haveauniquetypeof failuresignaturefor each
mechanism that causes degradation. Analysis of these distributions is necessary to
characterizeeachtypeof failuremechanism, andtoeventuallypredictexpectedlifetime
for all therelevant environmental conditions.
Separation of failuredistributions is not always easy. When multiplefailuremech-
anisms arecausing degradation, it may beeasier to physically separatetheeffects by
breakingdownacircuitintoeachof itselements. Testingof individual transistors, capac-
itors, andresistorscanexposeasinglefailuremechanismthat iseasier toanalyzethan
ahodge-podgeof factors causing ICs to degrade. However, further breakdown of the
individual elements into evensimpler individual contacts andinterconnects, etc., may
helptoreveal moreinsight intothecauseof theproblem.
Themeasurement of reliability for semiconductors generally involves failurerates.
Traditionally, several classicationsof failuretypeshaveresultedfromthefailureexpe-
rience of large systems during their use. This experience is commonly translated to
thebathtubcurve [5] showninFigure10.1. Whether measuringcomputers, automo-
biles, or evenhumanlifetimes early, random, andwear-out failuretypes aregenerally
expected.
Over manyyearsexperience, andacrossawidevarietyof mechanical andelectronic
components, machines, andsystems, peoplehavemeasuredempirical populationfailure
rates as afunctionof time. If thepopulationis largeenough, eachstudy will produce
456 Reliability
Figure 10.1 Traditional reliabilitybathtub curve.
Figure 10.2 Humanmortalityrates(in%) for malesandfemalesintheUSA c.1990.
Source: Age-specicdeathratesfromthehumanmortalitydatabase(HMD) from
http://www.mortality.org.
resultswhichmimic thehumanresultsshowninFigure10.2. andaregeneralizedby a
graphwiththeshapeof abathtubshowninFigure10.3. Becauseof thesimilarities in
shapeof thesefailureratecurves, thecurvehasbecomewidelyknownastheBathtub
curve.
Theinitial region that begins at timezero when a customer rst begins to usethe
product is characterized by a high but rapidly decreasing failure rate. This region is
knownastheearlyfailureperiodandisalsoreferredtoasinfant mortalityperiod(from
theactuarial originsof therst bathtubcurveplots). Burn-incanbeusedasameansof
weedingout infant mortalitiesandthisisdiscussedinAppendix10.1.
10.6 Failure distributions 457
Figure 10.3 Alternategeneralizedbathtubcurvecomposedof adefective failuredistribution
andawearout failuredistribution. Thecombineddistributionsresult inapopulationexhibiting
thetraditional bathtubshapedfailurerate.
Next, some systems have a period where the failure rate levels off and remains
roughlyconstant(andhopefullyverylow) for themajorityof theuseful lifeof theprod-
uct. This longperiodof alowfailurerateis usually identiedas therandom failure
period, and is sometimes called the stable failure period. The randomfailure period
characterizestheuseful lifeof thesystemwherethelowest failurerateoccurs. Random
failuresmaybecausedbyinfant mortalityfailuremechanismswhichhadextraordinary
long lives or by devices which wear-out prematurely. Randomfailures may also be
causedby misapplicationof devicesor by latent damagecausedduringmanufacturing
and use. Additional application problems have been noted, such as electrostatic dis-
chargeandfailuretoadequatelyheatsinkhigher power devices. Aswithinfantmortality
failures, inherent randomfailureseventuallyachieveundetectablylowlevelsduringthe
maturationof anewtechnology.
Finally, if units fromthebathtub curvepopulation remain in uselong enough, the
failureratebegins to increaseas materials wear out anddegradationoccurs at anever
increasing rate. This is known as the wear-out failure period. Gradual degradation
in device parameters is typical for amplifying devices when subjected to extremely
acceleratedlife-testconditions. Occasionally, thisdegradationleadstofunctional failure,
but in all cases, catastrophic failure mechanisms are rare except when devices are
overstressed, either byapplicationor design.
For thesystemdesigner, early, random, andwear-outfailurerisksmustbeconsidered
fortheselectionof eachcomponent. Theinfantandrandomfailureperiodsaredependent
ondeviceprocessing, testingandscreening andthoserisksshouldabateastechnologies
mature.
It is debatableas to whether thebathtubcurverepresents asingledistributionor an
accumulationof multipledistributions. Whenexaminingsemiconductorsunder various
acceleratedagingmethods, theearlyandwear-out distributionsareoftendistinguished.
Ideally, theburn-inconditionswouldexclusivelyacceleratetheearlyfailuremechanisms
withoutshorteningtheuseful lifeof thedevicebyacceleratingthewear-outmechanisms.
458 Reliability
Typical FET Curve After 4380hrs at 260 C
I
dss
= 19 mA
I
dss
= 94 mA
Aged
FET 3
Baseline
FET 3
10 mA
500 mV
200 mV
g
m
= 50 m
per div.
g
m
= 50 m
per div.
per step
10 mA
500 mV
200 mV
per step
R
ds
= 43.75 ohm R
ds
= 8.4 ohm
V
p
= 0.818 V V
p
= 1.310 V
Reference Device (time = 0 hours) Extreme Wearout after 26 week life test.
Figure 10.4 Electrical characteristicsof MESFET degradation.
However, if thewear-out mechanisms havemarginto spare, thentheeffect of burn-in
maybenegligible.
For semiconductors, thefailurerates of thebathtub curves aredepicted with alog
scale. Theconstantfailurerateregionmaybesimplythesumof thetailsof theearlyand
wear-outdistributions. Itisexpectedthatsystemsspendmostof theirlifetimesoperating
inthis very lowfailurerateportionof thebathtubcurve, so it is sometimes calledthe
intrinsic region. Thewear-out failuredistributions shouldnot bedetecteduntil after
the warranty lifetime of the product unless some formof accelerated life-testing is
employed.
10.7 Acceleration factors
10.7.1 Thermal acceleration
Oneof theprimary failuremechanismfor FETs is sinkinggates. Sinkinggates are
causedbygatemetal interdiffusionintothechannel. Thisinterdiffusionproducesshifts
inseveral MESFET parametersastheeffectivechannel thicknessisreduced. Thelargest
parametericchangecausedbygatesinkingisinchannel current.Therefore,a20%change
in channel current may bearbitrarily selected to deneaFET failure. In addition, as
thegatesinks, channel resistanceincreases andthemagnitudeof thevoltagerequired
to pinch-off aFET is reduced (this usually means pinch-offs aremorepositive). The
sinkinggatefailuremechanismisgradual rather thanacatastrophicfailuremechanism.
It is also self-limitinginasensebecauseas thechannel current decreases so does the
power intheFET andthus thetemperatureis lowered; causingthegates to sink more
slowly. An example of the electrical and physical effects of extreme gate sinking is
showninFigures10.4and10.5.
Figure10.4 shows thecurvetracer characteristics for atypical MESFET and for a
MESFET after exposure to 4380 h at 260

C under bias and RF stimulus. Identical
10.7 Acceleration factors 459
Figure 10.5 Focusedionbeam(FIB) cross-sectionsof reference(left) anddegraded(right)
MESFETssubjectedto260

C lifetest. Gatemetal widthisapproximately0.5mm.


Note: theelectrical parametersof thesetwoFETsmatchthoseinFigure10.4.
degradation was observed at the same temperature without bias or RF drive. This
degradation is well beyond the 20% change failure threshold, but it was purposely
inducedtoensurethatanaccompanyingphysical changecouldbedetected. Thephysical
changewhichcausedthiselectrical changeisshowninFigure10.5. Aswiththeelectrical
data, avirgingateisshownasareference. Bothof thesecross-sectionsweremadeusing
afocusedionbeam.
The movement of the metal gate at the semiconductor surface is dramatic on the
degradedtransistor. Somevoidingisalsopresentinthedegradedgate, probablybecause
of themassof material whichhasmovedintothetransistor channel. Thisisanextreme
failure which resulted in a 2.2 dB change in the insertion loss of the MMIC, but
remember, this occurred at 260

C. In asimilar life-test at 250and 225

C, less than
0.8 dB and 0.08 dB changeoccurred, respectively, for thesame4380 h test duration
andsimilarly stressedMMICs. Operationat themaximumratedtemperature(150

C)
wouldbeexpectedtoexceed2000yearsbeforea1dB changecouldbeobserved. This
expectedlongevity of sinkinggatesisacceptableintermsof commonreliabilitygoals,
andisnot consideredasathreat todevicelifetimesunder normal operatingconditions.
To further analyzethesinkinggatemechanism, anexampleof individual transistor
life-testing will beused. Thechanges in channel current during asix month, 245

C
life-test areshowninFigure10.6. Anarbitrary failurecriterionof a20%I
ds
reduction
waschosenbecauseintheampliersusingthistransistor, a20%dropinchannel current
resultedina1dB dropinoutput power.
AsshowninFigure10.6, thetimetofailureisnot constant. Infact, nofailuresoccur
inthis sampleuntil 1700hhaveelapsed. Thetimes to failurefollowadistributionin
time.
If time is plotted on a log scale and failure accumulation on a normal scale, then
astraight lineresults, and then adistribution can becharacterized with just two sim-
pleparameters, apoint and aslope. Theresult of plotting thetimeto failuredatain
Figure10.9isshownonalognormal plot inFigure10.7.
The rst parameter used to characterize this distribution is the median life (ML).
It is determined by thetimeelapsed to causehalf of thesamples to becomefailures,
or approximately 4770 h for this life-test. The median life is a point selected as a
convenience, it has no particular signicance in terms of the distribution, but it is a
popular conventiontoselect themedianlifesincethereisnozeroonthenormal scale
460 Reliability
+10
0.0
10
20
30
40
0.5
Failure Criteria
245 C Life Test
1.0
Stress Duration (Thousands of Hours)
D
e
g
r
a
d
a
t
i
o
n

(
%

C
h
a
n
g
e

i
n

I
d
s
s
)
1.5 2.0 2.5
Figure 10.6 Degradationinchannel current for a245

C FET lifetest. A total of 24individual


transistorsweretestedfor 6months, onlythe12fastest degradingsamplesareshownherefor the
rst 2600hof theacceleratedlifetest.
100,000
10,000
1,000
100
10
1
10%
Cumulative Failure Percentage
T
e
s
t

T
i
m
e
(
H
o
u
r
s
)
50% 90%
Normal Scale
245 C
M
e
d
i
a
n

L
i
f
e
S
i
g
m
a
Figure 10.7 Lognormal plot of timetofailurefor a245

C FET lifetest.
soanaxisintercept isnot possible. Duringconversionsandmathematical curve-tting,
the 50% failure point becomes the intercept because the normal scale is symmetric
about it. Oncethemedianlifeis selectedas areferencepoint, thedistributioncanbe
fully described with a slope parameter, called sigma. Sigma is sometimes called the
distributionsshapeparameter andit physicallydenesthespreadof thedistribution.
Thesigmafor thedatainFigure10.7is 0.90. Largesigmas (highslopes) indicatethe
distributiongeneratesfailuresover arelatively longspanof thetest. For any particular
failuremechanism, thesigmasshouldbesimilar, regardlessof thestresstemperatureor
resultingmedianlifetime.
Inordertopredictlifetimesatlowerstressi.e., lowertemperature, thentheacceleration
factor of thestressmust bedetermined. By commondenition, theaccelerationfactor
isthemedianlifeat lowtemperaturedividedby themedianlifeat higher temperature.
10.7 Acceleration factors 461
100,000
10,000
1,000
100
10
1
10%
Failure Criteria:
20% I
dss
Change 245 C
260 C
275 C
290 C
310 C
50%
90%
Cumulative Failure Percentage
T
e
s
t

T
i
m
e
(
H
o
u
r
s
)
Biased at I
dss
Figure 10.8 Lognormal plot of degradationinchannel current for veFET lifetestsat different
temperatures.
Accelerationfactors for thermally acceleratedfailuremechanisms aretypically found
tofollowtheArrheniusequation.
AccelerationFactor = e
_

Ea
K
_
1
T
2

1
T
1
__
(10.1)
whereE
a
is Activation Energy (eV), K is Boltzmanns constant (8.6142310
5
eV),
andT isabsolutetemperature(K).
Themedianlivesaredeterminedbythemethodologydescribedintheprevioussection
(using thelognormal distribution) and thetemperatures arethelife-test temperatures
(convertedtoK). Theremainingunknownvalueistheactivationenergy. Withjust two
temperatures, the activation energy can be determined by direct substitution. If life-
tests areperformed at morethan two temperatures, then theactivation energy can be
determinedby performingaleast squareslinear t asshowninthefollowingexample.
Figure 10.8 shows the lognormal failure distributions for life-tests conducted at ve
different temperatures of 245, 260, 275, 290, and 310

C. Theseareall plotted using


the failure criteria of 20% change in channel current caused by the sinking gate
mechanism.
Whereas thetransistors couldlast 4770hat 245

C, they aredegradingbeyondthe
20%limit inonly 7.4hat 310

C. Thus, lifetimeisreducedby morethanthreeorders


of magnitudefor atemperatureincreaseof just 65

C. It is clear that thesinkinggate


mechanismishighly acceleratedby temperature. Figure10.9showsagraphof median
lifeversus junctiontemperatureusingaleast squares linear t. Theactivationenergy,
whichinthiscaseis2.56eV, istheslopeof thislinedividedbyK.
Comparedtofailuremechanismsfor silicondevices, whichhaveactivationenergies
from0.3to1.2eV, thisactivationenergyisextremelyhigh(remember, activationenergy
is anexponential term). Higher activationenergy implies faster degradationinMTTF
with increased temperature. Although high, it is not unexpected since the measured
activation energy for the diffusion of Au and Ti in GaAs is 2.64 eV and 2.93 eV,
462 Reliability
Biased at I
dss
Failure Criteria:
20% I
dss
Decrease
75
1 Hour
10
10
2
10
3
10
4
10
5
10
6
10
7
10
8
85 100 125 150
Temperature (C)
M
e
d
i
a
n

L
i
f
e

(
h
o
u
r
s
)
2.56eV
175 200 225 250 300
1 Day
1 Week
1 Month
1 Year
10 Years
100 Years
1K Years
10K Years
Figure 10.9 Arrhenius-styleplot showingmedianlifetimeversustemperatureextractedfrom
Figure10.8.
respectively, which is similar to the activation energy of sinking gates. Very similar
resultshavebeendemonstratedfor variousFET devices, includingpHEMTs.
Nowthat amethodof determiningthermal accelerationfactorshasbeendened, the
previous question about predicting when failures will occur can be addressed. If the
maximumspeciedoperatingpeak hot spot temperatureof aFET inapower amplier
applicationis limitedto 150

C, thenFigure10.9shows theprojectedmedianlifefor
FETsat150

Cisabout 1billionhours, or 114,000years! Thispredictionindicatesthat


thesinkinggatefailuremechanismis not likely inneedof improving, at least for the
next fewthousandyears.
Onereasonto usesucharobust exampleto describedistributions andacceleration
factors is to further delineate the difference between accelerated failure mechanisms
andnatural failuremechanisms. Theacceleratedtest will oftenndthewear-out failure
mechanismfor therespectiveacceleratedconditions, but thecustomer will experience
failures causedby defects. Wewouldnot expect customers to ever seeasinkinggate,
andinfact theyhavenot.
10.7.2 Current acceleration
Oneof theprincipal wear-out failuremechanismsiselectromigration. Electromigration
isthemasstransportof ametal duetothemomentumtransfer betweenconductingelec-
tronsanddiffusingmetal atoms. Discoveredmorethan100yearsago, electromigration
becameaconcernonlywhentherelativelysevereconditionsnecessaryfor operationof
integratedcircuitsmadeit painfullyvisible. Althoughelectromigrationexistswhenever
current ows through a metal wire, the conditions necessary for electromigration to
beaproblemsimply do not exist onamacro scale. Inbulk wires, suchas thoseused
for homeappliances, themaximumcurrent densityisabout 10,000A/cm
2
Anycurrent
densitythat exceedsthisvaluewill produceenoughheat tomelt ametal wire. However,
10.7 Acceleration factors 463
thedrivingforcefromelectrons collidingintodiffusingmetal atoms wouldbeinsuf-
cient tomakeelectromigrationasignicant problemat thosecurrent densitiesusedfor
industrial power distribution.
All of this changedin1966whentheintegratedcircuit was invented. Electromigra-
tionwas rediscoveredby semiconductor engineers. Incircuits, electricity is conducted
by interconnectsthat areminiaturethin-lmstripesthat arecapableof dissipatingheat
fromvery highcurrent densities. Thin-lmconductorscanwithstandcurrent densities
at least twoordersof magnitudegreater thantraditional bulkwires. Thisallowscurrent
densities of nearly 10
6
A/cm
2
without building-up enough heat to melt theintercon-
nect. At current densitiesabove10,000A/cm2, electromigrationbecomesasignicant
degradationmechanism.
Therstintegratedcircuitswereconstructedwithmetal linesmadeof purealuminum,
amaterial withalowmeltingtemperature, whichimpliesfast diffusionat lowtempera-
tures. Thin-lmconductorsonthesemiconductor scalecontainsmall grainswithmany
grainboundariesthat canbeconduitsfor evenmorerapiddiffusion. Thiscombination
of highcurrent density andfast diffusionat lowtemperatures was disastrous for early
circuits. Semiconductorsweresupposedtobeveryreliablecomparedtovacuumtubes,
but whentherst ICswereplacedintoservice, they failedwithinweeks. Theshock to
theindustryforcedeveryonetobecomeanexpertinunderstandingtheelectromigration
failuremechanism. Sincethoseearlydaystheelectromigrationmechanismhasnotgone
away, but it isnowunder control.
Electrons ow through a metal lmand collide with metal atoms. The collisions
produce a force frommomentumexchange on the metal atoms in the direction of
electron ow for n-type materials (opposite for p-type materials). This force causes
themetal atoms to moveandthis is termedelectromigration. Electromigrationis only
signicant at high current densities. The magnitude of the electromigration force is
proportional tothecurrent density, but Black [6] showedthat electromigrationfailures
haveaninversesquaredependenceoncurrent densityJ rather thantheexpectedpurely
inversedependenceandthat it isgivenby
t
50
= AJ
2
e
(
LH
kT
)
(10.2)
wheret
50
is themediantimetofailureinanensembleof samples, A is aconstant that
needstobeempiricallydetermined, andLHistheactivationenergyforfailure. Equation
(10.2) isknownasBlacksLawandhasproventobeadequateeventothepresent day.
Toensurethatelectromigrationfailuredoesnotoccur intheeld, weneedtolimitthe
current densitysuchthat electromigrationfailurewill not becomesignicant until long
after theprojecteduseful lifetimeof thecircuit. Thisisafunctionof notonlythecurrent
densityinthemetal linesandcontacts, butalsoof temperatureandother variables, such
asinterdiffusion, mechanical stressandthegrainstructureof theconductor.
Interconnects andresistors alsosuffer fromelectromigrationbut thedependenceon
currentdensityisnolongerapureinversesquarelawrelationship. Thus, Blacksequation
isgeneralizedto
medianlife=
Area
J
n
e
(
Ea
kT
)
(10.3)
464 Reliability
Plated
Gold
250 C
Ohmic Contact
203 C
Current Density (M Amps/sq. cm.)
M
e
d
i
a
n

L
i
f
e

(
H
o
u
r
s
)
0.1
1
10
10
2
10
3
10
4
10
5
10
6
1.0 10
NiCr Resistor
200 C
Deposited
Gold 300 C
n=4
n=3.5 n=1.5
n=3
Figure 10.10 Current densityaccelerationfactorsfor examplemetalsusedinampliers. Slopeis
thecurrent densityexponent identiedasn inequation(10.3).
120
100
80
60
40
20
0
0 2000 4000 6000 8000
40
30
20
10
0
0 2000 4000
Time (Hours) Time (Hours)
I
c

(
m
A
)
I
c

(
m
A
)
J
c
= 101 kA/cm
2
J
c
= 34 kA/cm
2
6000 8000
Figure 10.11 L-BandHBT biasedhigh-temperatureoperatinglifetest degradationat ajunction
temperatureof 250

C andat twodifferent current densitiesfor transistor sampleshaving


2.245m
2
activearea.
where J = current density, n = current density exponent, Ea = activation energy,
K = Boltzmannsconstant, andT = temperature.
J ust as with the Arrhenius equation, the electromigration effects on lifetimes can
bedeterminedusingagraphical approach. Figure10.10shows thegraphedresults for
several examplemetallizationslife-testedwithdifferent current densitiesapplied.
Wecanfollowthesamefailuredistributionandaccelerationfactorgraphingtechnique
for electromigration as wedid for themetal interdiffusion mechanismof thesinking
gateand extend theeffect of current density into therealmof transistors. Many pub-
lishedresults onHBT ampliers havedemonstratedthat collector current density has
asignicant impact ontransistor degradation. Most HBT suppliersrecommendcurrent
densitylevelsbetween20KA/cm
2
and50KA/cm
2
[7]. Degradationdatafor anL-Band
HBT amplier isshowninFigure10.11.
Plottingthetimetofailureversusthecollector current usingthedatainFigure10.11
resultsinthelognormal plotsof thedegradationdistributionsshowninFigure10.12.
Finally, themediantimes to failurefor eachHBT life-test doneat different current
densities as determined fromFigure 10.12 will produce an estimate for the current
densityexponent if plottedagainst current densityasshowninFigure10.13.
10.7 Acceleration factors 465
10000
1000
100
10
2 10
Cumulative % Failure
T
i
m
e

(
H
o
u
r
s
)
T
i
m
e

(
H
o
u
r
s
)
Cumulative % Failure
30 50 70
T
j
= 250 C
J
c
= 101 kA/cm
2
T
j
= 250 C
J
c
= 34 kA/cm
2
90 98
100000
10000
1000
100
2 10 30 50 70 90 98
Figure 10.12 250

C Lognormal failuredistributionsof L-BandHBT biasedHTOL degradationat


twodifferent current densities.
10
n=1.5
HBT at 250 C
Collector Current Density (K A/cm
2
)
M
e
d
i
a
n

L
i
f
e

(
H
o
u
r
s
)
1
10
10
2
10
3
10
4
10
5
10
6
100 1000
Figure 10.13 Current densityexponent determinationfor 250

C L-BandHBT biasedHTOL
degradation.
This plotting analysis exercise using Figures 10.11 to 10.13 demonstrates several
important results. First of all, current density is an accelerating stress that degrades
transistors in asimilar way to interconnect metals. Second, HBT transistor reliability
maybepredictedbymultipleappliedcurrent densitiesat constant temperature. Finally,
HBT current handlingcapabilities areabout anorder of magnitudeless thanthoseof
interconnectsforroughlythesametemperatures. Thesendingsmightindicatethateven
thoughHBTsareacceleratedbythermal failuremechanisms, current density may bea
moreuseful accelerationtool for measuringthereliabilityof thesetransistors.
10.7.3 Voltage acceleration factors
(MIMcapacitorsareanimportantcomponentof anyRF circuit. Assuch, their longterm
reliabilityisanintegral part of theoverall dependabilityof anRF device. However, the
acceleratingstressfor capacitorsisalmost entirely voltage. Sounlikeelectromigration
466 Reliability
degradation, theeffect of temperatureasanacceleratingstressisalmost negligible. By
employingthemethodof rampedvoltagetesting, almost anycapacitor structurecanbe
usedtoassessdielectricreliability. However, tomeasuredefectdensity, larger capacitors
arepreferred. Theexpected failuremechanismfor MIM capacitors is determined by
measuringtheTDDB.
Ramped voltagetesting is a viableprocess monitoring methodology which can be
easilyandeffectivelyrealizedbyon-wafer probing. Althoughthindielectricsareusedas
gatedielectricsinsilicontechnologies, for themost part siliconnitride(SiN) capacitors
with lms less than 100 nmthick are uncommon in the compound semiconductor
industry. However MIM capacitor thicknesses areexpectedto shrink, albeit at amuch
slower ratethangatedielectrics.
For thisexample, wewill examinea50nmthicksiliconnitridecapacitor. Thebottom
and top plates of these capacitors generally consist of sputtered gold metallizations.
The bottomplate is built using the rst local interconnect layer while the top plate
is arestricted metal layer used solely for capacitors. Thesilicon nitrideis aPECVD-
depositedlmwithadielectricconstant of 68. Thesethin-lmcapacitorsallowhigher
capacitanceinasmaller chiparea. Whilethinner nitridelmsarebenecial for on-chip
density, they set a higher bar for manufacturability and long-termreliability. It then
becomes essential to continuously monitor thequality of thinner nitridelms andthe
over all capacitor fabricationprocess.
Siliconnitridelmsareoftencategorizedbytheir correspondingbreakdownvoltage.
Different lms have different breakdown voltage characteristics. In general, thicker
nitridelmsexhibit higher breakdownvoltagesandarethusmoreforgivingof process
andlmvariations. ThinMIM capacitors aremoresusceptibleto deviations fromthe
normal lmquality as well as defectives introduced by the fabrication process. The
robustness of a nitride lmis generally characterized by an associated TDDB graph
[810]. This is often used to predict a capacitors lifetime at a given voltage. Many
publicationsoncapacitor reliabilityhavedemonstratedtheuseof bothconstant voltage
andramp-to-breakdownmethodsinsiliconnitridelifetimestudies.
The capacitance per unit area and leakage current at a set voltage are the typical
parameters used as a gure of merit for MIM capacitors. The voltage-ramping of a
capacitortobreakdownprovidesameansof monitoringcapacitorquality, defectdensity,
and allows TDDB analysis to predict MIM lmrobustness. Ramped TDDB studies
are an accepted method of benchmarking capacitor reliability in todays compound
semiconductor industry.
Thecapacitorsusedweremanufacturedusingamaskset withMIMsof varioussizes
rangingfrom110
3
m
2
to810
5
m
2
. Therewere6100MIMcapacitorsonawafer
that could beindividually probed and voltageramped. Exampleramping results on a
population of capacitors is shown in Figure 10.14. When the results are ordered or
plottedonaprobability axis, thepopulationof intrinsicandextrinsicdevicesisclearly
obvious. Failureisdenedtobewhentheleakagecurrent exceeds 10mA. Thistest is
destructive. Voltagerampingisapowerful tool intheidenticationandclassicationof
capacitor defects.
For the ramp to breakdown measurements on large area capacitors, a ramp with
voltage steps of 1 V was selected for convenience. The ramp rate was then adjusted
10.7 Acceleration factors 467
110
100
90
80
70
60
50
40
30
20
10
0
0 5 15 20
Extrinsic capacitor
population
(Defect-driven)
O
r
d
i
n
a
l
10
Intrinsic capacitor
population
(Good Capacitors)
25 K um
2
Capacitor
Process Variation
Defect Density
Capacitor Fail Voltage (Volts)
O
r
d
e
r
e
d

C
a
p
a
c
i
t
o
r

D
a
t
a
25 30 35 40 45 50 55 60
Figure 10.14 Ramptofailuredataonapopulationof 25,000m
2
capacitors.
to compensatefor thesizeof thecapacitor under test. Several intrinsic test capacitors
werechosen and ramped to breakdown with at least two different voltageramp rates
to characterizetheelectric eldaccelerationfactor, . Oncethis is known, theTDDB
performanceof thesiliconnitridelmcanbepredicted. For thinner SiNlmsthesmall
areacapacitorsexhibit asmall extrinsicpopulation. Thedatawascollectedwitharamp
that used0.25V steps.
Basedontheseobservations, the510
3
m
2
capacitor wasselectedasthemaintest
vehiclefor rampedTDDB studies. Inadditionto limitingthemaximumsizeto avoid
extrinsic defects, aconscious effort was madeto ensureapurely intrinsic population
byincludingonlyvoltagesbetweenthe5
th
and95
th
percentile. Statistically, thisdidnot
affect the median voltage for each ramp rate but signicantly improved the standard
deviationof thedata. TheTable10.3showstheresultingmedianandstandarddeviation
whentheexclusionisappliedtothedatacollectedonvariouscapacitors.
Havingselectedanappropriately sizedtest capacitor andestablishedamethodology
toensureanintrinsicpopulationof capacitor breakdownvoltages, several voltageramp
rateswereinvestigated. Voltagestepsof 1V, 0.25V, 0.1V wereutilizedincombination
withdifferenttimestepsthatvariedfrom5msto200stoachievethedesiredramprates.
Table10.4summarizesthedifferent voltageandtimestepsthat wereusedinthecourse
of thisinvestigation. Thisresultedinabroaddistributionof voltageramprates.
Earlyonitwasevidentthatselectingtheheightof thevoltagestepdictatedthegranu-
larityof thedata. Assuchtheuseof stepsof 1V misleadinglyquantizedthedistribution
of capacitor breakdown voltages. The1 V voltagestep also necessitated longer time
steps to achievereasonableramprates negatingoneof thebenets of rampedvoltage
testing. Usingthislarger stepsizepresentedchallengestothetest instrumentation. For
468 Reliability
Table 10.3 Comparison of median and standard deviation
Median Stddev
Ramprate Capsize Median Stddev exclude exclude
0.5V/s 1K um
2
46.75 0.88 46.75 0.47
5K um
2
46.50 0.92 46.50 0.45
10K um
2
46.00 1.32 46.00 0.47
5.0V/s 1K um
2
51.25 0.81 51.25 0.43
5K um
2
50.25 2.12 50.25 0.45
10K um
2
50.00 0.73 50.00 0.36
50.0V/s 1K um
2
54.50 7.34 54.50 0.38
5K um
2
54.00 1.05 54.00 0.42
10K um
2
53.75 0.94 53.75 0.37
Table 10.4 Voltage ramp rates
Voltage Time Ramp
step(V) step rate(V/s)
0.1 20ms 5.00
40ms 2.50
400ms 0.25
1s 0.10
0.25 5ms 50.00
50ms 5.00
500ms 0.50
1 20ms 50.00
200ms 5.00
2s 0.50
20s 0.05
200s 0.005
several combinationsof timestepsandcapacitor sizes, thetest systemwaserroneously
reportingahigher voltagethanwhat wasactuallybeingappliedtothecapacitor.
For the TDDB studies, it was determined that smaller step sizes are more useful.
Voltagestepsof 0.1V and0.25V provideabroaddistributionof voltageramprateswith
reasonabletesttimes. Theselectedvoltageandtimestepcombinationsweresuccessfully
implementedbyaparametrictest system.
Besides capacitanceandbreakdownvoltage, theleakagethroughacapacitor is also
measured. This is usually done at a single voltage and a shorted capacitor would be
easilyevident. Thedatacollectedfromthismeasurementcanbeinterpretedintoadefect
density number at that voltage. But by doingso, theonly extrinsic defects that canbe
detectedwouldbethosewhichshort thecapacitor at voltages lower thanor at thetest
voltage. Theadvantageof arampedvoltagetest wouldbetheability to detect defects
10.7 Acceleration factors 469
99.9
99
95
90
80
70
60
50
40
30
20
10
5
1
0.1
0 6 12 18 24
Size
25Kum
2
50Kum
2
100Kum
2
200Kum
2
400Kum
2
800Kum
2
Ramp Failure Level (Volts)
P
o
p
u
l
a
t
i
o
n

(
P
e
r
c
e
n
t
)
30 36 42 48 54 60
Figure 10.15 Capacitor breakdownvoltagefor variousareacapacitors.
at everyvoltagestep. Itsonlydisadvantageisthat it isadestructivetest whichdamages
thecapacitor.
Figure 10.15 shows all the data collected fromone of these reliability test wafers
sortedbycapacitor size.
For therampedvoltageTDDB studies, threedifferent waferswereusedtocollect the
necessarydata. Twoof thewaferscamefromasinglefabricationlotwhileanother wafer
wastakenfromaseparatelot. All 50nmcapacitorsmeasuredinthisstudyhadthesame
SiNMIM lm. Thisexercisewasdonetomakecertainof theconsistencyof theramped
voltagemethodacrossmaterial.
Figure10.16showstherampedvoltagedatacollectedfor510
3
m
2
MIMcapacitors
usingvoltagesteps of 0.1V and0.25V. Ramprates of 0.1V/s, 0.25V/s, 0.5V/s, 2.5
V/s, 5V/s, and50V/swereused.
Table10.5summarizesthemedianandstandarddeviationof thecapacitor breakdown
voltagefor thematerial subjectedtotherampedvoltagetest.
UsingthedatafromTable10.4, theelectriceldaccelerationfactor canbecalculated
usinganycombinationof twodifferentrampratesandmedianfailurevoltagesusingthe
equationbelow:
=
ln
_
Rate 1
Rate 2
_
E1 E2
(10.4)
Theresults of this calculationareshownbelowinTable10.6. Different combinations
of ramp rates and median failurevoltages result in aconsistent valuefor theelectric
eldaccelerationfactor. This is also seento berelatively constant whentestedacross
different wafers.
470 Reliability
Table 10.5 Capacitor breakdown voltage
Voltage
Material step Ramprate Median Stddev
Lot A, Wafer 1 0.25V 0.5V/s 46.50 0.64
5.0V/s 50.25 0.68
50.0V/s 54.00 0.59
Lot B, Wafer 2 0.25V 0.5V/s 47.50 0.76
5.0V/s 51.25 0.89
50.0V/s 55.00 0.76
Lot B, Wafer 3 0.10V 0.1V/s 44.4 0.84
0.25V/s 49.3 0.92
2.5V/s 49.6 0.79
5V/s 50.7 0.83
Table 10.6 Electric eld acceleration factor
Lot A, Wafer 1 Lot B, Wafer 2 Lot B, Wafer 3
Rampcombinations 0.25V step 0.25V step 0.10V step
Combination1 3.07E-08 3.07E-08 3.15E-08
Combination2 3.07E-08 3.07E-08 3.12E-08
Combination3 3.07E-08 3.07E-08 3.10E-08
Combination4 3.11E-08
Combination5 3.10E-08
Combination4 3.05E-08
42
0.1
1
5
10
20
30
40
50
60
70
80
90
95
99
99.9
44
0.5V/s
1.0V/s
2.5V/s
50.0V/s
5.0V/s
5.0V/s
0.1V/s
46 48
Ramp Failure Level (Volts)
P
o
p
u
l
a
t
i
o
n

(
P
e
r
c
e
n
t
)
5 K um
2
Capacitors
50 52 54 56
Figure 10.16 Rampedvoltagedataat variousramprates.
10.7 Acceleration factors 471
0
10
4
10
3
10
2
0.1
1
10
10
2
10
3
10
4
10
5
10
6
10
7
10
8
10
9
10
10
10
11
10
12
10
13
10
14
5 10 15 20
Applied Voltage (Volts)
M
e
d
i
a
n
1
0
0
p
p
m
T
i
m
e

T
o

F
a
i
l
u
r
e

(
S
e
c
o
n
d
s
)
25 30 35 40 45 50 55
Figure 10.17 Lifetimepredictionfor capacitorsrelativetoappliedvoltage.
Oncetheelectriceldaccelerationfactor isknown, predictionsof capacitor lifetimes
canbemade. Sinceall capacitor rampmeasurements weremadeat roomtemperature,
theTDDB equationdoesnot needtoincludetheArrheniusportion, solifetimescanbe
representedby
Timetofailure= e
E
(10.5)
whereE is theelectric eld. Figure10.17 shows theresulting lifetimeprediction for
capacitorswithaconstantvoltageapplied. Notethatthemediantimetofailureisplotted
aswell asthetimetotherst 100partsper million(1in10,000capacitors).
Ramped voltage testing of capacitors across a wafer allows a process monitoring
methodologythatfacilitatestheimprovementof capacitoryieldandlong-termreliability.
Capacitor defect densitiesat asuitablevoltageandcapacitor operatinglifetimescanbe
measuredandmonitoredfor aparticular nitridelmthickness.
J ustascurrentdensityisanimportantstressfor HBT devicesandICs, appliedvoltage
hasbeenfoundtoacceleratefailuremechanismsinGaN HEMT devices. AlGaN/GaN
HEMTs wereinvestigated [11]. Standard life-tests wereconducted at drain-to-source
biases of 15, 20, 25, and 30 V at 10 GHz drive with the devices driven 3 dB into
compressionat roomtemperature. Under thesestress conditions, thechangeinoutput
power wasmonitoredfor 20handtheresultsareshowninFigure10.18.
The degradation distribution of each group of the transistors was analyzed, and
Figure 10.19 shows the resulting prediction of time to failure (1 dB failure criteria)
for therespectivechannel voltages that wereapplied. Thelogarithmic relationship of
lifetimetoappliedvoltageisverysimilar tothosefoundfor capacitors.
TheseHEMT results indicatethat applied voltagewith RF driveprovides aviable
stressfor life-testingof AlGaN/GaNHEMTs. Notemperatureaccelerationisneededto
examinethisdegradationmechanism. Infact, anegativeactivationenergywasobserved
472 Reliability
0.2
0.0
0.2
0.4
0.6
0.8
1.0
1.2
0 5 10
V
ds
= 30V
V
ds
= 25V
V
ds
= 20V
V
ds
= 15V
15 20
Stress Time (Hours)
C
h
a
n
g
e

i
n

P
o
w
e
r

O
u
t

(
d
B
)
Figure 10.18 Changeinoutput power for AlGaN/GaN HEMTsstressedwithfour different
channel voltagesandunder 3dB compressionat roomtemperature.
10000
1000
100
10
1
30 25 20
V
dg
in Volts
T
i
m
e

t
o

1

d
B

l
o
s
s

o
f

P
o
u
t

(
h
o
u
r
s
)
15
Figure 10.19 Timetofail versusappliedvoltagetothetransistor.
inthermal life-testsonthesedevices. Results areconsistent withahot carrier induced
failuremechanism.
10.7.4 RF bias acceleration
Oneaspect of acceleratedreliability stressthat isrelatively newisRF biasing. Testing
of individual transistors with RF bias has been conducted fromtime-to-time, but the
concept of RF driveasawear-out mechanismisnew. Past RF drivetestshaveincluded
10.8 Reliability predictions (MTBF, MTTF, FITs, etc.) 473
25
0
1
2
3
4
5
6
7
20
Thin Gate Oxide
Thick Gate Oxide

1
d
B

c
o
m
p
r
e
s
s
i
o
n
15
5 Year RF Input Drive (dB m)
P
r
o
j
e
c
t
e
d

D
e
g
r
a
d
a
t
i
o
n

(
%

I
d

s
a
t
)
10 5 0 5 10 15
Figure 10.20 Model of %degradationof I
ds
after veyearsversusRF input power drivefor thick
andthinNMOSdevices. Note, thisintegratedpower amplier isat 1dB compressionat an
appliedinput power level of 8dBm.
temperatureastheprimarymethodof acceleration. EventhoughsomeRF drivecondi-
tionshaveaddedconsiderablepower(andtemperature) thetestswouldkeepRF stimulus
constant andconduct stresstestingwithmultipletemperatures. AsRF power continues
toincreaseincommercial applications suchasfor cell phones interest inRF accel-
eration also increases. Some cell phone PA module qualication testing has recently
shownthat lters subjectedtohighRF drivelevels will degradewhiletheactiveparts
of themoduleseemtoberelativelyunaffected.
Thedesignmodelsfor thehot carrier injectionfailuremechanisminCMOSdevices
has progressed enough that arecent model was utilized to predict thedegradation of
a radio chip under various RF inputs [12]. The authors determined that the primary
wear-out mechanismintheir integratedpower amplier wouldbecausedbyhot carrier
injectionsincetheRF transistorsintheamplier coreareNMOSdevicesconguredin
acascadestructurewhichwassusceptibletoV
ds
swingswhichweremodeledfor various
RF drivesandalsofor twodifferentoxidethicknesses. Figure10.20showstheprojected
degradationafter veyearsof stress.
As moreRF biased life-testing is conducted, perhaps morereliability relationships
will bediscoveredfor useinpredictinglifetimes.
10.8 Reliability predictions (MTBF, MTTF, FITs, etc.)
It is abasic belief of reliability engineers that failures must begenerated to quantify
productreliability. Failuresarerequiredtoidentifytherootcausesof reliabilityproblems,
or to evaluatetheweakest link of an IC so that changes can bemadeto improvethe
474 Reliability
reliability. Failuresalsoprovidethereferencepoint for futurecomparisons. If life-tests
areperformedbeforeandafter aprocess change, andthey bothresult inzero failures,
theresnowaytodecideif theprocesschangeimprovedor impairedthereliability. Most
importantly: withoutfallout, afailuredistributioncannotbedetermined. Itisauspicious
that the published work on amplier reliability contains many thousands of failures.
J ust anyfailurewill not do, however. Thefailuremust berepresentativeof degradation
that occursinactual use. Toensuretheusefulnessof thefailuresgenerated, ave-step
procedurefor evaluatingdevicesisrecommended:
Step1. Operational analysis.
Step2. Thermal analysis.
Step3. Stepstresstest.
Step4. Life-test.
Step5. Accelerationfactor test.
The ve steps begin with an operational analysis. The operational analysis is simply
aninvestigationintothenormal operatingconditionsof aparticular device. Duringthe
analysis, the proper biasing techniques to cause the device to be in a representative
stateareinvestigated. Theoperational analysisincludesdevelopingxturingwhichcan
maintainthedeviceinitsdesiredstateandengineeringatechniqueor methodologyfor
electrical measurementswhichwill ensurerepeatableandreproducibleresultsthrough-
out all thesubsequent testing. Part of thisrst stepincludesanevaluationof thedevice
as conguredfor testing. Specic checks areconductedtoensurethat thedevicedoes
notoscillateor otherwiseswitchintoananomalousstate, especiallyathightemperature.
Power supplyinteractionsarealsoinvestigatedastotheeffectsof proper power-upand
power-downsequencing, overshoot at turn-on, soft shut-off, andtheeffects of glitches
or other interruptionsduringoperation.
The second step in nding representative failures is a thermal analysis. This is an
absolutely necessary step. Determiningdevicetemperatures is akey part of any relia-
bilitystudy, especiallyif accelerationfactorsaretobedeterminedaccurately. Thereare
several possiblemethodsfor measuringtemperature, eachwithdifferentadvantagesand
disadvantages. Temperatures of theentireIC packageenvironment arebest evaluated
fromcaseto hotspot. Thehotspot temperatureis important for accuratecalculations,
but thecaseis thebest referencepoint for apackageddevice. It has beendetermined
that peak hotspot temperatures aretheleast ambiguous parameters to denebecause
environmental effectssuchasairow, humidity, andheat-sinkingneednotbeexamined.
Themeasurementandcalculationof dietemperaturesisdiscussedindetail inChapter 9.
Systems which exhibit constant failure rates may be characterized by a simple
parameter mean timebetween failures (MTBF). In such cases, the mathematics of
reliability becomes very simple. However, theassumption of constant failurerates in
systemsisnot correct for most integratedcircuits, whichinsteadfollowmorecomplex
distributions of degradation that may vary signicantly with the type of accelerating
stressbeingappliedandwiththeactual conditionsthedeviceexperiencesthroughoutits
lifetime. Althoughwell understoodsincetheearlyseventies, nonuniformfailuredistri-
butionswerelargelyignoredbysystemsengineersinfavorof thesimplerconstantfailure
10.9 Wear-out versus defects (acceleration versus real life) 475
rateandMTBF calculations. Eventoday, thesimpler calculations showninequations
(10.1) and(10.2) arepreferredoverthemorecomplexrelationshipsbetweenfailuresand
timeasshowninequation(10.6) whereQ(t) isdenedastheprobability that adevice
will fail inatimeequal toor lessthant(i.e., thefractionof thepopulationthathasfailed
uptotimet)
Q(t) =
t
_
0
f (t)dt (10.6)
wheref(t) isthefailureprobabilitydensityfunctionwhich, foralog-normal distribution,
isgivenby
f (t) =
1

2t
exp
_

1
2
2
_
lnt
_
t
m
_
2
_
(10.7)
where andt
m
arethestandarddeviationandmediantimetofailure, respectively.
Thefailurerate foraconstantfailureratesystemisdenedasthenumberof failures
dividedbythetotal timefor thesepartstofail andinthiscase
MTBF = 1
_
(10.8)
ThereliabilityunitcommonlyusedforfailureratestodayistheFIT. A FIT isashorthand
unitof measurefor therateof failuresintime. Originally, reliabilityengineersmeasured
thefailureratein units per hour. As thereliability of semiconductors improved, they
changed this to % per 1000 h. Then when reliability improved beyond 0.01% per
thousand hours, they made up a Failure unIT, which was equivalent to 0.0001% per
thousandhours, andgaveit thenameFIT. Over thepast 30years, engineers switched
thenamefromFailureunIT totheacronymFailuresInTime, buttheykepttheunitsthe
same. So, theFIT is merely arateunit of convenience, similar to measuringspeedin
MPH, aFIT hastheunitsof failurefractionper hour. For mathematical convenience, we
sometimesrefer toaFIT asfailuresper billionhours buteventhoughthatstatementis
easytounderstandmathematically, failuresper billionhoursisnot technicallycorrect.
10.9 Wear-out versus defects (acceleration versus real life)
10.9.1 Thermal excursion example no. 1. Interconnect vias
Thefocusof most reliability testinghasbeenonhigh-temperaturelifetesting. Several
failure mechanisms are highly accelerated by temperature, so this methodology has
produceddatathat iseasy toanalyzeandstraightforwardtopredict applicable albeit
very long lifetimes. However, some devices actually fail for quite different failure
mechanismsduringtypical use. Thissectionwill addressafailuremechanismacceler-
atedby thermal excursionssuchastemperaturecycling, thermal shock insteadof high
temperatures.
This short summary is intendedto provideinformationonthemethodology, imple-
mentation, andresults of reliability assessments enactedby thermal excursiontesting.
476 Reliability
Table 10.7 Standard thermal excursion denitions
J EDEC specication
Test Type reference Range Cycles
1 Infraredreow J ESD22-A113 25

C to 240

C -20
2 Thermal shock J ESD22-A106conditionD 65

C to 150

C -20
3 Thermal shock J ESD22-A106not specied 40

C to 125

C -100
4 Temperaturecycle J ESD22-A104conditionG 40

C to 125

C -500
5 Thermal shock J ESD22-A106conditionB 0

C to 100

C -2500
250
225
200
175
150
125
100
75
50
25
0 60 120 180
Time (Seconds)
T
e
m
p
e
r
a
t
u
r
e

(

C
)
180 Seconds > 183 C
45 Seconds > 235 C
240 300 360
Figure 10.21 IR reowthermal prole.
Historically, acceleratedtestssuchastemperaturecyclingaregoodat ndingthesame
natural failuremechanismsdiscoveredbycustomersandsothermal excursiontestingis
bothimportant, andrepresentativeof whatcausesfailureindevicesunder normal usein
theeld.
As anexample, aparticular RF gainblock IC was investigatedto examinethecon-
sequences of usingIR anreowsolder process onthedevice. Figure10.21shows the
reowprole. This particular IC sufferedfromametal interconnect track goingopen
circuit duringthesolderingprocess.
Thermal excursion testing is accomplished by subjecting samples to temperature
extremes. Theseextremesaregeneratedbythermal conductioninair, immersionininert
liquids, or by infrared radiation. All of the excursion testing is designed to alternate
rapidly betweentheextremes. Eachof thethreetypes of excursiontestingusedinthis
study is specied by J EDEC specications (see Table 10.7). The test conditions are
showninTable10.8. For eachtest, asampleof 100partswasselectedandmeasured.
10.9 Wear-out versus defects (acceleration versus real life) 477
Table 10.8 Thermal excursion prole data
Maximum Minimum Maximum
Test Type transfer time dwell time timetotemp.
1 Infraredreow 140s 20s 6minutes
2 Thermal shock 10s 2minutes 5minutes
3 Thermal shock 10s 2minutes 5minutes
4 Temperaturecycle 1minute 10minutes 15minutes
5 Thermal shock 10s 2minutes 5minutes
120
100
80
60
40
20
0
0 0.5 1
Thermal Excursion Log Cycles
P
e
r
c
e
n
t

F
a
l
l
o
u
t
1.5
T/S = Thermal Shock
T/C = Temperature Cycle
#5. T/S 0C to +100C
#2. T/S 65C to +150C
#1. IR Reflow +25C to +240C
2 2.5 3 3.5
#3. T/S & #4. T/C 40C to +125C
Figure 10.22 Thermal excursionfailuredistributiondatafor four stresses. Regressionshownby
dashedlines.
Samplesweresubjectedtothermal shockusingrapidtransfer betweentwouorinert
liquid baths one hot, one cold and temperature cycling which involved air-to-air
transfer of material betweenadjacent chambersat thetwotemperatureextremes.
The resulting failure distributions were analyzed and found to be logarithmic (not
necessarily lognormal). Inother words, thenumber of failures increasedlinearly with
thelogof thenumber of cyclesasshowninFigure10.22.
Additionally, all of theexcursiontestingresultedinthesameslopeof failureaccu-
mulation except themost benign test, which had aslopehalf that of theothers. This
difference would indicate either a threshold of some type in the mechanism, or per-
hapsadifferent mechanism. Whenusingthesametemperaturerange, boththethermal
shock andthermal cyclingtestinghadthesameresults. Eventhoughthedelta(215

C)
of temperaturesinasimulatedsolder reow(25

Cto 240

C) isthesameas65

C
to 150

C, thereowcausedconsiderablymorefailuresinthesamenumber of excur-
sions. Thedataindicatesthat theexcursiondeltaisdenitely anaccelerationfactor for
478 Reliability
60
50
40
30
20
10
0
0 50 100 150
Temperature Delta (C)
I
n
i
t
i
a
l

P
e
r
c
e
n
t

F
a
i
l
u
r
e
200 250
Figure 10.23 Comparisonof excursiondeltatofailurepercentageafter oneexcursionfor cycling
andshock.
themechanisminthisstudy. Thepercentageof initial failuresafter onecycleat several
test conditionsisshowninFigure10.23.
For thefailuremechanisminthisstudy, thereisnodifferenceinsampledegradation
betweenthermal shock andtemperaturecyclingstresses, at least for theconditions of
40

C to 125

C. Four of thetests had a slopeof 20%failureper log cycle, and


thefthhada10%/logcycleslope. It isclear fromFigure10.22that for thisparticular
failuremechanism, onereowsimulationisapproximatelyequal toeightthermal shocks
from65

Cto 150

C, or to144thermal shocks(or temperaturecycles) from40

C
to 125

C, andto4,989,000thermal shocksfrom0

C to 100

C.
10.9.2 Thermal excursion example no. 2. Copper bump
Another examplewherethermal excursiontestingisextremelyvaluableisip-chipdie
attach. Flip-chipeliminateswire-bondsandtheneedfor substrateviassosemiconductor
processingandassemblyisgreatlysimplied. Flip-chipcanbeimplementedinpractice
byusingcopper solder bumps, andtheseareveryeffectiveatremovingheatfromthedie
sincetheyareplacednear thesourcesof heat. For thisstudy, ip-chipwasimplemented
inanexistingcommercial amplier moduleapplication. Themoduleincludesacopper
interconnect laminatebase, aninjectionmoldedthermo-set epoxy overmold, standard
GaAsHBT processdie, withacopper platedbump, andtinsolder. Seethediagramin
Figure10.24. Note, Figure10.24isnot toscaleanddoesnot represent overall sizeratio
of thecomponents insidethemodule. In someexperiments, theunder-ll material is
replacedbyover-moldepoxy.
A special test structurewas designed and manufactured to investigate theprimary
failuremechanismsexpectedfor theip-chipconstruction. Thistypeof FailureMech-
anismAnalysis (FMA) included consideration of all types of failuremechanism, i.e.,
die, assembly, and module. FMA also differs fromfailuremodes and effects analysis
(FMEA) becausethefailuremodes, severity, anddetectionaspectsarenot considered.
10.9 Wear-out versus defects (acceleration versus real life) 479
Module Overmold Epoxy
Bond
Pad
Flipped
GaAs Die
Flipped
GaAs Die
Solder
Mask
Passivation
Module Laminate
Pkg lead
Pkg Pad
Bump
Underfill
(Optional) Solder
Cu
Cu
Sn
Under
Bump
Metal
(UBM)
Figure 10.24 Flip-chiptechnologydescription.
Overmold Epoxy
Semiconductor Die (Flipped)
Laminate Substrate
Figure 10.25 Cross-sectionof theip-chipTest Structure.
Insteadthemechanisms, theacceleratingstresses, andthestructures for detectingthe
mechanisms are of primary importance. Using the FMA approach, mechanisms are
rankedby their likelihood, andthenconsideredfor incorporationintothetest structure
layout.
Thetest structuredevelopedfor thisexampleincludes adaisy chainof 36bumps, a
special detector, anda15Wheater. A polishedcross-sectionof thetest die, mountedin
amodule, isshowninFigure10.25.
Thedetector was designed for multiplepurposes. It serves as atemperaturemoni-
tor, amechanical fracturedetector, asolder creep detector, and aleakagemonitor. A
480 Reliability
Table 10.9 Failure criteria
Resistancevalues
Structure Leakage 2X Open
Daisychain(cold) X X
Daisychainhot X X
Detector (cold) X X
Detector hot X X
Chain-detector X
Detector-heater X
Heater X
laminate-based substrate was designed to match up with the structure and formthe
bump-interconnect daisy chain. Normal manufactureincludes astandardencapsulate.
Various under-ll materials and bump congurations wereinvestigated using thetest
structures.
Thermal excursion testing was accomplished by subjecting samples to temperature
extremesgeneratedbythermal conductioninair, immersionininert liquids, byconvec-
tion, infraredradiation, or by power dissipatedwithinthedevice. All of theexcursion
testing is designed to alternate rapidly between extreme temperatures. The reference
level of testingusedinthisstudywastemperaturecycling, per J ESD22-A104Condition
G, 40

C to 125

C, 1000 cycles. In this study, excursions were accelerated and
increasedupto>250

Cusingon-chippower cycling. Anon-chipthermometer veried


averagedietemperatures.
Fortheprimaryinvestigation, variouspowercyclingconditionswereappliedtoseveral
differentip-chiplots.Thecyclingparametersof power,timeon,andtimeoff werevaried
andcomparedtoresultsfromthereferencelevel test results. Inadditiontothevariable
of theappliedstress, otherparameterswerealsoevaluated. Forexample, varioussolders,
under-lls, andassemblypretreatmentswereinvestigatedusingpopulationsamples.
Typically, resistancechangesinadaisy chainwereusedtoassessaging. Thefailure
criteriashowninTable10.9wereapplied.
Each of the three resistance paths (daisy chain, detector loop, and heater resistor)
weremeasuredatroomtemperatureandimmediatelyafter apower cycleof theheater.
Immediatemeasurementsweregenerallywithin20msof theheat pulse, althoughsome
hot measurements could be up to 0.5 s after the heat pulse. Changes in resistance
werefoundtoberelativetothetimeafter heating. Insomeinstances, resistanceswould
increaseuponcooling, butinothersituationstheoppositeeffectwouldoccur. Obviously,
this type of information is not usually available fromstandard temperature cycling
wherethedevicesaremeasuredat set intervals. Figure10.26showsanexampleof data
accumulatedduringpower cyclingonasingletest structure.
Thefailuremechanismfor thesedevices was an open circuit in an interconnecting
pathway. Averagedietemperatures could beeasily monitored during thestress using
the detector loop. As expected, increases in heater current resulted in failures with
10.9 Wear-out versus defects (acceleration versus real life) 481
10000
1000
100
10
1
0 200
Daisy Chain 277 cycles
Detector Hot 512 cycles
Detector
673 cycles
Heater Resistor:
448 to 400 ohms
Max Die Temp = 202 C
856
cycles
daisychain
detector
heater
isolation
daisychainHot
detectorHot
Isolation Fail
at 703 cycles
Daisy Chain Hot
493 cycles
400 600
800
Power Cycles (Heater = 2 sec ON, 20 sec OFF, 179 C Rise)
R
e
s
i
s
t
a
n
c
e

(
O
h
m
s
)
1000 1200
Figure 10.26 Typical resistancechangesobservedduringpower cycling.
fewer power cycles. Theintent of investigatingsucharangeof stress parameters is to
producearepresentativetypeof degradationinthefastestpossibletime. Failureanalysis
was a key part of the investigation since anomalous failures were not the objective.
Examples of anomalous failures generated in this study are: heater resistor burnout,
deviceinterconnectfailure, andmeltingof dielectricsor overmoldplastics. Byadjusting
the heater power and the heating time, all of these anomalies could be avoided, and
legitimatemechanismswereconsistentlygeneratedinahighlyacceleratedmanner in
hoursinsteadof weeks.
Multiplefailuremechanismsweregeneratedusingpowercyclingontheteststructure.
Oneof thosegenerated was identical to failures observed during traditional air-to-air
temperature cycling tests. In general, the mechanisms varied across each individual
sample dependingonproximitytotheon-chipheater. Crackingwithinthebumpitself
was never observed. The predominant type of daisy chain failures observed during
power cycleagingwassolder fatigue. Thismechanismwasalsoobservedintraditional
temperaturecycling. Normally, thecrack wouldformthroughthecenter of thesolder,
as shown in Figure 10.27. However, cracking was also observed nearer to thesolder
intermetalliccompound(IMC) interfaces.
Theresult of standard temperaturecycling aging is thesolder fatiguecrack shown
in Figure10.28. This crack took 2000 cycles to formper thestandard excursion and
dwell times, anditistheexpectedfailuremechanismfor thisstructureunder theseaging
conditions. No failures were noted at read points with fewer cycles. This aging took
42 days to complete. In contrast, the crack in Figure 10.27 took 81 minutes to gen-
erate in accelerated power cycling testing. Both cracks shown in Figure 10.27 and
482 Reliability
Copper Bump
Trace on Laminate
Figure 10.27 Typical ip-chipfailurecross-section separationwithinthesolder after 153cycles
of 2son, 30soff witha4.8Wheater.
Semiconductor Die
Copper
Bump
Trace on Laminate
Figure 10.28 Standardtemperaturecycleipchipfailurecross-section notesimilarityto
Figure10.27. Thisisatypical solder fatiguefailureafter 2000temperaturecyclesor 42days
of thermal excursionaging.
Figure10.28measuredopenelectrically at roomtemperaturebeforecross-sectioning.
Thus, thermal excursionfailuremechanismscanbeacceleratedtoassessip-chipreli-
abilityusingon-chippower cycling.
10.9.3 Defect amplication and K factors
Regardless of themeasured lifetimes, therehavebeen fewwear-out failures reported
duringuseof thecircuits. Instead, customersdoreportmeasurabledefectratesandearly
life failures that often match-up with yield fallout failure mechanisms. This section
investigates a particular defect that not only corresponds with many of the early life
10.9 Wear-out versus defects (acceleration versus real life) 483
failures, but is also uniqueto compound semiconductor manufacturing. Thedefect is
generalizedasliftoff metal shorting.
Defectsarethoserareartifactsthatarepresentineveryprocess, butseldomdiscussed
inreliabilityinvestigations.Theimportantextrinsicreliabilityfailuresoccurfromdefects
that degradeto acompletefailureunder nominal aginganduseconditions. Themost
prevalent defectswhichfall intothiscategoryare:
r
capacitor dielectricdefects;
r
metal intralevel connectiondefects;
r
adjacent contact short defects;
r
metal interconnect short defects;
r
contact or interconnect stepcoveragedefects.
Althoughcapacitor defects areamongthemost commonly reportedtypes of defect,
they havealready beenthoroughly reportedfor GaAs devices [1315]. Intra-level (via
or plug) typedefectsandtheir associatedthermal excursionaccelerationmethodshave
beensimilarly discussed[16]. Thisleavesthenext twodefect typesrelatedtoshorting
of contactsandmetal interconnects. Ingeneral, bothcontactsandadjacentinterconnects
areformedby thesameprocess techniquenamedliftoff. Liftoff is ametal deposition
techniquethat involvesnospecicmetal etching. Theprocessinvolvesthesesteps:
1. Applyphotoresist.
2. Patternthephotoresist todenexandydimensionsof themetal.
3. Deposit the metal. Note that the metal covers everything including the remaining
photoresist andopenareaswherethephotoresist hasbeenremoved.
4. Removethephotoresist, andliftoff theunwantedmetal ontopof thephotoresist.
Metal remainsinthepatternedareas.
Thistechniquecanbecontrastedtothetypical processof depositingablanket metal,
applyingphotoresist, developingthephotoresistasanetchmask, andthensubtracting
theunwantedmetal byetchingtheentireeldexcept areasprotectedbytheetchmask.
Bothtechniqueshavebenetsandissues, but becauseof thedifcultiesof etchinggold,
theliftoff techniqueisverycommonlyusedfor thegold-basedmetallizationsutilizedin
compoundsemiconductors.
Theliftoff metallizations can include: (a) contacts (gate, ohmic, emitter, base, and
collector); (b) interconnect layers (depositedlayers whichareprimarily gold); and(c)
resistors(usuallythin-lmtypesuchasNiCr or TaN).
Liftoff defects are introduced by excessive process or material variation, random
contamination,ormechanical damageduringhandling.Asanexample,photolithography
defectsmaycauseelectrical nearopensornearshorts.Examplesof nearopensare
rarefor compoundsemiconductor metallizations(seeTypeV above). Thesewouldlead
to thetypeof extrinsic failures that couldbeacceleratedby increasedcurrent density
resulting in electromigration at a reduced metal cross-section. The near shorts are
moreprevalent for compoundsemiconductors, andtheyareacceleratedbyelectriceld
resultingindielectricbreakdownbetweencontactsor metal stripes.
484 Reliability
Yield Loss.
(Shorts)
Reliability
Concerns.
Unknown?
Metal
Trace
D
e
f
e
c
t
Figure 10.29 Shorting-typedefectsfor liftoff metal lineswithconstant defect sizeandincreasing
spacing.
For interconnect metallization, therearethesametwomaintypes of defect, namely
shorts andopens. Figure10.29shows asimpleexampleof intralayer shortingdefects.
In this case, the width of the metal and the defect remains constant but the spacing
varies leading to different consequences. With thenarrowest spacing, thepresenceof
thisdefect will result inanelectrical short.
Atmoderatespacing, theshortsarelessprobable, butthereliabilitymaybeimpacted.
At thewidest spacing, this singledefect does not matter. Of course, changes indefect
sizeandoccurrencearesignicant factors. Varioussizesof interconnect mayalsohave
asecond-order effect. Inthis study, shortingtypedefects wereexamined. Thedefects
areshortsor near shortswhichoccur intheliftoff metallizationprocesses.
Yieldisnormallyconsideredameasureof thepercentageof acceptabledevicesattime
zero. Asweplantousebothtimeandvoltageasindependentvariables, thedenitionof
yieldwill changewithagingsowewill useavery specic denitionfor yield, namely
qualityatzeroage. Thisdenitionof yieldwill lateremergeasthevalueof theyintercept
forwhateveragingmeasurement(voltageortime) isselectedastheindependentvariable
(x). Likewise, wewill denereliabilityasafunctionof time, i.e., arateof quality. This
ismoreoftendenedasarateof un-quality, or failure. Inorder tocarrythereliability
denition even further, we will need to remove the rate, and classify reliability as a
predictionof quality. Thisisnecessary, sinceweplantouseaccelerationsother than
time. Aswehavedenedyieldasqualityatageequal tozero, reliabilitywill bedenedas
qualityatagegreaterthanzero. So, ratherthanuseyieldasaqualitymeasurementacross
different variables, wewill usequality asameasurement of nondefective(nonshorted)
devices. Notethat thisdenitionof yieldisdifferent fromother studieswhichoftenuse
yieldtodeneanddescribequality regardlessof theprior historyor agingupthepoint
of measurement.
Thisstudyrstquantieddefectivenessintermsof qualityandyield. Oncethedefects
werecharacterized, theeffect of ageacceleration levels onthemeasuredshorts could
beexamined. Voltageaccelerationiswell establishedfor agingandmeasuringcapacitor
reliability, but voltage is not reported so much for metal shorting or for measuring
yieldrelationships. As theoccurrenceof defects was foundto berelatedto voltage, a
relationshipbetweenqualityandreliabilitycouldbederived.
10.9 Wear-out versus defects (acceleration versus real life) 485
Spacing Between Metals (Approximate 100 nm Units)
T
e
s
t

S
t
r
u
c
t
u
r
e

Q
u
a
l
i
t
y

L
e
v
e
l

(
%
)
Figure 10.30 Qualityversusspacinginacomb-styletest structure.
Using thespacing in Figure10.29 as avariable, thedependenceof quality can be
characterizedfor variousspacesbetweenmetal traces. Figure10.30showshowquality
isaffectedbythespacing. Figure10.30wouldobviouslybeutilizedtoset layout design
rules for optimumquality and circuit density. However, we will exploit our knowl-
edge of this curve to amplify detection of defects for populations which follow this
relationship.
InFigure10.30, weexpectveryhighqualityattheminimumallowedspacing(layout)
limit. Eventually, with long enough adjacent traces of closely spaced metal therewill
beadefect. Assumingthereisapractical limit totheadjacent metal periphery, another
means of acceleration or amplication is sought. In order to increase the likelihood
of detecting theraredefects, weproposeadecreasein thespacing as an amplier of
defects. Withdecreasedspacing, moreandmoredefectscanbedetected, but thereisa
risk that thetypeof defect will change. For example, smaller andsmaller defects will
showupwithnarrowedspacing. Thesesmaller defects may not ever beaconcernfor
wide(nominal) spaced lines. Normally, thesizeof thedefect and thelocation of the
defect relative to metal patterns are the most important considerations. However, we
havefoundthat theappliedvoltageof thequalitymeasurement isequallyasimportant.
In circuit layouts, the tightest nominal spacing occurs between transistor contacts.
Theproximityof thesecontactsmakesthemimportant for defect studies. However, the
presence of the semiconductor makes the measurement of quality at high voltages
impossible asthesemiconductor leakagewill mask thedetectionof theshorts. high-
voltagecharacterizationof theshortingtypeof defectsispossiblefor metal interconnect
metallization as this is not in contact with the semiconductor but is not possible for
transistor contact metals. Similarly, if thespacing is kept constant, and thevoltageis
increasedthegraphinFigure10.31results.
486 Reliability
R
e
s
u
l
t
i
n
g

Y
i
e
l
d
Applied Voltage (Volts)
Figure 10.31 Qualityversusvoltagefor different spacingbetweenmetal lines.
A logical relationship between quality and reliability has been pondered for many
years. Investigationsintorelationshipsbetweenyieldandreliabilitybeganwiththerst
defect characterizations by Stapper two decades ago [17, 18]. Later, aratio of quality
defectsandreliabilitydefectswasproposedbyShirley[19] intheform
K =
Reliabilitydefect density
Qualitydefect density
(10.9)
Shirleypostulatedthat thisratioK isaconstant, andthat eachfailuremechanismhas
itsownratio. Healsosuggestedtheratiowasatleast1,100. Estimatesfor thisratiohave
expandedtoaregionbetween1,100to1,1000[20]. Simplyput, for every1000Quality
Defects, i.e., yieldat agezero, youshouldexpect between1and10reliability defects.
UsingtheK ratio, manystudieshaveinvestigatedandoptimizedburn-in[21].
Estimating reliability froma sample population is difcult because there are few
detectablereliabilitydefects particularlyintheextrinsicregion. Therecanbesomany
morefailuresavailablefromtheintrinsic regionif thedevicesareacceleratedtowear-
out. However, wearefocusedontheextrinsic failures for this study so thetraditional
acceleration methods and wear-out failures need to beavoided, or separated fromthe
population of interest which is thedefects i.e., theextrinsic population. Figure10.30
provides therst important cluetowards acceleratingthedetectionof defects: narrow
gapsgeneratemorefallout. Figure10.31showsthesecondclue: highervoltagegenerates
morefallout. A relationshipbetweenthesetwoaccelerationsisintuitivesincedecreasing
thegapiseffectivelyincreasingtheelectriceld at least for thedefectsthat arenearly
but not yet shortedinthegapof interest.
Figure 10.32 shows the combination results of different gap sizes and increasing
voltagemeasurements. All dataisnormalizedfor aconstant peripheryof 1cm.
10.9 Wear-out versus defects (acceleration versus real life) 487
Applied Aging Stress (Volts)
T
e
s
t

S
t
r
u
c
t
u
r
e

Q
u
a
l
i
t
y

(
%

p
e
r

c
m
)
Figure 10.32 Qualityversusvoltagefor variousnormalizedgapspacingof interconnects.
Figure10.32 indicates several relationships. Notethat each of thegap experiments
includes a sample of more than 70 structures, resulting in a population total of 500
for this dataset. As expected, thenumber of defects increases as thegapbetweenthe
linesdecreases. Therateof defectsper volt alsoincreaseswithdecreasinggaps. These
relationshipsarepredictableandmonotonic for all thegaps except thestructurewith
thenarrowestspacingwemeasured. Thisdiscrepancyatnarrowgapsindicatesthatthere
is alimit to thespacingamplication. At this point, it couldnot bedeterminedif the
defectgapvoltagerelationships changed at widespacing sincethedetectabledefect
level dwindlestosuchameagerlevel. Basically, thedefectsamplesbecameundetectable
attheuppergapedgeof ourdataset. A differentaccelerationormoreperipheryisneeded
tocharacterizethelowest defectivenessregionof theserelationships.
Aninterestingresult of usingvoltageaccelerationisthat for constant gaps, thepop-
ulationsof defectsaresimilar inbehavior totheextrinsic populationof capacitors[15,
22, 23]. But, thefailuremodes remainsomewhat unique. For example, under constant
voltage, capacitor leakagewill decreaseuntil acatastrophic short occurs [9, 24]. The
metal linestructuresinthisstudyexhibit either stableor slightlyincreasingleakageup
tothecatastrophicshort. Nonetheless, thehugebaseof capacitor reliabilityknowledge
islikelytobeapplicableif weremember thefocusonextrinsicdistributions.
These results have unveiled the ability to measure defects and apply improvement
techniques that have been established for other structures such as capacitors. This
opensupopportunitiesfor measuring, monitoringandscreeninginwaysnot previously
discussedfor compoundsemiconductor devices. Theseresults bridgethegapbetween
quality and reliability. The focus is specically on early life failures the ones that
customersactually experience. Progressonthistypeof failureisnecessary toimprove
488 Reliability
reliability on any maturing process. This work demonstrates that quality has a clear
relationshipwithdevicereliability.
10.9.4 Environmental example humidity activation energy
Product reliability investigations typically includeaccelerated humidity testing. Orig-
inally, the standard test was a biased 85

C/85% relative humidity (RH) life-test for


1000h. Recently, asubstituteacceleratedversionof thistest hasbeenused. Theaccel-
eratedversioniscalledHAST (highlyacceleratedstresstest). TheHAST conditionsare
also biased, at 130

C, 85%RH, andapproximately 18PSI overpressure. Theduration


of theHAST test is normally 96100h to beequivalent to the85,85test [25]. This
sectionisintendedtodescribethermal accelerationinmoistureandshowthatequivalent
HAST tests on compound semiconductors are more highly accelerated and could be
concludedmuchfaster thanindicatedintheHAST standard.
CompoundSemiconductors utilizedifferent metallizations, dielectrics, andcontacts
than silicon devices and so it should not besurprising that therearedifferent failure
mechanismsbetweenthetwotechnologies. Thehumidityaccelerationfactorswereorig-
inallybasedupontypical silicon-basedmechanisms, suchasaluminumcorrosion. GaAs
substrateshaveauniquesetof failuremechanisms. For example, thegoldmetallizations
utilizedfor compoundsemiconductors arenot as reactiveas aluminumor copper, but
goldcanstill besusceptibletomoistureandbiasif ionsor other catalystsareavailable.
Thestudyof humidityaccelerationfactorshasbeenextensiveandcomplex. Testingin
humidityismuchmorethanjustthermal life-testingoverlaidwithanotherenvironmental
dimension. The addition of humidity demands attention to plastic encapsulation, to
interactions between stress variables, and to complexities of moisture adsorption in
complex packagingsystems. Thesecomplexities canbesimpliedby focusingonone
product, onepackagestyle, onebias, onefailuremechanism, and several accelerated
temperaturesthat areabove85

C.
Theproduct investigatedhereisasimplelownoiseamplier withanRF switchinan
MLF/QFN styleleadframeplastic package. Thedevicedoes includetwo separatedie
for optimumpHEMT andHBT functionality, but all thefocus will beonthepHEMT
die. Thisisnot aspecial deviceor packagethat isdesignedtofocusonspecicaspects
of humiditytesting, insteadit isanactual product.
Humidity test biasing is often an under-reported aspect of the reliability testing
methodology. Bias is very critical intwo competingmanners. Bias activates moisture
failure mechanisms with electric potentials and current ow (leakage), and bias also
provides power dissipation which drives moisture fromplastic encapsulated devices.
Thebalanceof humidity, temperature, andbiasconditionscanbetenuousfor anypartic-
ular failuremechanism. Insomehumiditytestingspecications, thebiasisconnedby
power dissipationlimitsor bythemaximumpermittedtemperaturerise. Thisleavesthe
scientist plenty of roomfor creativity. Theintent of biasseemssimple: (a) tocreatean
electrical potential at nominal levels across as many nodes as possiblewithintheinte-
gratedcircuit, and(b) toavoidanythermal gradientswithinthedevicethat wouldtend
10.9 Wear-out versus defects (acceleration versus real life) 489
Table 10.10 Environment temperature and humidity data [26]
Nominal environment Environment 30

C
Temp. Rel. Hum. Temp. Rel. Hum.
BATONROUGE LA. Summer 4months
Max. temp. (day) 90

F (32

C) 65% 62

C 12%
Min. temp. (night) 71

F (21

C) 90% 51

C 15%
Remainder of theyear
Max. temp. (day) 80

F (26

C) 60% 51

C 10%
Min. temp. (night) 45

F (7

C) 85% 37

C 13%
ALLENTOWN, PA. Summer 4months
Max. temp(day) 80

F (26

C) 60% 56

C 11%
Min. temp. (night) 60

F (16

C) 85% 46

C 14%
Remainder of theyear
Max. temp. (day) 40

F (5

C) 65% 35

C 10%
Min. temp. (night) 23

F (5

C) 77% 25

C 10%
Air conditioning 72

F (25

C) 50% 55

C 9%
toprevent moisturefrompenetratingthedevicetolocations whichmay besusceptible
todegradationmechanisms.
Consider thetradeoffsbetweenbiasandhumiditywithinanIC. PeckandZierdt [26]
gaveusaninsight intothisintheir original paper. Table10.10isanexcerpt fromtheir
standard-settingwork.
ThedatainTable10.10indicatesthat auniform30

Ctemperaturerisewill decrease
relativehumidity 4075%. For individual thermal gradients, thehumidity reductionis
ontheorder of at least 1.5%RH for eachdegreeincreaseintemperature. If biasingis
allowedtoraisethecasetemperature evenbylessthan10

C thengradientswithin
thepackagemay besignicantly larger and theresulting local decreases in humidity
couldclearlyexceed15%.
Accountingfor all thethermal gradientswithinanIC wouldbeaverycomplextask.
If thepart isbiasedwithnothermal rise, thengradientscanbeignored. Thus, full bias
is applied as normal, but thepart is controlled into theoff stateso that only leakage
current is owing. Somedevices canalso beturned-off hard, i.e., avoltageis applied
abovenominal levels, yet thedeviceisheldintheoff state. Thisbiascongurationhas
beenpreviouslydesignatedashighvoltagezeropower moisture(HVZPM) [27].
Most processes, lots, andsamplesinvestigatedover thepast 20yearsarenot suscep-
tibleto degradationinmoist environments. Both85,85andHAST test conditions are
normallyincapableof causingdegradationfor standarddurations(1000hfor 85

,85%
and96hfor HAST). Evenwhendevicesaresubjectedtodoublethenormal durations,
measurabledegradationis very rare. Occasionally, special lots and/or specic designs
are found to be more susceptible to degradation in moist conditions. In this study,
several of these special samples were investigated. These samples include HBT and
pHEMT technologiesutilizingGaAssubstrates. However, thecompoundsemiconductor
490 Reliability
material isnot what makestheselotsspecial; it isthemetallizationandspecic layout
congurationsthat determinesusceptibilityfor thisinvestigation.
Therearethreemaincategoriesof failuremechanismsthat afict semiconductorsin
humidconditions.Therstfailuremodecategoryisshorts.Themechanismiselectrolytic
conductionbetweenelectricallybiasedmetallizationpaths. Thistypeof degradationwas
rst reportedasmigratedgoldresistiveshorts(MGRS) [28] byShumkaandPiet. They
claimedthreeingredientsareessential for MGRS:
r
reactivechemicalssuchashalogens;
r
alayer of water toformanelectrolytebetweenneighboringmetal lines;
r
abiasfor theelectrochemical reactiontotakeplace.
Furthermore, ShumkaandPiet foundthat therewas aminimumthresholdof water
requiredfor thefailure(1.5%water vapor by volumeinacavity package). Inaplastic
package, 1.5%water vapor would be slightly below 100%relative humidity (RH) at
25

C. Intheir study, theyalsosawthat theonset of failureswasveryrapid. Some60%


of thefailuresoccurredinlessthan50hat 25

C.
Thesecondfailuremodecategory is opencircuits. This typeof degradationranges
fromchemical reactions to simple loss of adhesion between layers [29]. The failure
mechanismistypicallycorrosionof metallizationinvolvingioniccontamination, water,
and bias. Historically, the common example is the attack of chlorine on aluminum
in a wet environment. Moisture penetration between dielectric layers or at interfaces
betweenmetals, dielectrics, andsemiconductorscanresult inadhesionloss, increasing
resistance, and eventually, open circuits. While testing pHEMTs, Ersland et al. [29]
reportedelectrical behavior correspondingto increasingcurrent (themodeof shorts),
but thevisual result was loss of adhesion of thesilicon nitridepassivation layer (the
modeof opens).
Thethirdfailuremodecategory isthresholdmalfunctionwithout cumulativedegra-
dation. This failuremechanismis causedby chargeseparationof mobileions to form
parasiticgates. ThismechanismisidentiedwithMOSdevices.
In the course of standard device qualication testing, a special lot utilizing a new
device design was produced. This lot was special because there was fall-out during
thebiasedHAST conditions of 130

C,85%RH and96h. Inorder tomeasureprecise


thetimeto failure, small samples of devices fromthis lot weresubjectedto 85%RH,
aturned-off bias, andvarious temperatures. Theelectrical conditions werenominal
biasonall pins, withthecontrol pinsturned-off (grounded). Thesebiasconditionswere
achieved by soldering each sample device to a small circuit board. Each board was
monitoredat periodic intervals duringtheaging. Thechamber usedfor this study had
onlyeight electrical feed-throughs, sothesamplesizewaslimitedtothree.
Theelectrical degradation of thesespecial devices was afairly abrupt reduction of
current. Oncethedeviceswereremovedfromthebiasboards, thereductionincurrent
wasmoreapparent. Failureanalysisdeterminedthatdelaminationwithinthemetal layers
causedincreasingresistance, andeventual opencircuits. All of thedegradationmeasured
duringthisstudywascausedbytheopencircuitmechanism. Thespecial sampledevices
weretestedunder HAST conditionswithvarioustemperaturesbetween85140

C. All
10.9 Wear-out versus defects (acceleration versus real life) 491
Table 10.11 Results of 85% RH testing
Temp. ML AF AE
Lot P/C (

C) (H) (10

C) (eV)
A N 140 12 2.7 1.4
130 32 4.68 2.10
120 150
B N 140 11 2.64 1.39
130 29 4.55 2.07
120 132
Y 140 11 4.55 2.07
130 50 4.63 2.09
120 231.5
of thetestswerepressurized, andat85%RH. Theacceleratingeffectof temperaturewas
measuredover theseconditionsandthenactivationenergywascalculated.
A 5%reduction in bias current was used as thefailurecriterion. Thedevices were
monitoredonafrequentbasisduringthersttest. Monitoringinvolvedamanual switch
of thedevicemodefromaturned-off state(onlyleakagecurrentandbiasboardcurrent)
toaturned-onstate(devicecurrent andbiasboardcurrent). Thestatic current through
thebiasboardwaslargecomparedtothesampleoff-statecurrent(nA), butinsignicant
compared to the on-state current (mA). This manual measurement took about 5 s to
stabilize, sothedevicewasonfor 6or 7stomakeameasurement.
Inmeasuringthedevicesduringtheinitial test, itwasdiscoveredthatoncethedevices
began to degrade, the degradation could be temporarily suspended by the process of
turningthedeviceonfor 5s. Ingeneral, thesamples woulddegradeat 140

C inless
thanaday, at 130

C inacoupleof days, andat 120

C withinabout aweek. Toreduce


thecounter-actingeffectsof turningonthedevices, monitoringintervalswereextended
to3h.
Typically, plastic encapsulated devices aresubjected to environmental testing after
apreparation sequenceinvolving an initial electrical measurement, dry bake, specic
moisturization, threerepetitionsof solder reowsimulations, andanal electrical mea-
surement. Thispretreatment iscalledpreconditioning(P,C). Themosturizationparam-
etersaredenedbythemoisturesensitivitylevel (MSL) designationof thepackage. For
thisstudy, themoisturizationwas168hat85

C,85%RH, otherwiseknownastheMSL1
pretreatment. Becauseof thespeed of themonitored test, samples wereinitially aged
without preconditioning. A completeset of resultscouldbeobtainedover theduration
of thepreconditioning. For oneof thelots, testingwasperformedbothwithandwithout
preconditioning. Theoverall resultsof thisstudyareshowninTable10.11.
Themonitoredtestingutilizes very small samplesizes. However, thetimetodegra-
dationwasverysimilar for most of thepopulationsamples. Oncethecurrent startedto
decrease, thedegradationwasveryrapid occurringinlessthanacoupleof hours.
For special samples without preconditioning, the onset of degradation appears to
be signicantly shorter. Based upon all other nonpreconditioned tests, we expected
degradation in less than 7 h at 140

C, but it always took 11 or 12 h. This could be


492 Reliability
Table 10.12 Acceleration factors for HAST V
s
85,85
Source(mechanism)
AF
(85130) AE
HoursNeeded
for 1000h
@85

C /85%
Oldstandard(lore) 10.42 0.647eV 96h
Si (Al corrosion)[29] 16.25 0.770.81eV 61.5h
pHEMT (short) [28] 471 1.7eV 2.13h
pHEMT (open) 1865 2.08eV 32minutes
explainedif moisturepenetrationtakesapproximately6hat140

C. Assumingtheonset
timeisinsignicant for resultsat 130

C and120

C, theunexpectedresultsat 140

C
couldbeexplainedif thepreconditioningreduces thetimefor penetrationbut doubles
thelifetime.
Testingoncompoundsemiconductorshasproduced85,85HAST activationenergies
from2.07 eV to 2.10 eV for the circuit and mechanismdescribed here. Previously
reported results [29] indicated theactivation energy for shorting-typemechanisms in
compoundsemiconductors is1.7eV. Baseduponthedegradationdataof thesespecial
lots, andtakingintoaccountbothshortandopenfailuremechanisms, athreehourHAST
testcouldbeequivalentto1000h85,85tests. Thisisconsiderablyfasterthan96hwhich
hasbeenspeciedfor silicondevices. EquivalenciesareshowninTable10.12.
All of thehistorical humidityaccelerationfactorswerebaseduponsilicondevicetest-
ingandaprimary mechanisminvolves aluminuminterconnects. Sincemost reliability
requirementsclaimthat96hof HAST (130

C,85%) isequivalentto1000hof 85

,85,
theexpected acceleration factor (AF) is 10.42 and theactivation energy is 0.647 eV.
Actual measuredresults for 14siliconhumidity tests [30] foundthesilicon/aluminum
corrosionfailuremechanismtohaveanactivationenergybetween0.770.81eV.
Two results wereespecially interesting. First of all, theonset timefor devicedegra-
dationwasfoundtobeveryshort. Under nonsaturatedconditions, weweresurprisedto
seethat degradationbeganas quickly as 11h. Absorptiontimes havepreviously been
generalizedat 24h. Second, wefoundthat theeffect of preconditioningtheparts(dry-
ing, moisturization, andsolderingsimulation) tendedtoextendtheonsetof degradation.
Thisndingisparticularly goodnewssincethepreconditioningisthought tosimulate
conditionsthat deviceswouldexperienceinactual use.
Theseresults indicatethat 96hof 130

C,85%RH HAST is amorethanadequate


replacement for 1000 h of 85

,85%RH. Thedatain Table10.12 shows that thermal


acceleration factors for two compound semiconductor failure mechanisms in HAST
aremuchhigher thanthosereportedfor silicondevices experiencingaluminummetal
corrosion. A standardHAST testof 96hisactuallyequivalentto520years(45,216
179,040h) at 85

,85%RHconditions.
10.10 Process effects and inuence
The semiconductor manufacturing process includes various steps, such as etching,
dielectric deposition, photolithography, metal deposition, alloying, cleaning, drying,
10.10 Process effects and inuence 493
Table 10.13 Photoresist process effects on reliability
Processaffecting
reliability Relateditemondevice Relatedfactors Failuremode
Resist application Transistor, diode,resistance,
metallization,shapeand
size, contact
Improper lmthickness,
poor uniformity, dirt,
foreignparticles,
residual photoresist
Characteristicfailure,
pinholes, largeleakage
current
Maskalignment Transistor, diode,resistance,
metallization, shapeand
size, contact
Misalignment, dirt, foreign
particleadhesion, aws
Characteristicfailure,
pinholes, largeleakage
current
Exposure Transistor, diode,
resistance, metallization,
shapeandsize, contact
Insufcient/Excessive
exposure
Characteristicfailure
Development Transistor, diode,resistance,
metallization, shapeand
size, contact
Insufcient/Excessive
Development
Characteristicfailure
Etching Transistor, diode,resistance,
metallization, shapeand
size, contact
Insufcient/Excessive
etching, etching
temperaturefaulty,
insufcient washing
Characteristicfailure,
characteristicuctuation
plating, annealing, substratethinning, testing, wafer sawing, andinspection. Thesesteps
includevariousheat treatments, chemical treatments, mechanical stressesandelectrical
stresses that are applied to the devices. These steps involve a great number of fac-
tors that affect reliability. Factors that degradereliability includeprocessingvariances
(dimensions, parametric values, etc.) that inevitably occur duringproduct manufactur-
ing, defectsanddamagethatoccur inthemanufacturingprocess, handlingerrorsdueto
humanerror, andequipment operationerrors. Thesemiconductor manufacturingpro-
cess is extremely complicated, requiringgreat precision. In addition, becauseproduct
characteristics are extremely sensitive, it is essential to fully understand the factors
that affect reliability andtakecorrectiveactionstoprevent eachfactor fromoccurring.
Table10.13showsthefactorsaffectingreliabilitythatarerelatedtothephotolithography
stepinthesemiconductor manufacturingprocess.
Themanufacturingprocedurerepeats several processes to formtheelements of the
semiconductor product, suchas thetransistors, resistors andcapacitors that areplaced
on thesemiconductor substrate, and then interconnects theseelements to formasin-
glecircuit. Theseprocesses areadversely affectedby contaminants andthereforetake
placeinclean-rooms. It is critical that theparticles that originatefromequipment and
instrumentsaswell asthedust level insideclean-roomsshouldbecontrolledat thesub-
micronlevel. SuchcontaminantsgreatlyaffectreliabilityasdiscussedinSection10.9.2.
Thefactors that arerelated to thesemiconductor substratearethemost fundamental
totheproduct reliability. Factors suchas crystal defects, resistivity dispersion, surface
contaminationandsurfaceawsdirectlyaffect product characteristics.
The assembly process begins with dicing followed by die bonding, wire bonding
and, nally, sealing. All theseprocessesareparticularly critical. Diebondingandwire
494 Reliability
Y
i
e
l
d

a
t

Z
e
r
o

A
g
e

(
%

p
e
r

c
m
)
Space Between Adjacent Metals (micron)
Figure 10.33 Measuredqualitylevelsfor different spacedmetallizationmeasuredat 1V
(normalizedtoaperipheryof 1cm).
bondingaretheprocessesusedtosecurethechipandbondtheelectrodestotheexterior.
Since junctions are formed between different materials, changes in temperature and
other physical forces(suchasvibration, shock, andacceleration) canresultindiecracks
or openfaults, either of whichcanbefatal totheproduct. Inthecaseof encapsulation,
impuritiesinthesealingresin(suchassodium, potassium, orchlorine), moistureabsorp-
tion, thermal expansionandmoldshrinkagearecritical. Thesecanresultinfailuressuch
ascorrosion, characteristicfailure, bondingwirebreakageanddiecracks. Inthecaseof
hermeticsealing, critical pointsincludethemoisturecontent andother impuritiesinthe
sealinggas, andthepresenceof conductiveforeignmatter. Thesecanadheretothechip
surfaceandcausefailuressuchasincreasedleakagecurrent or faultyoperation.
Process aspects relatedirectly to reliability interms of layout congurations. Using
additional work fromdefect studies in Section 10.9.2, we can investigate the impact
of metallization capability onreliability. Wehaveseen that special test structures can
help us bridge the gap between process manufacturability and defects. And we have
seenthat theK factor showsrelationshipsbetweenqualityandreliability particularly
for defects. If weextendthendings fromFigure10.30into therealmof quality, the
relationship between process capability (in terms of spacing between patterned metal
lines) andquality (interms of yieldmeasuredat 1V) will bedenedby thecurvein
Figure10.33.
Figure10.33 shows that aspacing below0.7mwould havevery poor quality for
adjacentmetalssharinga1cmperiphery. Spacingsabove1.4marelikelytohavevery
goodquality. Inthis particular case, thequality is expectedto bevery goodsincethe
layout ruleswereset at 2m.
However, knowingtheaccelerationeffectof voltage(fromFigure10.31), wecanmake
additional predictionsontheeffectsof processcapabilityonthenumber of defectsand
thisisshowninFigure10.34.
10.11 Design for reliability 495
F
a
l
l
o
u
t

p
e
r

A
p
p
l
i
e
d

V
o
l
t

(
p
p
m
.
c
m
)
Space Between Adjacent Metals (microns)
Figure 10.34 Predictednumber of defectsinppm/cmV.
As linespacing changes then thenumber of defects changes at a rateof over 300
times per micron! Not only does this giveprocess engineers animportant yardstick, it
showsdesignerstheleverageof additional spacingontheir layout.
10.11 Design for reliability
Designforreliabilityissupportedbyanumberof reliabilitytasks. Someof thepro-active
techniqueswhichhaveproventobesuccessful include:
r
environmental characterization(tailoringof designrequirementstoexpectedoperating
conditions);
r
partsselectionandcontrol (includesparts, components, assembliesandsoftware);
r
partsapplication(i.e., derating, thermal andmechanical designmanagement), thermal
andmechanical analysis (reducingfailureacceleratingtemperature/vibrationcondi-
tions);
r
critical itemidenticationandcontrol (riskreduction);
r
modelingandsimulation(designtradeoff techniques).
Itisimportanttoemphasize, however, thatdesigningfor reliabilityshouldaddressnot
only theproduct, but also theprocesses usedto developandmanufacturetheproduct.
Inadequateor decient manufacturing processes, for example, may introducedefects
that can lead to failures after delivery to the customer. The same is true for quality
processes. In designing the processes that will be used to manufacture the product,
considerationshouldbegivento thedefects that may result andthepotential ways to
eliminate them. Similarly, how the product will be operated and repaired is a major
considerationinthedesignprocess.
496 Reliability
A reliability model, often in theformof ablock diagram, is also recommended to
improve the design process. Such a diagramcan be used to identify reliability goals
and determine if additional analyses will need to be performed. The model shows
the functional relationships between components and assemblies within the product.
Themodel is iterativein nature, and its validity can beassessed through avariety of
simulation techniques. Comparison of themodel to product reliability goals provides
focustopotential designimprovements.
Reliabilityanalysistechniquescanserveauseful purposebeyondthesimpleassess-
ment of progressinthedesignof theproduct. Analysescanalsobeeffectively applied
as ameans of tradingoff designoptions andcharacterizingtheimpact of designdeci-
sions. Usedinthismanner, thesupplier canhavegreater condenceinmanufacturinga
product that will operatefailure-freeandbetolerant of faultstotheextent that thebasic
reliabilityobjectivecanbeachievedwithintherequiredbusinessconstraints.
Vericationandqualicationtestingalsoplaysanimportantroleinthedesignprocess.
Whileoftenviewedasareactivemeansof measuringreliability, certaintypesof testing
may also be vital to successful product design. Incremental testing of critical parts,
assembliesandfunctional performanceof thedesignmaybebenecial tounderstanding
andmakingdecisions about theproduct andprocess design. Designof experiments is
an effectivetest process for optimizing product or process design characteristics in a
cost effectivemanner. Theuseof test datafor designimprovement canbeextendedto
moreformalizedtest-analyze-and-xor reliabilitygrowthtest methodology. Reliability
testingcanproveuseful inidentifyingnecessarydesignchangesinproductsthat havea
highdegreeof functional and/or physical complexity.
Fabrication, application, anddesignarethreemajor factors controllingtheultimate
reliability of integrated circuits. Manufacturing processes must becapableof demon-
stratinginherent reliability regardless of devicefunctionor complexity. This aspect is
handledby maintainingacontrolledprocesswhichhasbeendevelopedwithreliability
asanintegral goal.
Another key aspect of circuit reliability is the application or insertion of devices,
withcorrect handlingandassemblyprocedures, intoanadequateenvironment for their
intended use. This is theareathat is least controllableby thesemiconductor foundry,
andis alsousually somewhat removedfromthedesign. Unfortunately, becauseof this
detachment, many of theearly users of RF andmicrowavepower ampliers haddif-
cultieswithapplicationproblemssuchaselectrostaticdischarge, improper heat-sinking,
andpoor impedancematching.
Lastly, someaspects of reliability arecontrolledby thedesignof thecircuits, since
even the best processing can yield devices which fail prematurely in their intended
applications.Thesedesign-controlledaspectsarethemajoremphasisof thisdiscussion
ondesigningfor reliability.
Inanytechnology, or at anyfoundry, thedesigner isgivensomeguidelinesor bound-
ariestoincreasetheprobabilityof successful designs. Most of thefocusof theseguide-
linesisonperformanceandfunctionality. However, someof theguidelinesareimposed
toimprovetheprobability of quality andreliability aswell. Bothelectrical ratingsand
layout denitions are key guidelines for reliability. Regardless of the technology, the
10.11 Design for reliability 497
Table 10.14 Example of maximum ratings (beyond which the useful life of the
product may be impaired).
Parameter Limit
Storagetemperaturerange 65

C to 150

C
Assemblytemperatureexposure 300

C, 5minutes
FET andNdiodemaximumratings
Channel temperature Tch= 55

C to 150

C
Drain-to-sourcevoltage 7.5V (desired)
Gate-to-sourcevoltage 7.5V (desired)
Gate-to-drainvoltage 7.5V (desired)
Forwardgatecurrent 0.2mA/mof gatewidth
Channel current (N) 0.15mA/mof channel
Overlapor Ndiodemaximumratings
Reversevoltage 0.2V
Forwardcurrent 0.2mA/mof diodewidth
Passivedevicemaximumratings
Sputtered/evaporatedmetal current density 2mA/mwidth
Platedmetal current density 5mA/mwidth
Nichromeresistor current density 1mA/mwidth
Gatemetal current density 2mA/mwidth
Ohmicmetal current density 2mA/mwidth
MIM capacitor voltage 15V
maximumelectrical ratingsmustbemaintained. Likewise, limitationsonfeaturesizing,
spacing, overlaps, exclusionboundariesandinclusionrequirementsareimportant.
A thoroughreviewof theratings prior to designis strongly suggested. Table10.14
showssomeexamplesof electrical andthermal ratings.
Transistor reliabilityguidelines. It isveryimportant that thehottest transistor tem-
peraturedoes not exceed themaximumtemperaturerating. Furthermore, designing a
circuitsothatthetransistorsoperatecooler will resultinadditional reliabilityandlower
failurerates. For example, reducing a transistor channel temperaturefrom150

C to
100

Cwill improveitsreliabilitybyafactor of 9800for aFET (assuminganactivation


energy of 2.5eV). Theprojectedmedianlifevalues of FETs are(basedondatafrom
300minterdigitatedFET life-tests) areshowninTable10.15.
Other reliabilityguidelineexamplesfor transistorsare:
1. Limit thepower dissipation, so that thetypical worst casetransistor temperatureis
-125

C, andthat theabsoluteworst casetransistor temperatureislessthan150

C.
Notethatincalculatingchannel temperatures, ambienttemperature, packagethermal
resistance, anddieattachmust betakenintoaccount.
2. Besurethat noneof thecurrent density maximumratingsfor connectingmetal and
transistor contactsisexceeded. Alsonotethat themaximumforwardgatecurrent in
aFET transistor islimitedbytwofactors:
(a) thecurrent carryingcapacityof thegatemetal cross-section;
(b) thecurrent carryingcapacityof thesemiconductor contact.
498 Reliability
Table 10.15 Temperature acceleration effects on FET lifetimes
Lifetimes Lifetimes
Temperature Determination (hours) (years)
310

C measured 7.4 negligible


290

C measured 50.4 1,200


275

C measured 220 1,40


260

C measured 838 1,10


245

C measured 4770 1,2


150

C projected 1010
9
1million
125

C projected 7.410
11
84million
100

C projected 9.910
13
11billion
3. Donot exceedthemaximumvoltageratingsfor any transistor. Exceedingthemax-
imumvoltage ratings may result in catastrophic failure. Also note that transistor
breakdownvoltagesmaydecreaseat hightemperatures.
Thin-lmresistor reliabilityguidelines. Thin-lmresistorssuchas nichromemay
bethemetals under thehighest electrical stress in any technology or process. Do not
exceedthemaximumratedcurrentdensity. Additionally, theresistorshouldneverexceed
150

C. Checkforanyderatingsuggestionsontemperatureorcurrentdensity. Exceeding
thecurrentdensityorthemaximumtemperaturewill acceleratetheresistancevaluedrift
and may result in catastrophic failure. Notethat theuseof subsquareresistors is not
recommendedbecauseof current crowdingpossibilities.
Interconnectmetal reliabilityguidelines.Thissectionappliestotheall interconnect
metals and to transistor contact metals. Do not exceed themaximumcurrent density
ratings for thesemetals, not evenonatransient basis. Whencurrent ispassedthrough
aconductor, theinteractionof theelectronswiththelatticeproducesathermal energy
equal totheproduct of thesquareof thecurrent andtheresistance. ThisiscalledJ oule
heating. Metal lineswill heatupwhenever currentispassedthroughthem. If thecurrent
is low, the heat is effectively conducted away, but there must be some temperature
increaseevenif it isnot detectable. Whencurrent densitiesapproach10
6
A/cm
2
, J oule
heating can produceenough energy to maketheconductor lines heat up appreciably.
Designers must realizethat J ouleheatingis causedby root meansquare(rms) current
andnotbytheaveragecurrent, asiselectromigration. Foranarrowpulse, thermscurrent
canbemuch higher thantheaveragecurrent. Theaveragecurrent canbewell within
anyguidelinesthat maybeset for electromigrationconsiderations, yet signicant J oule
heatingcanresult. Thiscanbemoreprevalent onupper level metallization, whereheat
mustbeconductedthroughseveral layersof interlevel dielectric, whichisapoorthermal
conductor.
The problemwith J oule heating is not the modest temperature increase, but the
temperature gradients that result. Typically, at the current densities found in modern
circuitry, temperatureincreaseswouldrangebetweenafewandafewtensof

C. This
produces temperature proles that decay within a few microns, so that temperature
10.11 Design for reliability 499
Figure 10.35 RudimentaryESDinput protectioncircuit.
gradients of 10
4
to 10
5
C/cmwill befound. Thetemperaturegradients produceux
divergenceswhichtendtoaccelerateelectromigrationeffects.
RMS current density must then belimited below 10
6
A/cm
2
becausereliability of
metal lines in the presence of temperature gradients cannot be accurately estimated.
Temperature gradients can vary tremendously throughout a real structure, depending
onsubtletiesof thegeometry andontheuseof theunderlyingsemiconductor devices.
Theonly way to deal with theseissues is to takeaconservativeapproach and forbid
temperaturegradientsbylimitingthermscurrent densitytothelevelssuggestedabove.
MIMcapacitor reliabilityguidelines. Do not exceedthemaximumvoltagerating
whenusingMIMcapacitors. Alsonotethatthelayoutrulesdeningthecapacitormetals
shouldbeoptimizedtoreducedefectsandpotential higheldpointsaroundtheedges.
Also check to makesurethat interconnects to capacitors arecapableof handling the
expectedAC or RF current levelsthroughthecapacitor.
Diodereliabilityguidelines. Do not exceed themaximumbreakdown voltage. Do
not exceedtheforwardcurrent rating. Usethetransistor-relatedmaximumratings for
most stylesof diode.
ESDguidelines. ESDdamagethresholdsshouldbecharacterizedusingasimulator.
Relativesensitivity shouldbeknownfor eachof thevariouscircuit elements. It isrec-
ommended that designers plan to include ESD protection fromthe beginning, rather
than attempting to beef up prototypes that arefound to beweak. Typical shunt and
blockingelementsareavailableonall processes. Insomeprocesses, special ESDstruc-
turesmaybeoffered. Thedesigner shouldplantoemployprotection(seeFigure10.35)
on every external pathway of his device. For circuits that cannot beprotected in this
manner, aspecial ESD sensitivity warningshouldbespeciedandincludedindevice
labeling. Useof all possibleESD countermeasures areencouragedwhenhandlingthe
fabricateddevices, includingwrist straps, oor mats, conductiveoor andtablemats,
andconductivepackagingmaterials.
Optimizingfor andensuringreliability. ThechallengetoIC designersistoensure
reliabilitywhilesqueezingasmuchperformanceout of theprocessaspossible. Unfor-
tunately, therequirementsfor thesetwogoalsareoftenconicting. Higher performance
meanshigherstresseswithinsmallerstructures,whereasreliabilitydemandslowerstress.
Table10.16showsexamplesof mitigatingfactorsfor compoundsemiconductor failure
mechanisms.
500 Reliability
Table 10.16 Example failure mechanisms and acceleration factors in GaAs processes
Failuremechanisms
Current density
exponent
Thermal
activationenergy
Detection/
acceleration
Recommended
prevention
FET gatemetal
sinking
N/A 2.44eV2.77eV Hightemperature Donot exceed150

C.
FET burnout N/A N/A Overstressconditions WatchESD/EOSobey
current density
rules
Watchbreakdown
voltages
Metal interconnect
interdiffusion
n= 1.5 2.22.5eV Hightemperature
Highcurrent density
Temperature
management
Current densityrules
Goldelectromigration n= 3to 5 0.4eV Highcurrent density
Hightemperature
Current densityrules
Layout rules
Thin-lmresistor
interdiffusion
n= 3 1.0eV Hightemperature
Highcurrent density
Donot exceed150

C
Current densityrules
Ohmiccontact
interdiffusion
n= 3.5 N/A Hightemperature
Highcurrent density
Donot exceed150

C
Current densityrules
MIM capacitor
breakdown
N/A Low Highvoltage Donot exceed
15Volts
PINDiode, substrate
conduction
N/A N/A Highvoltage
Hightemperature
Donot exceed
1V/m
Donot exceed150

C
A conservative approach has been to generate design rules based on worst case
scenarios. Inthis strategy, guidelines limit conditions to acertainvalueassumingthat
all theelementsonthecircuit aretobeusedat thishighrating. Thelimitingvaluescan
bedeterminedfromextrapolatingthefailuretimes, usuallyttedtoalognormal failure
distribution, to some required level of reliability based on the chip complexity. This
approachcanbesupplementedbyastrategyknownasReliabilityBudgeting.
Asanexampleof budgetingfor electromigration, all oneneedstodoiscalculatehow
muchpowerisdissipatedbyachiprunningwitheverywireattheelectromigrationlimit.
Itisoftenkilowatts. Toperformreliabilitybudgeting, weneedtoknowhowmuchcurrent
isgoingthrougheachelement. Intodayscomplexmicrocircuits, thisisadauntingtask,
but thepayback is signicant. Theallowablecurrent density for critical circuit paths
canbeincreasedsubstantiallywhilemaintainingreliability, sincethemajorityof circuit
elementshavelittletonocurrentowingthoughthemandarethuseffectivelyimmortal.
If troublespotscanbeeliminatedthenamorereliablecircuit canbedesigned.
The easiest thing a designer can do to increase reliability in his design is to set
his default metal widths at somevalueabovetheminimumsizegeometries. Inthis
manner, thedesigner must makea conscious choiceto usetheleast reliablecircuit
features, insteadof alwayshavingthemat hisngertips.
10.12 Historical trends and technology comparisons 501
10.12 Historical trends and technology comparisons
Occasionally, considerations of reliability are discussed when making technology
choices. However, reliabilitydynamicallyimprovesasafunctionof maturityrather than
becauseof aninherent propertyof siliconor compoundsemiconductor technologies.
For the most part, there are very few applications where performance and cost
attributes overlap between competing technologies for RF and power amplier prod-
ucts. Moreoftenthannot, if products canbedevelopedusingcompetingtechnologies
thatbothmeettheperformancerequirements, sayfor examplegain-bandwidth, thenthe
choicecaneasily bedecidedby aprioritizationof additional performanceparameters,
suchasnoiseor efciency. Shouldall theattributesbeinequilibriumthroughall aspects
of performance, thenprice, delivery, quality, or familiaritywill delineateaclear choice.
For thosesituationswherereliability might beconsideredasacritical aspect, thena
direct comparison of lifetimes or failurerates is desired at theequivalent operating
conditions. However, fewprojects havetheresources or thetimeto design, fabricate,
stress,andanalyzecompetingdevicesinparallel.However,thispointsoutthedifcultyin
makingacomparisonanyotherway. Basingareliabilitydecisiononarbitraryconditions
of different applicationsisproblematic.
All reliabilityresultsarespecictotheparticularconditions, packages, environments,
of aparticular application. However, thevariation or reliability across theapplication
spaceis overshadowed by thevariation of reliability that spans thetechnology matu-
ration. Inother words, amaturetechnology will most likely outperformaburgeoning
technology regardless of theoperatingconditions. Thematurationof semiconductor
reliability follows a process of experience. This learning cycle has been studied and
discussed at length in the reliability community [31]. In general, the cycle follows a
seriesof eras, whichisrepeatedfor eachtechnologygeneration.
The rst era of reliability improvement is a focus on materials, specically, the
semiconductor, metallization, anddielectric. Oncethematerial set is determined, then
work begins onthesecondera, whichis major reliability problems. This isbasically a
hardlookatexpectedissuesandexperiencesfromthepreviousgeneration. Thethirdera,
whichisreliability physics, istogainanunderstandingof thefailuremechanismswell
enoughtodevelopmodels. Thefourtheraisfocusedonpredictionandprevention, where
reliability engineering efforts such as design-in reliability and wafer level reliability
efforts areapplied. Thefth and nal erais to reducedefects, add statistical control
countermeasures, anddetectmavericks. Theerasstartover withtheintroductionof new
generations whichhavenewmaterial sets. Ingeneral, reliability improves by progress
throughtheseveerasof reliabilityscience. Theamountof improvementpereradepends
onthereliabilitylimitationsof anyparticular generation. Whilesomeaspectsmaysolve
certainproblems, theymaygeneratedifferent problemsinother eras. For silicon-based
technologies, progress fromgeneration to generation is driven by Moores Law. For
compoundsemiconductorstheprogressisdrivenbymaterials. Table10.17showsthese
differencesbetweensiliconandcompoundsemiconductor technologies.
Technology comparisons over time. The generation-to-generation and era-to-era
improvements in reliability and quality have been demonstrated over and over in the
502 Reliability
Table 10.17 Technology comparisons
Performance Reliability Yieldfocus
Si Shrinkphysical size Newmaterials Defects
C.S. Newmaterials Dont shrink Parametric
(goodenough)
Figure 10.36 Summaryof publishedreliabilityresultsfor thepast 30years. Newtechnologieswill
typical report lower expectedlifetimes, but improvewithmaturity.
historyof reportedresults. Figure10.36showsthisfor compoundsemiconductor tech-
nologies. As technology improvements occurred for MESFETs, then HBTs, and cur-
rently GaN, eachoneof thesecycles beganwithrelatively lowreliability, followedby
improvementsinthereportedlifetimes.
For speciccyclesof cellular phonepower ampliers, thesameimprovement cycles
wereexperienced. Figure10.37showsthat subsequent generationslearnfromprevious
generations, andthecycletimefor improvement canbeaccelerated. However, whenthe
technologychangessignicantly, thecycletimecanbeextended.
Thesecyclesof learningaresimilar regardlessof thetechnology. Figure10.38shows
that even major mainstreamsilicon suppliers experience quality improvement cycles
witheachnewstepalongthepathof miniaturization.
Thepreviousthreegraphsall showthatmaturitygainedwithineachgenerationhasan
impact onqualityandreliabilitylevels. However, theabsolutelevel of reliabilitymeets
therequirementsfor usefor eachapplication.
10.13 Summary
Thehighest performingpower ampliers areinvariably constructedusingsomeof the
most advanced semiconductor processes. Semiconductor reliability issues have been
10.13 Summary 503
Figure 10.37 Exampleof reductioninfallout duringRF product development.
Month (period of initial development)
D
i
e

Y
i
e
l
d
Figure 10.38 Exampleof qualityimprovement duringprogressof shrinkingnodesizes.
around since the beginning of solid state technology and most of the problems are
commonregardlessof thetechnology. Althoughit hasacertainallure, newtechnology
has unfortunately carriedasuspicionof reliability risk. This chapter has discussedthe
basicsof reliabilityandtouchedonsomeof theuniqueaspectsof power ampliersand
thetechnologies that havebeendevelopedfor radiofrequency ampliers over thepast
20years.
Thebasicsstartoff withvocabularyonunitsof reliability, rolesof reliabilityinproduct
development, andgoals of reliability inendapplications. Various failuremechanisms
weredescribedalongwiththethreekeystoreliabilityprediction:
504 Reliability
r
knowledgeof theroot causeof failuremechanisms;
r
measurement of degradationdistributions;
r
characterizationof accelerationfactors.
Measurements inthesethreekey areas werementioned withemphasis onreliability
methodology, andresultsgivenfor several specic examples. Product, technology, and
packagemechanismshavebeenconsidered.
The importance of using the right acceleration was presented. If we are to make
predictions about reliability, we must be able to accelerate the failure mechanisms
without generating new issues brought on by the aging itself. Thermal acceleration
is easy, but that doesnt make it the right thing to do in all cases. An understanding
of mechanisms and degradation distributions can help us to look where acceleration
might belessunderstood. Tohelp, weneedtotalkmorewithcustomerswhousepower
ampliers. Their useof thedevices cangiveclues as towhat types of accelerationare
mostapplicable. Forexample, thermal excursions, voltage, currentdensity, andhumidity
might bepreferabletohigh-temperatureacceleration.
With few exceptions, the reliability investigations on power amplier circuits over
the past two decades have evolved to rely on thermally accelerated wearout failure
mechanisms. Regardlessof themeasuredlifetimes, therehavebeenfewwearoutfailures
reportedduringuseof thecircuits. Instead, customersdoreport measurabledefect rates
andearly lifefailures that oftenmatch-upwithyieldfallout failuremechanisms. This
discussion has involved various mechanisms, while focusing on yield and reliability
relationshipswhichareintertwinedwithearlylifetimesof products.
Thereareafewobviousimprovementstrategiesavailabletosemiconductor reliability
engineers. Sometacticsaresimilar tothoseusedby mainstreamsiliconengineers, and
someareuniqueto thespecial power amplier technologies. As theoverall reliability
improves, degradationbecomes elusive. Anexampleof analternatemethodtopredict
failureratesistheconsiderationof yieldcorrelationstoreliability. If yieldfallout also
disappearswithmaturity, wecanusenewtrickssuchasphysical amplicationof defects
inorder toextendour predictivecapability. Recent breakthroughswithinnovativetests
such as power cycling, high-voltageramping, and RF biased lifetesting, arethekind
of tactics that canput power amplier reliability understandings aheadof thelearning
curveof our larger mainstreamsolidstaterelatives.
Wevetoutedthetechnology differences as defensefor thepower amplier product
niche; whynotusethereliabilitydifferencesaswell?Becauseof theuniquemechanisms
and absenceof thesilicon scaling problems, power ampliers havean opportunity to
demonstrateexceptional reliabilityinthefuture.
Appendix 10.1
Brief discussion on burn-in
Early or infant failuresrefer tosystemswhichfail longbeforenormal wear-out would
beexpected. Early failures areattributedto devices or assemblies withmanufacturing
References 505
defectsorproblems.Consumershavebeenhistoricallyprotectedfromtheseearlyfailures
by awarranty. If theearly failurerateexceeds anacceptablelevel, thensomeformof
infant mortality control may beneeded. For electronics, this control is achieved by
accelerating the population through the early failure rate region. This type of infant
mortality failure rate reduction is called burn-in. For the rst three decades of the
electronicage, earlyfailureswerescreenedbythepracticeof burn-in, wheredevicesor
systems areturned-onfor ashort periodof timebeforebeingdeliveredto customers.
Occurrences of early failures decrease signicantly as devices age, so burn-in was
effective in preventing warranty failures. Over the years, burn-in was optimized by
elevatingthetemperature. Thisthermal accelerationcausedearlyfailurestooccurfaster,
andallowedmanufacturerstoshipproductssooner. Over recentdecades, semiconductor
manufacturershavediscoveredthat eliminatingmanufacturingawsduringfabrication
is a more cost-effective method of reducing early failures than screening individual
deviceswithburn-in.
Althoughelectronic assemblies andsubsystems still routinely receiveburn-in, inte-
grated circuit burn-in is often phased-out during the early technology development.
Matureamplier production lines ordinarily do not experiencesignicant infant fail-
ures. Early failureoccurrences onmaturetechnologies havebeenat anextremely low
level. AsidefromdifcultiesinrepeatedlymeasuringdevicesoperatingatGHzfrequen-
cies, less than one amplier per 10,000 has been found to be screenable by burn-in.
Similarly, data fromhundreds of different life-tests running in excess of millions of
device hours show no indication of infant failure mechanisms. These infant mecha-
nisms areexpectedto beeliminatedby continuous improvement activities throughout
theprocessowusedtoconstruct ampliers. For example, several processingstepsare
conductedat temperatureshighenoughtoacceleratemost early failuremechanismsto
failure. So, many mechanismsareinherently screenedby thefabricationandassembly
processesusedtoconstruct ampliers.
Additional screensareoftenappliedtoampliersduringnal product tests. Biasing
may be applied to products beyond the dened conditions that are used to validate
compliance to the specied operating parameters of the device. For example, severe
reversebiasleakagetests, extremepower-onshocktests, acutevoltagesupplybias, and
highinputRF drivetestsmaybeincludedwithinthesuiteof vericationtestsappliedto
all circuits. For manyearlyfailuremechanisms, biasedscreeningismuchmoreeffective
thanthermal burn-in.
References
1. W. J. Roesch and D. Stunkard, Proving GaAs Reliability With IC Element Testing, U.S.
Conference on GaAs MANufacturing TECHnology, Nashville Tennessee, pp. 9095, Nov.
1988.
2. D. Cerovecki andK. Malaric, Microwaveamplier gureof meritmeasurement,Measure-
ment Sci. Rev., vol. 8, section3, no. 4, pp. 104107, 2008.
506 Reliability
3. Measurement SystemsAnalysisManual (MSA), AutomotiveIndustryActionGroup(AIAG).
See Gage Repeatability and Reproducibility source and description [Online]. Available at
www.AIAG.org
4. W. J . Roesch, A. L. Rubalcava, and, R. A. Winters, GaAs IC reliability returns: astory of
abuse, GaAsReliabilityWorkshop, Miami BeachFlorida, pp. 3034, Oct. 1992.
5. W. J. Roesch, Reliabilitybasics,GaAsMANufacturingTECHnologyConferenceWorkshop,
Vancouver BritishColumbiaCanada, pp.160Part #3WorkshopNotes, April 1999.
6. A. Black, J r., Electromigration abrief survey andsomerecent results. IEEE Transaction
onElectronDevices, vol. 16, Issue4, pp. 338347, April 1969.
7. T. Henderson, Physicsof degradationinGaAs-basedheterojunctionbipolartransistors,10th
European Symposiumon Reliability of Electron Devices, Failure Physics and Analysis
ESREF, Arcachon, France, pp. 10331042, Oct. 1999.
8. H. C. Cramer, J. D. Oliver, and R. J . Porter, Lifetimeof SiN capacitors determined from
rampedvoltageandconstantvoltagetesting,International CompoundSemiconductor MAN-
ufacturingTECHnologyConference, Vancouver BritishColumbiaCanada, pp. 9194. April
2006.
9. M. J. Brophy, A. Torrejon, S. Petersen, K. Avala, and, L. Liu, MIMstheword capacitors
for fun and prot, International Compound Semiconductor MANufacturing TECHnology
Conference, ScottsdaleAZ, pp. 5759, April 2003.
10. J . Beall, K. Decker, K. Salzman, andG. Drandova, SiliconnitrideMIM capacitor reliability
for multiple dielectric thicknesses, GaAs MANufacturing TECHnology Conference, San
Diego, CA pp. 145149, April 2002.
11. R. Cofe, Y. Chen, I. P. Smorchkova, B. Heying, V. Gambin, W. Sutton, Y.-C. Chou, W.-B.
Luo, M. Wojtowicz, andA. Oki, Temperatureandvoltagedependent RF degradationstudy
inAlGaN/GaN HEMTs IEEE International ReliabilityPhysics Symposium, Phoenix, AZ,
pp. 568569, April 2007.
12. M. Ruberto, O. Degani, S. Wail, A. Tendler, A. Fridman, andG. Goltman. Intel Corporation,
A reliability-aware RF power amplier design for CMOS radio chip integration, IEEE
International ReliabilityPhysicsSymposium, Phoenix, AZ, pp. 536540. April 2008,
13. J . Scarpulla, E. Ahlers, D. Eng, D. Leung, S. Olsen, and C. Wu, Dielectric break-
down, defects, andreliability inSiN MIMCAPs, GaAs ReliabilityWorkshop, Atlanta, GA,
pp. 92105, Nov. 1998.
14. H. Cramer, J . Oliver, andG. Dix, MMIC capacitor dielectric reliability, GaAs Reliability
Workshop, Atlanta, GA, pp.4651, Nov. 1998.
15. B. Yeats, Assessingthereliabilityof siliconnitridecapacitorsinaGaAsIC process, IEEE
TransactionsElectronDevices, vol. 45, no.4, pp. 939946, April 1998.
16. W.J.Roesch,Thermal excursionacceleratingfactors,GaAsReliabilityWorkshop,Monterey,
CA, pp. 119126, Oct. 1999.
17. C. H. Stapper, Modeling of integrated circuit defect sensitivities, IBM J. Res. Develop.,
vol. 27, pp. 549557, Nov. 1983.
18. C. H. Stapper, F. M. Armstrong, andK. Saji, Integratedcircuit yieldstatistics, Proc. IEEE,
vol. 71, no. 4, pp. 453470, April 1983.
19. C. G. Shirley, A defect model of reliability, IEEE International ReliabilityPhysicsSympo-
sium, Tutorial #3, LasVegas, NV, pp. 3.13.56, April 1995.
20. K. R. ForbesandP. Schani , Characterizationof thetime-dependent reliabilityasafunction
of yieldfor a130nmSRAM deviceandapplicationtooptimizeproductionburn-in, IEEE
International ReliabilityPhysicsSymposium, Phoenix, AZ, pp. 165170, April 2004.
References 507
21. J. A. VanDer Pol, E. R. Ooms, T. Vant Hof, andF. G. Kuper, Impact of screeninglatent
defectsatelectrical testontheyield-reliabilityrelationandapplicationtoburn-inelimination,
IEEE International ReliabilityPhysicsSymposium, Reno, NV, pp. 370377, March1998.
22. J . Scarpulla, K. Kho, andS. Olsen, Processmonitoringfor nitridedielectricdefectdensity,
International GaAs MANufacturingTECHnologyConference, Vancouver BritishColumbia
Canada, pp. 231234, May1999.
23. J EDEC Standard35, Procedurefor thewafer-level testingof thindielectrics, (FigureA.1),
April 2001.
24. I. C. Chen, S. Holland, andC. Hu, A quantitativephysical model for time-dependent break-
downinSiO2, IEEE International ReliabilityPhysicsSymposiumOrlando, FL, pp. 2431,
March1985.
25. W. J. Roesch, R. Winters, A. L Rubalcava, and, B. Ingle, Humidityresistanceof GaAsICs,
IEEE GaAsIC Symposium, PhiladelphiaPA, pp. 251254, Oct. 1994.
26. D. S. PeckandC. H. Zierdt, Temperature-humidityaccelerationof metal-electrolysisfailure
in semiconductor devices, IEEE International Reliability Physics Symposium, Las Vegas,
NV, pp. 146152, April 1973.
27. W. J. Roesch, S. Peterson, A. Poe, S. Brockett, S. Mahon, andJ . Bruckner Assessingcircuit
hermeticity by electrolysis, International GaAs MANufacturing TECHnology Conference,
WashingtonDC, pp. 121124, May2000.
28. A. ShumkaandR. R. Piet, Migratedgoldresistiveshorts inmicrocircuits, IEEE Interna-
tional ReliabilityPhysicsSymposium, LasVegas, NV, pp. 9398, April 1975.
29. P. Ersland, H. J en, andX. Yang, Lifetimeaccelerationmodel for HAST testsof apHEMT
process, GaAs Reliability Workshop called theROCS Workshop after 2003. San Diego,
CA, pp. 36, Nov. 2003. (particularlyFigure3.)
30. D. S. Peck, Comprehensive model for humidity testing correlation, IEEE International
ReliabilityPhysicsSymposium, Anaheim, CA, pp. 4450, April 1986.
31. H. Stork, Reliability challengesfor sub-100nmsystemonchiptechnologies, IEEE Inter-
national ReliabilityPhysicsSymposium, Phoenix, AZpp. 1(KeynoteAddress), April 2004.
11 Power amplier applications
Mustafa Akkul and Wolfgang B osch
ASELSAN A.S. and Graz University of Technology
11.1 Introduction
Power ampliers(PAs) areusuallythelast activecomponent intheRF chaininmodern
radar and telecommunication equipment. Their nonlinear behavior has a signicant
impact on theoverall systemperformanceand quiteoften is themost limiting factor
in modern radio systems. The purpose of a power amplier is mainly to boost the
radiosignal tosufcient power levelssuitablefor awiredor wirelesstransmissionfrom
thetransmitter to thereceiver. Typically, they work at relatively highpower levels and
hence are a major power consumer in the overall transmitter system. However, their
conversion efciency fromDC supply power to RF output power is traditionally very
poor. Further, it isstronglydependent ontheRF signal drivelevel andhighest whenthe
amplier isoperatedinitsmost nonlinear regionandtheoutput RF power compressed
or even saturated. Efciency and linearity are severely contradicting power amplier
requirementsandthemost important parameterstobetradedoff.
Oneof thebiggest sellingfactors for mobilehandsets is talk time. For other bat-
tery operatedsystems suchas wireless sensor networks or even satellites thetimein
operation is commercially a highly valued asset. For xed wireless transmitter sys-
tems(e.g., basestations) therunningcost andtheelectricitybill arecommerciallymost
relevant, they translatedirectly into carbon footprint and related CO
2
emission. Most
military platforms that arecapable of carrying a modern radar systemarelimited in
space, energy andcoolingcapability, hencetheefciency of theradar transmit power
amplier mostlydeterminestheperformanceandsizeof theradar equipmentthatcanbe
implementedonagivenplatform. Theoverall efciencyof apower amplier subsystem
ishighlycommerciallyrelevant anddetailedsystemrequirementsandtradeoffsneedto
bewell understoodwhendecidingonapower amplier architecture.
Major trendsinpower amplier requirementscanreadily beobservedwhenlooking
at thedevelopments of themobiletelecomindustry inthelast twenty years. Therst
analoguecellular systems, suchasthetotal accesscommunicationsystem(TACS) used
ananaloguefrequencymodulationscheme, whichallowedtheadoptionof highlynonlin-
ear PAsbecauseof theconstant or near-constant envelopeof theRF signal modulation.
However, evenwiththesecondgenerationof theEuropeanmobiletelecomsystemglobal
systemfor mobilecommunications (GSM) that still uses analmost constant envelope
modulation, morestringent linearityrequirementsfor thePA wereput inplacetocoun-
teract transmissionphaseerrors, spectral re-growthandsignal interferencedueto fast
11.2 System design parameter tradeoffs 509
powerramping. Theintroductionof morecomplexmodulationschemesin2.5Gsystems
such as enhanced datarates for global evolution (EDGE) that uses theexisting GSM
spectrarequiresevenhigher power amplier linearity resultinginareductioninpower
amplier efciency. Withtheintroductionof multipathresistantorthogonalf-frequency-
division-multiplexing(OFDM) multicarrier schemesandwidebandcode-divisionmul-
tipleaccess(WCDMA) systemstheoverall linearityrequirementsin3Gcommunication
systemsbecomeoverridingandvery stringent, andthereforesystempower conversion
efciencies arefrequently in thesingledigit region. Thetrend in thenext generation
4Gsystemsistowardsevenhigher datathroughputs, moreefcientuseof thespectrum,
andhigher robustness(e.g., utilizationof MIMOtechnology), all requiringvery linear
ampliersover awidedynamicrange.
Itisevidentthatfor todays3Gandfuture4Gcommunicationsystemsasimplepower
amplier designwill not besufcient tomeet thelinearityandefciencyrequirements,
hencemoresophisticatedpower amplier designtechniques to either boost efciency
or toenhancetheoverall linearityhavetobeimplemented. A verygoodunderstanding
of thepower amplier designparametersandtheir impact at systemlevel iscrucial for
overall product designsuccess.
Thefollowingsectionswill discusssomecommonsystemlevel parameter tradeoffs,
highlight themost promising linearization and signal combining techniques, and will
provideselectedexamplesfromcommercial andmilitaryapplications.
11.2 System design parameter tradeoffs
11.2.1 Output powerefciency tradeoff
Theoutput power of apower amplier is denedas theRF power that is deliveredto
theload. For maximumpower delivery theloadis typically conjugatematchedto the
output impedance of the amplier and hence resistive only. The time average of the
instantaneousoutput power istheaverageoutput power.
P
out
=
1
T
_
T,2
T2
: (t) i (t)dt. (11.1)
Inmost cases only theoutput power at thefundamental frequency is of relevance, and
incaseof CW operationandaresistiveloadR thefundamental averageoutput power
simpliesto
P
out
=
V
2
0
2R
(11.2)
whereV
0
istheamplitudeof thesinusoidal output signal.
Thepower conversionefciencyof power ampliersisakeyperformanceparameter
and in the simplest formmeasured at a single frequency under CW operation. For a
singlegainstagethedrainefciency(or collector efciency) isdenedas:
Efciency=
D
=
P
out
P
DC
(11.3)
510 Power amplier applications
ThePAE isgivenby:
PAE =
P
out
P
in
P
DC
(11.4)
whereP
out
andP
in
aretheRF power measuredat thefundamental inCWoperation.
Typically, themaximumefciencywill bereachedatmaximumoutputpowerandwill
thendropwithreduceddrivesignal. For Class A ampliers intheideal caseit canbe
shownthat themaximumefciencyis50%.

D
classA =
P
out
P
DC
=
V
2
0
2V
2
D

1
2
(11.5)
where V
D
is the supply voltage. Hence, when reducing the input RF power in linear
operationtheoutputpower will bereducedaccordinglyandtheefciencywill dropwith
alinear dependenceontheoutput power or thesquareof theenvelopevoltageasshown
inFigure11.1. For aClassB amplier theefciencyinCWoperationcanbeexpressed
by

D
classB=
P
out
P
DC
=
V
0
4V
D


4
(11.6)
Heretheefciency rises linearly withthevoltageof theoutput signal andhencehas a
square root relationship to the output power. The instantaneous efciency is dened
as the efciency at a specic output power level (typically measured at a specic
CW frequency). For most ampliers themaximumefciency is reached at maximum
output power and is a very useful and easy to measure benchmark when designing
ampliers.
However,intypical communicationsystems,evenwithconstantamplitudemodulation
schemes, thedrivelevel maychangesignicantlyover timeandtheaverageefciencyis
moreof aconcernandthedeterminingfactor for theoverall systemdesign. Theaverage
efciency is denedas thetimeaverageof P
out
(t) dividedby theaverageinput power
P
in
(t) [1].

D
avg
=
P
out avg
P
DC avg
. (11.7)
For modulatedband-passsignalstheamplitudeof theCWcarrier frequency V
0
canbe
expressedasthetimevaryingenvelopefunctionA(t). Theoutput power andDC power
canthenbeexpressedasfunctionof thesignal envelopeA(t).
The probability density function (PDF) of the envelope A(t) describes the relative
amountof timethesignal spendsatagivenamplitude. For uncorrelatedmultiplecarrier
signals, andalsofor randomGaussianprocesses, theenvelopeisRayleighdistributed.
p(A) =
A

2
e
A
2
2
2
(11.8)
andtheaverageefciencyisthencalculatedas

D avg
=
_
Amax
o

D
(A) p(A) dA (11.9)
11.2 System design parameter tradeoffs 511
0 0.2 0.4 0.6 0.8 1
0
0.1
0.2
0.3
0.4
0.5
e
f
f
i
c
i
e
n
c
y
envelope
0 0.2 0.4 0.6 0.8 1
0
0.5
1
1.5
2
2.5
3
3.5
p
d
f
(
e
n
v
e
l
o
p
e
)
envelope
0 0.2 0.4 0.6 0.8 1
0
0.05
0.1
0.15
0.2
p
d
f

o
f

t
h
e

e
f
f
i
c
i
e
n
c
y
envelope
overall efficiency:4.0%
Figure 11.1 Averageefciencyof aClassA power amplier withaRaleighdistributedoutput
signal [3].
Figure11.1showsavisual exampletocalculatetheaverageefciency for theClassA
amplier under multicarrier operation. Assuming a peak to averageratio of 1:10 the
averageefciencydropstoonly4%fromapeakefciencyof 50%. Incaseof theClass
Bamplier withamaximumefciencyof 78%theaverageefciencydropsto28%[2].
Thepeakefciencyandthedynamicrelationshipbetweenefciencyandoutputpower
of an amplier in conjunction with the PDF of the envelope strongly determine the
overall efciency performanceof thePA system. Therefore, theinitial power amplier
designaims to achievethebest peak efciency for therequiredlinearity. Typically, at
ahigher designlevel theamplier isthenput intoalinearizationsystemthat enhances
thelinearity for agivenefciency andoutput power, or it is assembledintoaDoherty
congurationwhereahigher efciencyiskept evenat lower drivelevel.
For manycommunicationandradar applicationstheoutput power of theamplier is
controlledandchangesovertimeinordertoadapttotheenvironment, ortoconserveDC
power inbatteryoperatedsystems. Prior systemknowledgeandagoodunderstandingof
theapplicationiskeyfor anoptimumamplier design. InCDMA systemsfor example
all users transmit at thesamefrequency at thesametime. Constantly controlling the
outputpower of all userstoavoidinterferenceandtoequalizethereceivesignal levelsat
thebasestationiscommonpracticetooptimizetheoverall performance. InTDMA and
FDMA systemstheusershaveallocatedtimeandfrequency slots, henceoutput power
512 Power amplier applications
30 20 10 0
0
0.2
0.4
0.6
0.8
P
D
F
P
out
/P
out,max
(dB)
TDMA/FDMA
CMDA
Figure 11.2 MeasuredPDF of theaverageoutput power inTDMA/FDMA systemsandin
CDMA systems[3].
is mainly adjusted to compensatefor thedistanceto thebasestation and to conserve
battery power. Figure11.2shows themeasuredPDF of theaverageoutput power ina
TDMA/FDMA andaCDMA communicationsystem. It is evident howdissimilar the
RF power statistics of these systems are and that they require signicantly different
amplier designsfor optimumperformance.
11.2.2 Linearity, modulation scheme, and crest factor
Thelinearityof apower amplier canbemeasuredinmanydifferentwaysinthetimeor
frequencydomain. Themost useful but not fullycomprehensiveisthecharacterization
of anonlinear power amplier intermsof theamplitudeandphasedistortioninrelation
tothetheoutput or input signal power level. A nonlinear amplitudedistortionresultsin
anoutputamplitudeor envelopeof thesignal thatisnotanexactampliedreplicaof the
inputenvelope. Inmostcasestheoutputsignal will becompressedandtheshape(slope)
will havechanged. A nonlinearamplitudetophaseconversionisessentiallyaphaseshift
as afunction of input driveand will causean additional unwanted phasemodulation
of theoutput signal. Any nonlinear amplicationwill also causeadditional frequency
componentsintheoutput signal andanunwantedspreadingof theoutput spectrum.
Nonlinear distortion is caused by inherent nonlinear transistor effects, saturation
effects, chargestorageandthermal effects, andit alsocomesfromthesimplefact that
everysystemislimitedinoutputpower andhencetheamplitudeof theoutputsignal will
beclippedatsomepointintimewhenithitsthelimitsof thesystem. Astheefciencyis
highestatthemaximumoutputpower of anamplier peopletraditionallyhaveoperated
theamplifer closetosatturationandhaveusedphaseor frequencymodulationschemes
withconstantenevelopestoachievethebestefciencyandlinearityperformance.Classic
digital modulationsystemssuchasFSK orPSK alsohaveaconstantenvelopeandutilize
saturatedamplierswiththebestefciencies, butthephase/frequencysignal transistion
isquiteapruptandcausesverywidespectral spreading. Thisisneforthelowdatarates
of thepast, butfor moremodernsystemsatradeoff betweenrequireddatarate, available
11.2 System design parameter tradeoffs 513
spectrum, variationof theenvelopeamplitudeandruggedness towards amplitudeand
phasedistortionhastobetaken.
Very commonly usedintodays communicationsystemsisGaussianminimumshift
keying(GMSK) modulation, whichis essentailly anoffset QPSK modulationscheme
that usesgaussianpulsesinsteadof raisedcosinelteringtoreducethemodulationside
lobes evenfurther whilestill maintainingaquasi constant envelope. GMSK is usedin
GSM systems, indigital Europeancordlesstelephones(DECT), cellular digital packet
data(CDPD) systems, thecellularphonesystemsdigital communicationsystems(DCS)
1800, andinPCS1900intheUSA.
The peak envelope power PEP of a given modulation scheme is one of the most
important design gures in power amplier designs. The size of the semiconductor
devices, themaximumpower and voltageratings of all components, and thecooling
capacity of thepower amplier assembly areall strongly dependent onthemaximum
outputpower requiredfromthesystem. Theratioof peaksignal power toaveragesignal
power (PAPR) iscommonlyusedtodeterminetheinput power back-off that isrequired
toprovidegoodlinearity.
ThePAPR isdenedas:
PAPR =
PEP
P
avg
.
(11.10)
andisoftengivenasalogarithmicpower ratioindB:
PAPR
dB
= 10log
PEP
P
avg
(11.11)
Thevoltagebasedexpressionof thepeaktoaverageratioisdenedbytheCrest Factor
CF, whichiscalculatedby
CF =

PAPR. (11.12)
Constantenvelopesignalssuchasfrequencyorphasemodulatedsignals, unlteredPSK,
QPSK, GMSK, etc. haveaPAPR = 0dB.
However, inmultichannel applicationswhereseveral modulatedsignalsarecombined
andthecomposit signal is fedto theamplier thesignal envelopeis not constant any
moreandwill varyover time. For atwocarrier signal thePAPR=3dB. Itcanbeshown
thatfor multicarrier signalswithNindependentlymodulatedandnotcorrelatedcarriers
thepeaktoaveragepower ratioisequal thenumber of carriersN.
PAPR= N(number of carriers). (11.13)
Many modern communication systems are using OFDM schemes where the data is
transmittedby many closely spacedorthogonal subcarriers that aretypically QPSK or
PSK modulated. Althoughthesubcarriershaveaconstantenvelope, thecompositOFDM
signal has awidevariationinsignal power. Thenumber of subcarriers ranges froma
few tens (e.g., 52 for WLAN) up to several thousands in DVB applications. Hence,
thetheoretical peak power couldbeveryhigh(1000times), but statisticallyveryrarely
occurs. In practicePAPR ranges from10 dB to 16 dB. Thelargevariation in power,
514 Power amplier applications
andhencetherequiredlargepower back-off, isoneof themajor drawbacksof OFDM
systems.
Recently, several methods havebeendevelopedto reducethehighPAPR of OFDM
andother multichannel signals. Clippingandsubsequentlteringtechniques[4] remove
thesignal peaksandsmooththeclippedsignal. Therearerecursivemethods[5] where
clippingandlteringisrepeatedseveral timesuntil thesignal hasthedesiredPAPRratio.
Clippingisinherentlyahighlynonlinear functionandgeneratesin-banddistortionand
out-of-bandcrosstalk, thereforethereisalwaysatradeoff betweenPAPRanddistortion.
Inthedigital domainspeciccodingtechniquese.g., Golay[6] or ReedMueller [7, 8]
havebeendevelopedtoavoidcodeconstellations withhighPAPR. Another methodis
to dynamically extendouter constellationpoints indatacarryingchannels inorder to
minimizethepeak magnitude[9]. Similar techniques exist for CDMA andW-CDMA
systems.
11.3 System level linearization techniques
11.3.1 Introduction to linearization techniques
Linearizationandefciency enhancement techniqueshavebeenaroundsincethe1935
and many variants have been developed and published since then. As the linearity
andtheefciency of apower amplier arecontradictingrequirements, quiteoftenthe
applied technique either enhances the overall efciency or it improves the linearity
of the system. A simultaneous efciency enhancement and linearity improvement is
very difcult to achieveandusually requires thecombinationof several enhancement
techniques. Typically, for agiven linearity requirement of asystem, theefciency of
theamplier isenhancedor maintainedover awider dynamicrange. Dependingonthe
applicationthecomplexityof thelinearizationand/or efciencyenhancementtechnique
canvaryfromasimpleback-off systemtoaquitecomplexdigitallypredistortedDoherty
amplier.
Apredistortionschemeisprimaryalinearizationtechniquethatcanvaryincomplexity
and is put in front of an amplier. Thepredistorter (linearizer) can also becombined
with asubsequent efciency enhancement schemesuch as aDoherty system[10], an
envelope elimination and restoration (EER) scheme [11] or a Chireixs out-phasing
(LINC) scheme[12]. Inthis way theefciency andthelinearity of theoverall system
canbeimproved, however thesesystemsareverycomplexandcostly.
Themostimportantenhancementschemesandtheir typical applicationarediscussed
inthefollowingsections.
11.3.2 Digital baseband predistortion
Theconcept of predistortionis similar to anaudio equalizer that compensates for the
acousticcharacteristicsof asystem. However, thelinearizationforMWpowerampliers
isnot performedinrelationtoitsfrequency response, but tocompensatethenonlinear
11.3 System level linearization techniques 515
Baseband
Signal
Baseband
Signal
LO
LO
PA
PA X
X F
A
(.)
F
D
(.)
Figure 11.3 Basicprincipleof alinearizedamplier usingpredistortion
relationshipof theinputsignal versustheoutputsignal. Itcanbeshownthatintheoryan
nth-order nonlinear systemcanbecompensatedandlinearizedbycascadinganinverse
pth-order nonlinearity. Theresultingsystemshows alinear transfer functionupto the
orderof n*p, butthengenerateshigher-ordernonlinearmixingproducts, whichtypically
arenegligible.
Figure11.3shows thebasic block diagramof alinearizedamplier usingapredis-
torter. Inanaloglinearizationsystemsthepredistortionistypically implementedat RF
frequencies. Using relatively simpleRF circuit designs again expansion unit with an
inversephasecharacteristic is often realized that linearizes thepower amplier at the
circuit level. Thelevel of improvement is adequatefor minor nonlinearities, however
if there are strong linearity requirements, as is the case for multicarrier communica-
tion systems (CDMA and OFDM), then analog predistortion becomes very difcult.
The inverse amplitude and phase characteristic have to be matched to the nonlinear
PA characteristic very accurately (less then0.1dB inamplitudeandafewdegrees in
phase) and need to betracked over temperature, signal drivelevel, and ageing of the
device. Thereforedigital predistortiontechniqueswithafeedbackcontrol loophavebeen
developed.
Digital predistortion techniques exploit the ever-increasing processing power now
availablefromDSP devices, whichallowsthembothtoformandtoupdatetherequired
inverse nonlinear characteristic [13]. Typically, they operate with a digital baseband
signal.
Thedigital basebandpredistortion(DPD) approach, asthenamesays, doesnot take
into account the RF carrier frequency the PA is working at. It rather models the PA
behavior by thefollowingcomplex basebandmodel inwhichxandyarethecomplex
envelopes of theRF input andoutput signal, respectively, andI
PA
([x[) is thecomplex
amplier gaindependingontheinput signal magnitude.
y = x I
PA
([x[) (11.14)
516 Power amplier applications
Baseband
data
Predistorter
LO
PA
-3
-120
-100
-80
-60
-40
-20
0
-2 -1
P
o
w
e
r

s
p
e
c
t
u
r
m

d
e
n
e
l
1 2 3 -3
-120
-100
-80
-60
-40
-20
0
-2 -1
P
o
w
e
r

s
p
e
c
t
u
r
m

d
e
n
e
l
1 2 3
Frequency / Hz
0 0
Frequency / Hz
Figure 11.4 Illustrativeblockdiagramof apredistorter.
IntroducingI
PD
([x[) asthecomplexbasebandpredistorter gaindependingontheinput
signal magnitudeandMasthereal PA gain, it canbedescribedasfollows.
y = x I
PD
([x[) I
PA
([x[) M (11.15)
Theentity formingtheinversenonlinear characteristic is thepredistorter itself. There
areseveral techniquestoimplement suchnonlinear characteristicsbut all of themhave
in common that their output is calculated as an input magnitude-dependent function
of their input. Thus, thepredistorter produces adistortedsignal out of its linear input
signal. Besidethelinear signal, theoutput signal contains theinverseintermodulation
products, mainly of the3rd, 5th, and7thorders. Figure11.4illustratesthepredistorter
function. Takingtheexampleof asinglecarrier UMTS signal, thedistortionproduced
by thepredistorter isdepictedandtheresultinglinearityimprovement at thePA output
isshownwithmeasureddata.
Toenablethisfunctionality, thepredistorter systemhastobeimplementedinsucha
waythatitnotonlyfullstheNyquistcriteriaaccordingtothebandwidthof theincoming
basebandsignal butalsothesignicantlyhigheroneof producingthepredistortedoutput
signal. Furthermore, thelinear basebandsignal hastobesufcientlyinterpolatedbefore
it isfedintothepredistorter.
Thehigher bandwidthof thepredistortedoutputsignal hastobepropagateduptothe
PA input, demandingsuitableDACsanddiligent analoguedesign. Thiscancertainlybe
seenasthemaindrawbackof digital basebandpredistortion. OnefurtherissueforDPDis
thedeterminationof thenonlinearityappliedtothebasebandsignal. Thesimplesolution
is to bringanexamplePA to atest bench, characterizeits nonlinearities, calculatethe
inversefunction, andprogramthepredistorter withit. ThelinearityatthePA outputwill
improvebuteffectssuchasdevicedriftover temperature, aging, etc. arenotconsidered.
To overcometheseseverelimitations, adaptiveDPD has beenintroduced. Figure11.5
showsschematicallythesysteminwhichasamplereceiver isusedwhichallowscontrol
of thePA output behavior instantaneously.
Havingfeedback informationfromthePA output availablewithintheDSP, analgo-
rithmcalculates thePA nonlinearity andhenceits inversefunctiononaregular basis.
Applyingthecalculatedinversenonlinearity tothepredistorter, thedifferencebetween
11.3 System level linearization techniques 517
Baseband
data
Predistorter
Adaptation
LO
X Y Z
Z
LO
PA
Figure 11.5 Adaptivepredistorter blockdiagram.
thebasebandsignal (X) andthesamplereceiver signal (Z) is minimized. Sucharchi-
tecture is able to react to any changes in the behavior of the PA line-up mentioned
above.
11.3.3 Memory effect compensation
Inwirelesscommunicationsystems, thetrendtohigher bandwidthsisunbrokendueto
multicarrier transmissionsandnewstandardslikeLTEwhichsupportsignal bandwidths
up to 20 MHz. High PA output powers arealso demanded to increasecell coverage.
Unfortunately, withincreasingsignal bandwidthandhigher outputpower, thePA shows
dynamic semiconductor effects which arenot covered by asinglenonlinearity. These
so-calledmemoryeffectsarecausedbythermal behavior, trappingeffectsandparasitic
capacitanceswithinthesemiconductor.
Whencompensatingmemory effects, it is not sufcient to consider only onesingle
point intimeindeningthePA characteristic. Hence, modelingandmitigatingof such
memoryeffectsbecomesmorecomplex, especiallywhenanadditional variablesuchas
timeistakenintoaccount.
Figure11.6showsexamplemeasurements inthreespectral plots whichdiffer inthe
adjacent channels. Trace1showstheinitial spectrumof aPA transmittingfour carrier
UMTS at approximately 40 W output power. The PA works with acceptable power
efciency but violates the applicable specications for the adjacent channel leakage
power ratio(ACLR) [14]. Intrace2, DPDisapplied, resultinginhigher linearitybutstill
not fulllingthespecicationinthealternatechannels. Thebest linearizationresult is
reachedbyapplyingDPDwithmemoryeffect compensation, asshownbytrace3. This
signal nowfullsthespecicationswithacomfortablemargin.
11.3.4 Impact on power efciency
Intermsof power consumption, thereisonly anegligibleamount requiredfor DPD in
DSP. Thereasonisthat inmost applications, aDSP unit already existsfor other signal
518 Power amplier applications
Figure 11.6 DPDperformanceplots.
Figure 11.7 Without DPD(10W, 20W, 40W) left-handside, 40Wwithandwithout DPD
right-handside.
conditioning issues. This unit just has to be expanded to cover the digital baseband
predistortion functionality. Fortunately, DPD can take advantage of the fact that the
power dissipation of DSP decreases with thedigital technology evolution. Thepower
consumptionof theDACs andtheanaloguecircuitry also rises slightly becauseof the
higher bandwidth to betransmitted. This supplementary effect is difcult to estimate
but is intheregionof afewwatts. Hence, theamount of dissipatedpower to perform
digital predistortioncanbesummarizedassmall and, furthermore, mostlyindependent
of thePA outputpower. Asaresult, thepower efciencyof aPA withDPDlinearization
increaseswithitsoutput power.
Figure11.7illustratesthepower efciencyimpact for anLDMOSPA moduletaking
a single carrier UMTS transmission as an example. The left spectral plots show the
behavior of a nonlinearized PA at different output powers and hence different power
efciencies. Trace L3 was measured when transmitting 10 W at about 8.0% power
11.4 Wireless communication power ampliers 519
efciency, traceL2whentransmitting20Watabout12%power efciency, andtraceL1
whentransmitting40Watslightlymorethan18%power efciency. WhereasL3andL2
full the3GPP ACLR specication, L1violatesit. TraceR1ontheright measurement
plot shows thesamemeasurement as L1 (40 W @ 18%efciency). TraceR2 shows
theresult of applyingDPD at the40W level. ThePA nownot only meets theACLR
specication, italsohashigher outputpower andbetter efciencythanthenonlinearized
amplier whenbackedoff tomeet thespectral requirement (tracesL2andL3).
This exampleshows that thereis not only apower saving by applying DPD; trans-
mitting40Wat 18%efciencywithasingletransistor insteadof anonlinearized20W
at 12%savesasecondsemiconductor deviceandthecombiningnetwork, whichwould
berequiredto achieveacomparableoutput power of 40W inthenonlinearizedcase.
Theoverall DC power savings aremorethan110W. Eveninthis simpleexamplethe
enormousbenetof introducingDPDcanbeseen. IncaseswherethePA hastotransmit
awider signal bandwidths (e.g., four modulatedcarriers), quiteoften, memory effects
of theamplier degradetheperformancesuchthatevenwithalargeback-off theACLR
specications areviolatedandcannot berecovered. Inthosecases theutilizationof a
DPDschemeisabsolutelyrequiredtomakethesystemcompliant (independentlyof the
output power). ReferringtoFigure11.6asingleDPDlinearizedPA iscapableof trans-
mittingafour-carrier UMTS signal andmeetingtheACLR specications, potentially
savinguptothreefurther PAsandacomplexoutput combiningnetwork.
11.4 Wireless communication power ampliers
11.4.1 Mobile radio communication today
Todays mobile radio communication is characterized by the coexistence of various
different wireless communicationstandards suchas GSM, UMTS andWiMAX. Soon
this list will besupplementedby LTE whichwill becommercially introducedinnear
future. Inthefollowing, thesemainstandardsarebrieyintroduced.
Global systemfor mobilecommunication(GSM)
GSMwastherststandardof theso-calledsecondgeneration(2G) mobileradiosystems
andenabledfull digital radiocommunication, andwascommercially introducedat the
beginningof the1990s. Today, GSM isstill themostcommonstandardintheworldand
is mainly usedfor telephony, packet switcheddatatransmission, andshort messaging
(SMS). GSM works by means of different frequencies for uplink (transmission from
user equipment tomobileradionetwork) anddownlink (frommobileradionetwork to
theuser equipment). Later standardextensions whichcamealongareHSCSD, GPRS,
andEDGE (e.g., upto220kbit/s) allowingfor faster datatransmission.
Universal MobileTelecommunicationsSystem(UMTS)
UMTS was introducedas thethirdmobilecommunicationstandard(3G) withsigni-
cantly increased datarates ranging up to 384 kbit/s in downlink. Standard extensions
520 Power amplier applications
for increased datarates are, for example, HSDPA with up to 7.2 Mbit/s in downlink.
Thankstotheincreaseddatarates, UMTSsupportsaudio- andvideo-telephony, internet
access, etc. UMTS uses frequency-division-duplex (FDD) modethus requiringpaired
frequencybands. ThechiprateinFDDis3.84Mcpswithachannel spacingof 5MHz.
Today, the3GPP (thirdgenerationpartnershipproject) consortiumcaresfor UMTSand
further standardization.
Long-termevolution(LTE)
LTE (alsocalledE-UTRANor 3.9G) appearsasasuccessor of theUMTSstandardand
wasdenedwithin3GPP. LTE usestheso-calledOFDM schemeandsupportsmultiple-
input-multiple-output (MIMO) techniqueswiththeaimof enablingcheaphighbit-rate
dataservicesandthusmakemobileinternet amassmarket. ComparedtoUMTS, LTE
supportsdifferent bandwidths(e.g., 3MHz, 5MHz, 10MHzand20MHz) whichmake
it moreexiblefor futureuseindifferent spectra. LTE is designedto operateinFDD
modeaswell asintime-division-duplex(TDD) mode.
Worldwideinteroperabilitymicrowaveaccess(WiMAX)
WiMAX isusedasasynonymfortheIEEE802.16standardandcanberegardedasbeing
acompetingstandardto LTE. WiMAX networks canbeusedto connect GSM/UMTS
base stations in the backhauling area or for wireless internet access. Unlike GSM,
UMTS or LTE, WiMAX frequency bands arenot globally standardized in auniform
manner andcanalso beusedout of theusual mobileradio L andS bands upto high
frequenciesase.g.,60GHz.Threefrequencybandsintherangeof 2.3GHz,2.5GHz,and
3.5GHzhavebeenlicensedbytheWiMAX ForumwhichcoordinatesWiMAX policies.
WiMAX is plannedinFDD andTDD modeandsupports different signal bandwidths
upto10MHz. LikeLTE, WiMAX isbasedonOFDM.
Theallocatedfrequency bands for mobileradio communication aremainly located
intheUHF, L, andS bands betweenapproximately 400MHz and4GHz. Figure11.8
indicatestheplacementof themobileradiofrequencybandscomparedtocommunication
applications like point-to-point and satellite communication. Some frequency ranges
allocated to theGSM-, UMTS-, WiMAX-, and LTE-standards arealso shown. In the
mobileradio frequency rangethewavelength is still largeenough to allowfor hybrid
amplier realization, comparedtotheapplicationsoperatingat evenhigher frequencies
whichmorelikelyrequireMMICs.
Thecurrentsituationinmobilecommunicationaroseduetothesequential introduction
of newstandards whichbecamenecessary inorder to satisfy thedemandfor thedata
rateswhichhavebeensteadilyincreasing. Theseintroductionsneededtobeperformed
without shuttingdowntheprecedingstandards, at thesametimeobservingregionally
independent standardsandfrequencyallocationor coveragerequirements.
Todaysandfuturestandardsincreasinglyusemoderndigital transmissiontechniques
like W-CDMA (UMTS) or OFDM (WiMAX, LTE) in order to manage the continu-
ouslyincreasingdataratesnecessarytosupportmobilemultimediaapplications. Unlike
GMSK usedforGSMsingle-carrierapplication, themodulationschemesusedinUMTS
11.4 Wireless communication power ampliers 521
Mobile Radio
Communication
300
MHz
UHF
L-Band
GSM
LTE
GSM
CDMA
GSM
LTE
(exemplarily overview only, collocation not exhaustive)
WiMAX WiMAX
UMTS
LTE LTE
(Reference: ITU: Rec. ITU-R V4.31-7, Nomenclature
of the Frequency And Wavelength Bands Used in
Telecommunications)
S-Band
hybrid MMIC
C-Band
SHF
X-Band
KU
K
Ka
EHF
1 GHz 2 GHz 3 GHz 4 GHz 8 GHz
12
GHz
18
GHz
27
GHz
30
GHz
40
GHz Frequency
100
P
o
w
e
r

/

[
W
]
Broadband Wireless Access
PtP, PMP
S
a
t
e
llit
e
C
o
m
m
u
n
ic
a
t
io
n
0.9 GHz
0.45 GHz
1.8 GHz 2.5 GHz 3.5 GHz
2.1 GHz 2.6 GHz
Figure 11.8 Placement of mobileradiofrequencyrange.
Increased signal PAR
high
(12 dB)
3G
UMTS
low
Speech and
SMS only Multimedia applications
(without application of linearization and reduction of signal dynamic)
l
o
w
H
i
g
h
E
n
e
r
g
y

E
f
f
i
c
i
e
n
c
y
high
low
(0 dB)
2G
GSM
Data Rate
4G
WiMAX, LTE
Figure 11.9 Efciencytrendfor different mobileradiostandards.
or infutureLTE arecharacterizedbystronglyvaryingsignal envelopeswithhighpeak-
to-averageratios(PARs) of > 10dB. Figure11.9shows theeffect of higher datarates
onamplier efciency. Whileinthecaseof single-carrier GSM arelatively highef-
ciencycanbeobtained, theefciencydecreasessignicantlyfor modernstandardslike
522 Power amplier applications
UMTSor LTE, duetohighPAR. Byapplyingsignal dynamicreduction(clipping) tech-
niquesandlinearizationsuchasdigital predistortion, thisdramatic efciency dropcan
bemitigated. Thesetechniqueshavenot beenconsideredinFigure11.9.
Furthermore, thesignal bandwidthclearlyincreaseswithincreaseddatarates. Inthe
caseof thesingle-carrier GSM, achannel bandwidthof approximately200kHzisused,
whereas for single-carrier UMTS, the bandwidth increases up to 3.84 Mc/s (5 MHz
channel spacing). Usually, todays basestations arecapableof handlingupto four W-
CDMA carriers resultinginatotal signal bandwidthof 20MHz. Thetotal bandwidth
allocatedfor UMTSinthecorebandis60MHzrangingfrom2.11GHzupto2.17GHz.
LTE supportsdifferent bandwidthswithupto20MHz per carrier.
11.4.2 System level and power amplier requirements
Inadditionto thepreviously mentionedrequirements likefrequency rangeandsignal
bandwidth, several further requirementseither onsystemor amplier level exist, which
havetobefullled. Inthefollowing, someof themainrequirementsaffectingthepower
amplier aredescribedinthecaseof theUMTS-FDDstandard.
Many important communicationstandardspecic requirements aredenedandput
togetherbytheparticularstandardizationbodiesinordertoguaranteeasufcientquality
of serviceas well as a widely undisturbed coexistenceof different standards, and an
undisturbedparallel operationindirectlyadjacentfrequencybandsof differentoperators.
In order to assure this, very high linearity limits for adjacent channel leakage ratio
(ACLR), or spectrumemissionmask(SEM) aresetbythe3GPPstandardizationbodies.
Inparallel, in-bandlinearityrequirementssuchaserrorvectormagnitude(EVM) orpeak
codedomainerror aredenedbythestandardizationinorder tosecureahighqualityof
service. Inorder to meet thestandardspecic requirements andmaintainhigh-energy
efciency, aswell asduetotechnical limitations, todaysmobilecommunicationmarket
hasusuallytobesuppliedwithfrequencyandcommunicationstandardspecicsolutions.
This leads tothefact that systemmanufacturers havetoprovidealargeproduct range
resultinginalaboriousdevelopment andlogisticeffort.
Further systemrequirementsarisefromdifferent applicationscenariosandcell plan-
ningaspects. Usually, indoor solutionssupport asmaller cell sizecomparedtooutdoor
solutionswhicharedesignedforcoveringlargerareas. Thus, theindoorsolutionsrequire
lower transmit power levels, e.g., intherangeof 20dBm(0.1W) or below. Incontrast
tothis, macrobasestationsareintherangeof 47dBm(50W) averagetransmit power
per sector or even higher. In the3GPP specications [15] different power classes are
denedasshownfor UMTS-FDDbyTable11.1.
During operation, a stabletransmit average output power has to beguaranteed for
thetargetedoutput power, usuallyrequiringanadaptivepower control. Thebasestation
powerclassdirectlycontrolsthepoweramplierdimensioning. Dependingonthepower
class as well as on the application scenario, highly efcient solutions with limited
power consumption arerequired. An application being highly critical with respect to
energyefciencyandpower consumptionis, for example, aremoteradioheadsolution.
Such systems are preferably located at the antenna mast close to the antenna, thus
11.4 Wireless communication power ampliers 523
Table 11.1 UMTS-FDD base station power classication
Basestationclass Ratedoutput power
WideareaBS - (note)
MediumrangeBS 38dBm
Local areaBS 24dBm
HomeBS 20dBm(without transmit diversityor MIMO)
17dBm(withtransmit diversityor MIMO)
Note: Thereisnoupperlimitrequiredfortheratedoutputpowerof thewidearea
basestationsimilar to that for thebasestationfor general purposeapplication
inrelease99, 4, and5(source: 3GPP TS25.141V8.6.0(200903)).
requiringcompactsize, lowweightaswell aspreferablynoactivecooling. Animportant
benet of suchsystemsisthat thecablelossesof theantennafeeder canbedrastically
reducedleadingtoaclearlyimprovedoverall systemefciencycomparedtoconventional
solutions, wherethebasestationislocatedfurther awayfromtheantenna.
Furthermore, withtheclearly increasednumber of different mobilecommunication
networks and also due to the improved coverage and complexity, the operating costs
of thenetworks haveclearly increased and constitutealargeexpenditureasset of the
mobileoperatorswhichcantbeneglected. Theever-increasingwirelessnetworkcauses
asubstantial shareof worldwideenergyconsumption, affectingtheutilizationof natural
resourcesandincreasingsignicantlythecarbonfootprint. Thissituationhighlightsthe
needforhighlyefcientcommunicationsystemsindependentof technical requirements.
Due to the fact that the base station load distribution has a clear inuence on the
base station energy efciency, the demand for solutions maintaining high efciency
over avery widedynamic range(e.g., downto10%loadat night) becomes moreand
more important since maximumefciency is achieved only at peak utilization for a
limitedperiodduringtheday. AdvancedDoherty[16] techniquesareresolvingsomeof
theseproblemsby offeringhighefcienciesover awider dynamic range. TheDoherty
principleisdiscussedinSection11.9of thischapter.
Animportant requirement isalsohighreliabilityof all componentsinorder toguar-
anteealongsystemlifetimewithminimumdefectprobability. A meantimetofailureof
morethan10millionhoursof operationwithinaspeciedtemperaturerangeisrequired
fromthepower transistor.
11.4.3 Power amplier design outline
When designing a power amplier for mobile radio communication, a plurality of
parameters as well as thespecic target applicationhas tobetakeninto account. First
thespecicapplication-relatedrequirementssuchasfrequencyband, power class, stan-
dardization requirements, efciency, use of linearization techniques, etc. have to be
collected. Against thislist, asuitablesemiconductor technologyhastobechosen. Cur-
rently, siliconLDMOS is themost commontechnology for basestations dueto good
524 Power amplier applications
Digital
Predistortion
e.g. FPGA
DPD Response
V
in
V
o
u
t
V
in
Design point with DPD
Signal Feedback (adaptive linearization)
Design point
without DPD
V
in
V
sat
V
o
u
t
V
o
u
t
PA Response
Power
Amplifier
Overall System response
(power amplifier output)
Figure 11.10 PA designpoint whenusingdigital predistortion.
efciency, highlinearity, andlowcost. Becauseof thecostpressureinthetelecommuni-
cationsector thepower transistor pricebecomesanimportantdecisionfactor, especially
for high-power devices, andmakes insertionandcommercializationof newandmore
expensivesemiconductor technologies likeGaN-HEMT technology difcult, although
GaNbaseddevicesshowtechnical benetssuchashigher transitfrequencies, increased
bandwidthcapabilityand/or higher efciency. GaAsFET devicesareathirdoptionbut
cost, power capability, andsupply voltagelimitations arethemajor factors why GaAs
technology utilizationislimitedfor basestationpower ampliersinmobilecommuni-
cationcomparedtoSiliconLDMOSdevices.
After suitable power transistors have been identied, a power and gain budget for
thepower amplier line-upis prepared, takingthetotal requiredgain(inuencingthe
requirednumber of amplier stages) andthepotential useof alinearizationschemeinto
account. Applyinglinearizationproceduressuchasdigital predistortionallowsoperating
thepower amplier closer to its saturation point, increasing theefciency, whilestill
maintaining good linearity. Figure11.10 indicates on theleft-hand sidethenonlinear
responseof thepower amplier aswell astherelatedDPDresponse. Ontheright-hand
side, theoverall responseandtheamplier designpointswithoutandwithpredistortion
isshown. Without digital predistortiontypically the1dB compressionpoint isusedas
thedesignpointfor power capabilityinorder tomaintainsufcientlinearity. Thedesign
point when using digital predistortion is shifted towards higher compression levels,
typicallythe3dB compressionpoint.
In order to further increase the nal stage amplier efciency and thus the over-
all efciency, highly efcient power amplier concepts such as Doherty or envelope
tracking [17] are being evaluated. Doherty amplier schemes are commonly already
designedintoproducts, but envelopetrackingampliersareintheR&Dphaseandnot
yetasmatureasstandardDohertyPAs. TheDohertyconceptoffersimprovedefciency
over awider dynamic rangeby modulating theload. Thebasic symmetrical Doherty
concept has amanageableincreaseincomplexity comparedtoconventional Class AB
ampliers, andisthemost popular concept for efciencyimprovement inmodernbase
stations. LimitationsfortheDohertyapproacharetheoperational bandwidth(bestsuited
for single-band applications), and the low-load efciency improvement. In contrast,
theenvelope-trackingconcept offersefciency improvement throughbiasmodulation.
11.4 Wireless communication power ampliers 525
Conventional ClassAB ampliersarealwaysoperatedwithxedsupplyvoltages. Irre-
spectiveof thetrafcloadonthebasestationthefull supplyvoltageisalwayssupplied.
Inanenvelopetrackingamplier afast power supply modulator provides amodulated
supply voltage to the RF transistor. The voltage is adjusted in relation to the signal
envelope. ThereforetheRF amplier isalwaysoperatedclosetoitssaturationpointand
is thus highly efcient even at varying signal power levels. Compared to theDoherty
scheme, theenvelope-trackingconceptrequireshigher complexity, specicallytheaddi-
tionof ahigh-power envelopemodulator. However, theconcept isinherentlybroadband
andsupportsmultibandapplications.
After thedeviceandblock diagramhasbeennalized, theamplier designfollows,
usuallysupportedbyuseof suitablecomputer aideddesigntoolsandtransistor models
providedby thesemiconductor suppliers. Sincethenal power amplier stagemainly
denestheoutputcharacteristic(power, efciency, etc.) of thewholeline-up, thedesign
processshouldbestartedwiththenal amplierstage. Inordertoprotectthenal ampli-
er stageagainst reectedpower (e.g., if theantennaisdisconnectedat full operation),
usuallyanisolator whoseinsertionlossadditionallyhastobeconsideredisplacedafter
thepower transistor. Inorder toenableadaptivepredistortion, acoupler isadditionally
placedat thenal power transistorsoutput inorder tofeedasampleof theampliers
output signal back tothedigital unit viaanappropriatefeedback path. Thissampleisa
verylow-power fractionof theoutputsignal, henceavoidingaconsiderabledegradation
of theoverall performance. Forthedesignof theRFinputandoutputmatchingnetworks,
different techniquessuchasload-pull, load-line, andconjugatematchbaseddesignare
used. Figure11.11gives abasic overviewof theRF power amplier topology andthe
parameterswhichareaffectedbytheparticular buildingblocks.
Intheamplier designanacceptabletradeoff betweenpower, efciency, andgainhas
to befound related to therespectiveapplication requirements. For example, linearity
andefciencyareusuallyopponents, andlinearityrequirementsareusuallyxedbythe
standardizationbodiesfor eachstandardandmeetingthemisthusmandatory. Inorder
to squeezethebest efciency out of theamplier under this condition, theampliers
areusually designed and operated closeto theselinearity requirements. Figure11.12
illustrates this situation in a qualitative manner taking only ACLR as the linearity
criterionintoaccount.
Thedashed lines inthespectraplots indicatethelinearity limits which aredened
bythestandardizationorganization. Themeasuredsingle-carrier spectrumwasobtained
at therelated power level. At low-output power levels thesignal shows high linearity
withalot of marginwithrespect tothestandardizationrequirementsbut withverylow
efciency, the spectrumin the middle is at an increased output power level close to
the linearity requirements and shows improved efciency. The third spectrumon the
right-hand sideis measured at further increased output power with higher efciency,
but is violating the linearity requirements. As already noted earlier in this chapter,
additional linearity parameterslikeEVM or SpectrumEmissionMask haveinparallel
tobeconsideredandmet.
Oncethenal amplier stageis designed, thedriver and preamplier stagedesign
follows. It has to beensuredthat thepredriver anddriver stages arepowerful enough
526 Power amplier applications
Biasing Networks
affecting
- maximum power
- bandwidth
- stability
Input
Bias
Input
DC Feed
RF
Block
Input Matching Network
affecting
- gain
- bandwidth
- stability
Power Transistor
affecting
- maximum output power
- energy efficiency
- bandwidth
Output Matching Network
affecting
- output power
- bandwidth
- energy efficiency
Input
Matching
Power
Transistor
RF
Block
Output
Matching
R
F
i
n
R
F
o
u
t

Output
DC Feed
Ouput
Bias
Figure 11.11 MainRF PA buildingblocks.
to drive the nal amplier stage, especially if digital predistortion is used since the
nal amplier stagecanbeoperatedcloser toitssaturationpoint. Multistagepackaged
MMICdriversarehelpful inorder toreducedesigneffortandallowfor amorecompact
designsinceinterstageRF matchingisalreadyincludedinthepackageand, additionally,
theinput andoutput impedanceof suchpackageddriversare50O or closetoit. Such
multistagedriversareavailableinLDMOStechnology. Figure11.13showsathree-stage
amplier line-upwiththerequiredmatchingnetworks.
Additional electronic components for bias stabilization, gaincontrol, andautomatic
shutdownareusuallyusedinorder toguaranteeastableperformanceandsafetyfor the
power amplier moduleandthusthewholebasestation.
Insummary, power amplier designprocedurehastoaddressthethreemainsubjects
of semiconductor technology, power amplier design concepts, and linearization and
reductionof signal dynamicagainst thebackgroundof moderncommunicationsystem
requirementsandstandardsinordertoachievetheoptimumperformanceof mobileradio
communicationampliers. All thesethreesubjectshavetobechosenspecicallyfor the
respectiveapplication, andhavetobemutuallyoptimizedasindicatedinFigure11.14.
11.4 Wireless communication power ampliers 527
highly linear
high linearity
low efficiency
5 MHz ACLR limit
Input Power
P
o
w
e
r
,

E
f
f
i
c
i
e
n
c
y
A
C
L
R
10 MHz ACLR limit
at linearity limit
increased efficiency
poor linearity
high efficiency
Poutpk
Poutav
Efficiency
ACLR 5 MHz
ACLR 10 MHz
at ACLR limit
ACLR requirement
violated
Figure 11.12 Exampleof RF PA linearityandefciencytradeoff.
S
o
u
r
c
e
Input
Matching
Partially available as monolithic microwave integrated circuit
Output
Matching
Pre-Amplifier
Stage
Interstage
Matching
Interstage
Matching
Driver Amplifier
Stage
Final Amplifier
Stage
L
o
a
d
(
A
n
t
e
n
n
a

N
e
t
w
o
r
k
,
A
n
t
e
n
n
a
)
Figure 11.13 MultistageRF amplier.
11.4.4 Doherty amplier for efcient base stations
Inordertoincreasetheefciencyof modernbasestationsintheeldof powerampliers,
theDohertyconcept (describedinSection11.9) iscommonlyused. Figure11.15shows
asingle-stageDoherty amplier test board, basedonAlGaN/GaN HEMT technology
fromFhG-IAF Freiburg.
1
Theamplier wasrealizedwithinanational fundedresearch
project.
2
1
Fraunhofer Gesellschaft Instituteof AppliedSolidStatePhysicsinFreiburg, Germany
2
The support of the German Ministry of Education and Research (BMBF) under contract 01BU600 is
gratefullyacknowledged.
528 Power amplier applications
Figure 11.14 HolisticPA designapproach.
Figure 11.15 Photoof asingle-stageDohertyamplier.
11.4 Wireless communication power ampliers 529
Doherty Mode versus Balanced Mode @ 2.7 GHz
Clipped Single-Carrier W-CDMA Signal
Input Power (per amplifier) [dB m]
60
P
o
w
e
r

[
d
B

m
]
D
r
a
i
n

E
f
f
i
c
i
e
n
c
y

[
%
]
50
40
30
20
10
0

2
5

2
3

2
1

1
9

1
7

1
5

1
3

1
1

5
60
Pout (pk) - Doh
Pout (av) - Doh
Pout (pk) - Bal
Pout (av) - Bal
Efficiency - Doh
Efficiency - Bal
50
40
30
20
10
0
Figure 11.16 MeasuredDohertymodeversusbalancedmodecharacteristic.
TheuppertransistorinFigure11.15representsthemainamplier, thelowertransistor
constitutestheauxiliaryamplier, whichisonlyactivefor highpower levels. Sinceboth
transistors areof samegatewidth, it is asymmetrical Doherty amplier. Ontheinput
side, a3dB, 90

hybridcoupler isusedfor signal splittingandfeedingthesignal tothe


input of themainandtheauxiliaryamplier. Ontheoutput side, thematchingnetwork
wasmanually tunedinorder toguaranteeaproper loadmodulationandthusachievea
satisfactoryoverall performance. Thesymmetrical GaNDohertyamplierwasdesigned
for acenter frequencyof 2.7GHz andfor about 110Wpeakoutput power.
Inorder toevaluatetheefciency improvement enabledby theDoherty concept, the
amplier wasmeasuredinaDohertycongurationandsubsequentlyinbalancedmode
conguration. This can be achieved by appropriate biasing of the power transistors.
Figure11.16shows themeasuredaverageandpeak output power as well as thedrain
efciencyforbothcongurations. DuetothefactthattheDohertycongurationexhibits
less gaincomparedto thebalancedmode, theDoherty congurationwas measuredat
upto3dBhigher inputpower level. Themeasurementresultsshowthatfor theavailable
amplier, a drain efciency improvement of about 10%can beachieved at the6 dB
back-off rangeby applyingthesymmetrical Doherty concept. This constitutes aclear
energy saving, especially for power ampliers of midandhighpower class. For these
measurements, asingle-carrier W-CDMA signal was usedwhichhadbeenreducedin
itssignal dynamic.
Figure11.17 shows asingle-carrier W-CDMA spectrummeasured at theoutput of
theDohertyamplier testboard. For thismeasurementagainasingle-carrier W-CDMA
signal with reduced signal dynamic was used. Additionally, digital predistortion was
applied in order to meet the3GPP ACLR linearity requirements combined with high
efciency. For thedepictedmeasuredoutput spectrum, theDoherty amplier achieved
530 Power amplier applications
Figure 11.17 Measuredsingle-carrier W-CDMA output spectrum.
45 % drain efciency at 44.9 dBmaverage output power, meeting the 3GPP ACLR
specication.
11.5 Military power ampliers
11.5.1 Radar Tx/Rx modules
Tx/Rx modules arethemost critical components of phased array radar systems. The
basicblockdiagramof aT/RmoduleisgiveninFigure11.18. Functional blockscommon
tobothTx andRx paths areavariablegainamplier andvariablephaseshifter which
areeither duplicatedor sharedbetweenthetwo signal paths as showninFigure11.18
bytheuseof Tx/Rxswitcheswhicharecontrolledbylogiccircuits.
Theduplexer, whichisaferritecirculator ingeneral, providesthreebasicfunctions:
r
to provide a 3-port junction so that both the PA output and Rx path input can be
simultaneouslyconnectedtotheantennawithout usingaswitch;
r
toprotecttheLNA devicefromdamagewhentheTxpulseisred. X-bandcirculators
havearound20dB isolationbetweentheTxandRxports;
11.5 Military power ampliers 531
TX
T/R
Switch
Variable
Attenuator
Control
Logic
Variable Phase
Shifter
LNA
Limiter
Antenna
Circulator
HPA
T/R
Switch
RX
Figure 11.18 T/R Moduleblockdiagram.
r
PAsneedtobepresentedwithbetterthan 20dBloadreturnlossotherwisethepower
output fromthePA will degrade. Inaphasedarrayantennasystemtheimpedanceat
theinputof eachantennaelementisnotaverywell controlledparameter andchanges
with the phase state of each T/R module because of the moderate coupling levels
betweentheantennaelements. Becausethereectedpower fromtheantennaelement
travels to thethirdport of thecirculator independent of theantennaimpedance, the
power amplier will always be presented with a matched load. Depending on the
reectedpower levelsfromtheantennaelement, either theLNA isdesignedtopresent
amatched load in T
x
or elseadual junction circulator is used with thefourth port
terminatedinahigh-power load.
Thelimiter preventsdamagetothelow-noiseamplier duringtransmit or whenever
strayradiationispresent. Thelimiter alsoprovidesaterminationtothecirculator during
transmit toabsorbpower that isreectedfromtheantenna.
Thevariablephaseshifter is usedto feedtheantennaarray elements withdifferent
progressivephaseshift levels to providetheelectronic scanning ability of thephased
array. Thevariableattenuator isusedtoaddanamplitudetaper acrosstheantennaarray
toreducesidelobes. ThisisusuallydoneinR
x
, asinT
x
onewouldliketoradiateasmuch
power aspossible. Theother functionof thevariableattenuator istocompensatefor the
insertionlosschangeof thevariablephaseshifter withtheswitchedphasestate. Thisis
especiallyimportant inT
x
, asthePA saturationlevel shouldbekept withinsomelimits
inorder to protect PA devices fromexcessiveforwardgatecurrents whichmight have
anadverseeffect ondeviceMTBF. Theattenuator oftenperformsasecondfunctionof
aligningtheamplitudesof theindividual elements.
532 Power amplier applications
The high-power amplier (HPA) is the biggest and most expensive part of a T/R
module. It alsoistheprimarysourceof wasteheat that youhavetosink usingaproper
coolingarrangement. TheHPA mustbeswitchedoff after transmittoreceiveinacouple
of 100nsfor tworeasons:
r
thenoisefromtheT
x
pathmight de-sensitizetheR
x
degradingthesensitivity of the
radar receiver;
r
keepingtheHPA biasedinRxwill degradetheoverall efciencyof theT/R module.
This is almost always doneby circuitry that turns off thedraincurrent to theHPA.
It is theoretically possible to turn on/off the HPA using the gate voltage, but this is
almost never donebecauseanynoiseonthegateduetosettlingtimeof themodulation
waveformwill haveamuchlarger effect thanringingonthedrainvoltage. p-channel
MOSFETsareusually usedtoturntheampliersonandoff. Thep-channel MOSFET
shouldhavelowon-resistancetokeepthevoltagedropaslowaspossible. Someof the
important pointstobekept inmindindrainswitchingareasfollows:
1. BecausetheHPA needstobequicklyswitchedonandoff betweenT
x
andR
x
modes,
andbecausethepower supply iselectrically far away, properly sizedchargestorage
capacitors must beusedto supply therequiredcurrent for theHPA devices during
theRF pulse. Theamplier essentially runs off thecapacitor during theRF pulse
and the power supply merely supplies an average current to keep the capacitors
charged up. Charge storage capacitors are nearly always tantalumbecause high-
densitycapacitanceisneededinasmall layout area.
2. TheinductancebetweenthechargestoragecapacitorsandtheHPA drainpadsshould
beminimized in order to decreasethemodulation on thedrain voltagedueto the
termLV(t) = L
i (t)
t
.
3. Thechargestoragecapacitorsshouldnot beplacedbetweenthep-MOSFET andthe
HPA becausedoingsowill causeswitching-off timesof theHPA tobemuchlonger.
Althoughthep-MOSFET isswitchedoff, thechargestoragecapacitorswill continue
tosupplycurrent totheHPA.
4. So theonly solution is to keep theRF bypass capacitors (generally 10100 nF) as
closeas possibleto theHPA drainpads, placethep-channel MOSFET as closeas
possibletotheHPA, andplacethechargestoragecapacitorsbetweenthepowersupply
andthep-MOSFET butascloseaspossibletothep-MOSFET. Thesizeof thecharge
storagecapacitorscanbecalculatedasfollows:
Q = CV (11.16)
whereQ is thechargeinCoulombs, C thecapacitanceinFarads, andV thevoltagein
volts. If wetakethederivativeof eachsidewithrespect totimethen
I (t) = C
V(t)
t
(11.17)
11.5 Military power ampliers 533
Table 11.2 Typical T/R module HPA performance requirements
Frequencyband Bandwidth(MHz) Power (W) Efciency(%)
Sband Upto500MHz Upto1.6kW 4050
X band Upto1GHz Upto20W 3040
whereI(t) isthecurrent inAmperes. Nowwecanre-arrangeequation(11.17) tosolve
for C
CS
, thechargestoragecapacitance:
C
CS
=
LI Lt
LV
(11.18)
whereLI is thechangein current in amperes with theRF pulseon and off, LV the
allowablevoltagedropinvoltsbetweenRF pulseonandoff, andLt thepulsewidthin
seconds. Acceptablevoltagedrooplevelsarearound5%, whichwill dropthepower by
around10%, equivalentto0.45dB. Whileselectingthecapacitorsoneshouldmakesure
thatthecapacitorshaveavoltageratingof twicethedrainvoltagelevel. Alsooneshould
consider thederatingfactor whentheHPA isrequiredtooperateathigher temperatures.
T/R modulesarephysically connectedtoaphasedarray antennaandthey shouldbe
sizedtobebelowgenerally half wavelengthof theoperatingfrequency of thearray in
bothdimensionsincludingthespacerequiredfor thecoolingarrangement. For example,
for a3D array operating at 10 GHz thesizeof theT/R moduleneeds to beless than
15 mmin both dimensions. The required power levels, bandwidths, and efciencies
fromtheHPAstobeintegratedintoaT/Rmodulefor S- andX-bandradarsarelistedin
table11.2.
The available devices in S band are LDMOS, GaAs pHEMT, and GaN pHEMT.
130 W LDMOS and GaAs pHEMT devices arecommercially availablefromvarious
vendorsandif necessarythenbinarycombiningtechniques, usingbalancedorWilkinson
structures, needtobeusedtoreachtherequiredpower levels. GaN devicesgenerating
400 W have been announced in recent years, which make even higher power levels
achievable using less complicated combining structures. Most of the X-band radar
systems developed in the last 57 years are based on 10 W GaAs pHEMT MMICs,
which are available fromvarious vendors. There are applications that combine two
of these MMICs using Lange couplers or Wilkinson/Gysel combiners described in
Section11.6toreachhigher power levels. Someother important specications for the
T/R module, whichhaveanimpact ontheHPA are:
r
amplitudedroopacross thepulse-widthwhichis generally speciedto beless than
0.5 dB. Thetwo main causes arevoltagedroop and temperatureriseof thedevice
channel duringtheRF pulse;
r
phasedroopacrossthepulse-width. Thisisgenerallyspeciedtobelessthan15

.
r
pulse-to-pulsephasestability. Generallyspeciedtobelessthan 30/ 40dBcand
requiresacomplicatedtest setuptomeasureit. Thisisanimportant specication, as
failuretomeet thespecicationwill result inapoor Doppler spectrum;
534 Power amplier applications
r
inrecent years, radar communitiesareinvestigatingcomplexmodulationwaveforms
where not only the phase but also the envelope is changing within the pulse. This
putsstringent linearity requirements ontheHPA, i.e., anHPA cannot beoperatedat
saturationandshouldhaveanacceptableAMPM performance.
11.5.2 EW applications
Power ampliers nd applications in jammer applications. J ammers are categorized
asstand-off /Escort jammersandself protectionjammers. Traditional designsgenerally
incorporatehigh-powertravelingwavetubeampliers(TWTA)andhigh-gaindirectional
antennas. There are two approaches; one is to use high-gain directional antennas on
mechanical steeringmechanismsandthesecondoneistousebroader beamwidthlow-
gainxedantennainstallations. Thedrawback of usingsteerablehigh-gaindirectional
antennasisthelack of abilitytotrack andjammulti targets, andthedrawback of using
broader beamwidthlow-gainxedantennasisreducedeffectiveradiatedpower (ERP).
Thesolutiontoovercometheseproblemssimultaneouslyistouseaphasedarrayantenna
system, andthis congurationis favouredinmorerecent jammer designs. Obviously,
there needs to be a tradeoff analysis in the size of the antenna system, the overall
efciency, andtheavailableDC power fromtheplatformwherethejammer isgoingto
beinstalled. Theoperatingfrequency rangerequiredfromthestand-off jammer (SOJ )
isgenerally118GHzandthefrequencybandissplit intooctaveor broader bands, like
12GHz, 26GHz, and618GHz. Self protectionjammersoperatefrom6to18GHz.
For atypical phasedarraycongurationtoachieve70dBmERP level, thearraysize
needstobesomewherebetween200and250toguarantee23dB of arraygain. Antenna
elements arechosento beomni-directional, avivaldi antennais atypical example, to
minimizethescanlossacrosstheazimuthandelevationcoverageanglesof thesystem,
sototal phasedarrayantennasystemgainwill beidentical tothearraygain. Toachieve
70 dBmERP level, each power amplier needs to deliver at least 50 W RF power.
If each module is 50% efcient, then the total DC power and dissipated heat (for a
200element array) will be20kWand10kW, respectively.
It was not possibletodesignhigh-power andefcient octavebandsolid-statepower
ampliersuntil recently, but advancesinGaN pHEMT devicesallowstheachievement
of greaterthan50Wpowerlevelsinoctavebandsbetween16GHz. Thesehigh-voltage
devices havebreakdownvoltagelevels above60V, makingit possibleto operatewith
upto30V drainsupplyvoltagesanddeliver 410W/mm-gateperipheryoutput power.
Bycontrast, GaAspHEMT devicesoperatedfroma12V power supplydeliver typically
0.5W/mm-gateperiphery. Asaresult, for thesamepower level devicesizesareanorder
of magnitudesmaller inGaN technology. Themajor advantages of GaN devices over
GaAscounterpartsinpractical implementationsaresummarizedbelow:
r
load-line impedances to be presented to the GaN pHEMT devices are an order of
magnitudehigher, requiringasmaller impedancetransformationratiofromtheoutput
matchingcircuit;
11.5 Military power ampliers 535
Figure 11.19 12GHz prototypepower amplier (courtesyof ASELSAN).
r
C
ds
(output capacitance)/P
out
(W) ratioisanorder of magnitudesmaller;
r
for agivenpower level, sincetherequiredgateperiphery is smaller thereal part of
theinput impedanceisanorder of magnitudehigher andtheinput capacitanceisan
order of magnitudesmaller comparedto GaAs counterparts whichmakes theinput
matchingcircuit easier andbroader band.
Therst two advantages allowthedesign of 50100 W power ampliers in octave
bands. In addition, simple microstrip lines on soft-boards can be used in the output
matchingcircuits, eliminatinghigh-dielectric, lowcharacteristicimpedancecomponents
inthepackage.
Twoprototypecircuitsweredevelopedfor12GHzand1.83.5GHzjammersystems.
Thedevices arepackaged45W devices fromCreeInc andthepower amplier proto-
typesweredesignedonRO4350soft-board. Thesingleendedcircuit developedfor the
12GHz systemisshowninFigure11.19. Theparallel R-Ccircuit seenontheleft side
of thedeviceis used to suppress low-frequency parasitic and parametric oscillations.
Input and output matching circuits provide 50 O impedance interfaces. Gain, power
output at 3 dB compression, and efciency areplotted in Figure11.20. Gain is more
than15dB from0.82.2GHz, power output greater than55W across 12GHz, and
efciencyis55%averageacrossthefrequencyband.
Thebalancedcircuit developedfor the23.6GHz systemis giveninFigure11.21.
Input and output matching circuits provide 50 O impedance interfaces. Gain, power
output at 3 dB compression and efciency areplotted in Figure11.22. Gain is more
than12dB acrosstheband, power output greater than65Wacross23.6GHz, andthe
averageefciencyis45%acrossthefrequencyband.
536 Power amplier applications
22
19
16
13
10
80
65
50
35
20
0.8 0.9 1.0 1.1 1.2 1.3 1.4 1.5
Frequency (GHz)
P
o
u
t
,

W
a
t
t
s

&

E
f
f
i
c
i
e
n
c
y
,

%
G
a
i
n

(
d
B
)
1.6 1.7 1.8 1.9 2.0 2.1 2.2
Figure 11.20 12GHz prototypecircuit performanceplots(courtesyof ASELSAN), gain,
power output, efciency.
Figure 11.21 23.6GHz prototypepower amplier (courtesyof ASELSAN).
11.5 Military power ampliers 537
18.00 90.00
80.00
70.00
60.00
50.00
40.00
30.00
20.00
10.00
0.00
16.00
14.00
12.00
10.00
8.00
6.00
4.00
2.00
0.00
2.0 2.2 2.4 2.6 2.8 3.0 3.2 3.4 3.6
Frequency (GHz)
P
o
u
t
,

W
a
t
t
s

&

E
f
f
i
c
i
e
n
c
y
,

%
G
a
i
n

(
d
B
)
Figure 11.22 23.6GHz prototypecircuit performanceplots(courtesyof ASELSAN), gain,
power output, efciency.
Figure 11.23 I/J bandphasedarrayjammer antenna(courtesyof ASELSAN).
Anexampleof anI/J bandphasedarrayjammer antennaisshowninFigure11.23. It
hasbeendesignedfor self protection. Thereare16modulesper antennaandaphotoof
thePA moduleis showninFigure11.24. At theoutput stage, two2W GaAs pHEMT
MMICsarecombinedtoachieveat least 3.5WacrossthefrequencybandusingLange
couplers(seeSection11.7.2)
538 Power amplier applications
Figure 11.24 I/J band3.5Wmodule(courtesyof ASELSAN).
11.5.3 Anti-IED applications
Militaryforcesaroundtheworldfacethethreatof radiocontrolledimprovisedexplosive
devices(RCIED)usedbyterroriststoharmconvoysandgroundtroops. A typical RCIED
iscomposedof alargebomb, activatedby anattachedwirelessdevice. After installing
theRCIED inamainroadside, it canbeactivatedany timeby awireless connection.
They will most likely be activated when a large military convoy or vehicles pass by
thebomb. Improvised explosivedevices (IEDs) areattached to common wireless RF
receivers, such as two-way radios, cellular phones, pagers and remote controls, etc.,
allowingtheterroriststousethetransmitter remotelytodetonateIEDs. Oneof themost
common ways of countering IEDs and RCIEDs is using electronic countermeasures
(ECM), knownasjammers.
A vehiclemountedIED jammer is installedinmilitary anti-IED vehicles providing
convoy protection. PortableIEDjammers, likemobilephonejammers, aredesignedto
preventcell phonecommunicationindesignatedareas, securingasmall groupof people.
Thecell phonejammer transmits RF signals whichblock thecommunicationbetween
thecell phoneandthenearestcellular antenna, activelyjammingcell phonefrequencies
withintheblockingrangeof thejammingdevice.
In order to beableto cover thepossiblewireless technology frequency bands, the
PAs are generally broadband, but specic GSM or some other wireless communica-
tionstandardhigher power andnarrower bandPA designs areavailableinthemarket.
Figure11.25shows aprototype1kW PA, designedto operateat HF/VHF (morethan
two-octaveband) frequencybandsfor vehicleinstallation. Eight identical output stages
arecombinedusingthecombiningcircuitryshownontheleft handsideof thegure.
Figure11.26shows aprototype100W PA, designedto cover VHF/UHF frequency
bands for vehicle installation. This three-stage design has 50 dB gain with 1.5 dB
frequencyatnessandisstableintoinniteVSWR at all phases.
11.6 In-phase power combining techniques
11.6.1 Wilkinson power combiners
Whenitisnecessarytodeliverhigherpowerlevelstoanantennaoraload, in-phasepower
combinersareimportant componentsof anRF or microwavetransmitter. Inthiscasea
11.6 In-phase power combining techniques 539
Figure 11.25 HF/VHF, 1kWPA (courtesyof ASELSAN).
Figure 11.26 VHF/UHF, 100WPA (courtesyof ASELSAN).
highlevel of isolationbetweentheinput portsisalsorequiredwhenidentical amplitude
andequal phasesignalsarecombined. Combinerstendtoget usedasthelast stageina
PA architecture, usingthehighest power devices availableto reachevenhigher power
levels. Theinsertionlossof thecombiningarchitectureisthemost important factor in
deciding the number of power devices to be combined using a Wilkinson combiner.
Thesimplest oneis thetwo-way combiner, wheretwo input ports arecombinedusing
,4transmissionlinesat bandcenter, withacharacteristic impedanceof Z
0

2where
540 Power amplier applications
Z
0
Z
0
Z
0
2Z
0
Z
0
2
Z
0
2
Figure 11.27 Single-sectionWilkinsondivider/combiner.
Amplitude imbalance, dB
3
2.5
2
P
t
o
t
a
l

(
d
B

m
)
1.5
1
0.5
0
3 2.75 2.5 2.25 2 1.75 1.5 1.25 1 0.75 0.5 0.25 0
0
5
10
15
P
d
i
s
s

(
d
B

m
)
20
25
30
Figure 11.28 Effect of amplitudeimbalanceat thecombinedoutput. Bothinput signalsareat the
samephase.
Z
0
isthereferenceimpedanceof thecircuit. A typical singlesectionWilkinsonpower
combiner isgiveninFigure11.27.
Theeffect of amplitudeimbalancebetween thetwo input ports on theoutput port
(combinedoutput) is plottedinFigure11.28. Total output power dissipatedacross the
isolationresistor isshowninthelower tracereferencedtotheright. Whentwoidentical
input signalsareapplied(i.e., 0dBmeach) theoutput power is3dBmandnopower is
dissipatedacrosstheisolationresistor. Butif oneof thesignalsis1dBless, thanthetotal
output reduces by approximately 0.5dB andtherest is dissipatedacross theisolation
resistor.
Theeffect of phaseimbalancebetween the2 input ports on theoutput port (com-
binedoutput) is plottedinFigure11.29. Whentwo identical input signals areapplied
(i.e., 0 dBmeach) the output power is 3 dBmand no power is dissipated across the
11.6 In-phase power combining techniques 541
Phase imbalance, degrees
P
t
o
t
a
l

(
d
B

m
)
3
2
1
0
1
2
3 0
5
10
15
P
d
i
s
s

(
d
B

m
)
20
25
30
0 10 20 30 40 50 60 70 80 90
Figure 11.29 Effect of phaseimbalanceat thecombinedoutput. Bothinput signalsareat thesame
amplitude. total output power LHscale, power dissipatedintheisolationresistor RHscale.
isolationresistor. Butif oneof thesignalsis30

outof phase, thenthetotal outputpower


isreducedbyapproximately0.3dB comparedtotheidentical phasecaseandtherest is
dissipatedacrosstheisolationresistor.
Thefrequency bandwidthperformanceof aWilkinsondivider/combiner dependson
thenumber of sections used. It can bethought of as amatching network stepping up
the25O impedanceto50O impedanceat thecombinedoutput. Sothetransformation
ratio is always two and the bandwidth performance can be improved by using multi
quarter-wavelength lines with the impedance transformation ratio evenly distributed
between the quarter wavelength line impedance transformation stages. Figure 11.30
shows theobtainablebandwidths for asinglesection (in triangles, straight line), two
section (in squares, dotted line) and four section (thicker line) Wilkinson combiner.
Taking1.2:1.0VSWRasareference, whichcorrespondsto-20dBreturnloss, bandwidth
canbeimprovedfrom30%to morethananoctaveby usingafour-sectionWilkinson
combiner.
Aneasytofollowdesignexampleof athree-stage618GHzWilkinsonSplitterissum-
marizedinFigure11.31. Toobtainmaximumbandwidththeintermediateimpedances
areset to giveanequal impedancetransformationratio (
3

2) at eachstage. Theinter-
mediateimpedancelevelsare39.7O and31.5O, respectively. Thequarter wavelength
frequencyfor thetransmissionlinesischosentobe12GHz.
Figure 11.32 shows the layout of the 618 GHz Wilkinson splitter realized on a
15mmthickaluminasubstrateandtheperformanceisshowninFigure11.33. Resistors
arerealizedusing50O/sqNiCr material.
542 Power amplier applications
Figure 11.30 Frequencybandwidthperformanceof two-wayWilkinsondivider/combiner
. single-section, two-section, four-section.
50 ohm 44.5 ohm 35.35 ohm 28.06 ohm 25 ohm
31.5 ohm 39.7 ohm
Figure 11.31 Designof athree-section618GHz Wilkinsonsplitter.
Z
1
Z
2
Z
3
P
2
P
3
R
3
R
2
R
1
P
1
/4 /4 /4
Figure 11.32 Layout of the618GHz power splitter.
11.6.2 Gysel combiner
Theisolationresistors of theconventional Wilkinsoncombiner needto besmall com-
paredtothewavelength, andtheyarenotgrounded. Gysel [18] proposedamodication
totheWilkinsoncombiner inwhichtheisolationresistor isreplacedwithacombination
11.6 In-phase power combining techniques 543
0
10
R
e
t
u
r
n

L
o
s
s

a
n
d

I
s
o
l
a
t
i
o
n

(
d
B
)
I
n
s
e
r
t
i
o
n

L
o
s
s

(
d
B
)
20
30
40
50
5 6 7 8 9 10 11 12
Frequency (GHz)
13 14 15 16 17 18 19
3
3.2
3.4
3.6
3.8
4
Figure 11.33 Performanceof the618GHz splitter insertionloss, returnloss, X isolation.
Z
1
Z
1
Z
0
Z
0
Z
0
Z
0
Z
0
Z
0
Z
0 Z
2
/4
/4
/4
/2
/4
Figure 11.34 Gysel high-power combiner.
of quarter wavelengthlinesandshunt connected50O loadsasshowninFigure11.34.
Inthiscaseeachisolationresistor isconnectedtoacorrespondingoutput port through
atransmissionline. At thesametime, all isolationresistorsareconnectedtoacommon
oatingstar pointbythetransmissionlines. Thus, theGysel combiner hastheadvantage
of external isolationloadswhichcanhandlehigher power levels. For atwo-way Gysel
combinerthe100Oisolationresistorisreplacedbytwo50Oresistors. Thetransmission
544 Power amplier applications
Z
1
Z
1
Open circuit
Open circuit
Open circuit
Open circuit
Short circuit
Short circuit
Short circuit
Short circuit
Open circuit
Open circuit
P
2
P
1
P
1
P
3
P
2
P
3
Z
0
Z
0
Z
0
Z
0
Z
0
Z
0
Z
0
Z
0
Z
0
ohms
ohms
Z
0
Z
0
Z
0
Z
0
Z
0
Z
1
Z
1
Z
0
Z
0
Z
2
Z
2
Z
2
Z
2
/4
/4
/4
/4
/4 /4
Figure 11.35 Evenmode(a) andoddmode(b) excitationof theGysel combiner.
linescharacteristicimpedancesaregivenby:
Z
1
= Z
0

2
Z
2
= Z
0

2 (11.19)
and
Z
0
= R
0
= 50O
Figure11.35 shows theeven and odd modecircuits of theGysel combiner. When
thetwoinput portsP2andP3arefedwithevenmodesignals, theresultant circuitry is
equivalent totheevenmodeequivalent circuit of astandardWilkinsoncombiner. When
thetwoinput portsarefedwithoddmodesignals, identical amplitudebut out of phase
by180

, P2andP3areconnectedtothecombinedport, P1, throughquarter wavelength


lineswithimpedancelevelsZ
1
= Z
0

2andtworeal impedancesZ
0
=50Oareinshunt
connectionat eachinput port. SoclearlytheGysel combiner isidentical toaWilkinson
combiner at bandcenter.
Thefrequencyresponseof aGysel combineriscomparedtoasinglesectionWilkinson
combiner inFigure11.36. Taking1.2:1.0VSWR asareference, whichcorrespondsto
20 dB return loss, the bandwidth of a Gysel combiner is about 10% compared to
30%bandwidthobtainablefromasinglesectionWilkinsoncombiner. This bandwidth
reductionisduetotheextraquarter-wavelengthandhalf-wavelengthtransmissionlines
usedintheGysel topology. Also, theinsertionlossof aGysel combiner ishigher thana
Wilkinsoncombiner becauseof thelonger transmissionlineconnectionstoitsinputs.
11.7 Quadrature-phase power combining balanced ampliers
A balancedPA congurationisshowninFigure11.37. Twoidentical power ampliers
are fed froman input quadrature 3 dB hybrid, which produces two signals in phase
11.7 Quadrature-phase power combining balanced ampliers 545
Figure 11.36 Input VSWR performanceof Gysel combiner comparedwithasingle-section
Wilkinsoncombiner: Gysel, . Wilkinson.
QUADRATURE 3 DB HYBRIDS
IDENTICAL PA STAGES
I
3 DB
3 DB
+ 90deg

IN
OUT
Z
0
Z
0
Figure 11.37 BalancedPA conguration.
quadrature, andtheoutputsof theampliersarerecombinedusingasimilar structurein
reverseorder. Themainadvantageof thiscongurationisthat any reectionsfromthe
amplierscancel at theRF input/output portsandaredissipatedintheloadsconnected
at the isolated ports of the coupler structure. Obviously, in order for this balance to
happen, thetwoampliersneedstobeidentical andthetwopathsthroughthecoupler
structureneedto beidentical inmagnituderesponseandquadraturedifferential phase
response. So thematchseenat theinput andoutput ports of abalancedamplier will
beperfect, regardlessof theinput/outputimpedancesof theindividual ampliers. Before
the invention of balanced structures, people were using bulky and expensive ferrite
isolatorsat theinput andoutput of singleendedamplierswithtransistorshavingtwice
thetransistor periphery.
546 Power amplier applications
(a)
C
o
u
p
l
e
d

a
n
d

D
i
r
e
c
t

p
o
r
t
s

(
d
B
)
Frequency (MHz)
C
o
u
p
l
e
d

a
n
d

D
i
r
e
c
t

p
o
r
t
s

(
d
e
g
r
e
e
s
)
C
o
u
p
l
e
d

a
n
d

D
i
r
e
c
t

p
o
r
t
s

(
d
B
)
C
o
u
p
l
e
d

a
n
d

D
i
r
e
c
t

p
o
r
t
s

(
d
e
g
r
e
e
s
)
(b) Frequency (MHz)
Figure 11.38 Quadraturecoupler responsefor twodifferent valuesof K. (a) Z
0e
= 125O, Z
0o
=
20O, K = 0.724(2.8dB), (b) Z
0e
= 132O, Z
0o
= 18.9O, K = 0.75(2.5dB) coupledport
insertionloss, . throughport insertionloss, andX insertionphaseof direct andcoupledports.
Balancedampliersarehighlyeffectivepower combiners, asingleendeddesignwill
needtwicethetransistor periphery tobeabletodeliver equivalent power levelstothat
of a balanced design. The other issue is that the required impedance transformation
ratio neededinasingleendeddesignwill betwicethat of abalancedstructureas the
11.7 Quadrature-phase power combining balanced ampliers 547
input/outputimpedanceswill behalvedasthetransistorperipheryisdoubled, whichwill
haveanimpactonthematchingQ-factors, andconsequentlyontheachievablefrequency
bandwidth. Inthiscontext, inmost designsabalanceddesignispreferred.
The3dB quadraturecoupler structures arewell established in RF and microwaves
anddetaileddesigninformationissuppliedintheliterature. Themost basicexampleis
apair of quarterwavecoupledlines, whicharerepresentedbytheir evenandoddmode
impedances, andtheelectrical lengthischosentobe90

atthedesignfrequency. A pair
of coupledlinesisrepresentedbytwoimpedancesZ
0e
andZ
0o
. Z
0o
isthecharacteristic
impedance of the two strips under odd mode excitation and Z
0e
is the characteristic
impedanceof thelinesunder evenmodeexcitation. Therelationshipsbetweentheeven
andoddmodeimpedancesof aparallel coupledlinepair, for agivencouplingvalueK,
aregivenas:
Z
2
0
=
_
Z
0e
Z
0o
K =
Z
0e
Z
0o
Z
0e
Z
0o
(11.20)
Figure11.38 shows thefrequency responseof aquadraturecoupler for two different
couplingvalues, K. Asseeninthegraphs, 90

phasedifferencebetweenthetwoportsis
alwaysmaintained, whereasthestructureisnarrowbandintermsof themagnitudeof the
directandcoupledportsignals. Figure11.38ashowstheperformancewhenthecoupling
valueKischosenas 2.8dBandFigure11.38bshowstheperformancewhenKischosen
tobe 2.5dB at thebandcenter. AsK increasestheachievablebandwidthappearsto
increasebut at theexpenseof greater imbalancebetweenthedirect andcoupledports.
Lowvalues of Z
0o
represent very tight couplingbetweenthetwo coupledlines, which
is not physically possible with edge coupling. At RF frequencies the availability of
multilayer PCB boardsandviaholeprocessingtechnologiesenablesminiaturecouplers
toberealizedbyusingbroadsidecoupledlinesinstriplinecongurations. Analternative
istousecommerciallyavailableminiaturecoupler structuresasseparatecomponentson
thePCB.
Generally, microwavehybridPA designs requiresingle-layer realizations whichcan
be provided by branch-line quadrature hybrids, rat-race hybrids, Wilkinson splitter
with a 90

phase lag in one arm, or Lange couplers. All the above structures can
berealizedusingstandardPCB techniques except theinterdigitatedLangecoupler as
it requiresverytight couplingbetweenthelinesandrequiresthin-lmtechniquestobe
realized.
11.7.1 Branch-line quadrature hybrid [19]
For afullymatchedcasewithstandard50Osourceandloadimpedances, thecharacter-
istic impedancesof itstransversebranchesare50O andthecharacteristic impedances
of itslongitudinal mainlinesare
50

2
= 35.35O. Figure11.39ashowsa3dBbranch-line
couplerforwhichpowerinP1dividesevenlybetweenP2andP3withaphasedifferential
of 90

C. P4istheisolatedport and, unlessamismatchexistsat P2andP3, ideally no


power isdeliveredtothisport. Whenidentical loadmismatchesexist at theoutput ports
548 Power amplier applications
(a)
P
1
, in P
2
, out
P
3
, out
Z
0
Z
0
Z
0
Z
0
Z
0
Z
0
/4
/4 /4
/4
Z
0
/2
Z
0
/2
2
2.5
3
3.5
4
D
i
r
e
c
t

a
n
d

c
o
u
p
l
e
d

p
o
r
t
s

(
d
B
)
I
s
o
l
a
t
e
d

p
o
r
t

(
d
B
)
4.5
5 30
25
20
15
10
5
0
0.6
(b)
0.7 0.8 0.9 1
Frequency (MHz)
1.1 1.2 1.3 1.4
Figure 11.39 (a) Microstripbranch-linequadraturehybrid, (b) performance. S
12
, S
13
, . S
14
.
P2andP3, thenall reectedpower fromthesetwoportsisdissipatedintheloadat the
isolatedport andnopower isreectedfromtheinput port.
Thefrequency responseof asinglesection branch-linehybrid coupler is shown in
Figure 11.39b, where all transmission lines are quarter-wavelength long at the band
center. As canbeseen, theoperational frequency bandwidthof thebranch-linehybrid
islimitedto1015%duetothequarter-wavetransmissionlines.
Whentheoutput portsof thebranch-linehybridaredifferent than50ohms, whichis
usually thecasewithbalancedPA designs, thestructurecanbereconguredtodothe
impedancetransformationaswell. InFigure11.40, theoutputimpedancesof thehybrid
arekZ
0
, wherekisanypositivereal number andtheinput port ismatchedtoZ
0
, usually
50O. Inthiscasenewquarterwavelineimpedancesformingthebranch-linehybridcan
11.7 Quadrature-phase power combining balanced ampliers 549
P
4
P
3
, out
P
2
, out P
1
, in
Z
0
Z
0
kZ
0
kZ
0
Z
2
Z
2
Z
1
Z
3
/4
/4
/4 /4
Figure 11.40 Impedancetransformingbranch-linecoupler.
Input Port Isolated Port
Conductor
Length (L)
Conductor
Width (W)
Conductor
Spacing (S)
Direct Port
Coupled Port
Figure 11.41 Langecoupler.
becalculatedusinganevenandoddmodeanalysis[20].
Z
1
= Z
0
Z
2
=
Z
0

k (11.21)
Z
3
= kZ
0
11.7.2 Lange coupler
TheLangecoupler isafour port, interdigitatedstructuredevelopedbyDr. J uliusLange
in 1969 [21]. The couplers are widely used as power combiners and splitters in RF
ampliersaswell asinmixersandmodulators. Langecouplersconsist of very narrow
coupledlinesof aquarter wavelengthcoupledinparallel toallowfringingonbothsides
of thelineto contributeto thecoupling. Bond wires areusedto connect nonadjacent
linesinparallel. Theresultant coupler will havealargebandwidthof at least anoctave.
Typically, thenumber of conductors or ngers (N) is even. Thegeometry for N= 4is
showninFigure11.41.
Thelengthof thengers (L) is set by thedesiredcenter frequency (f
0
) of thelter.
Thedeviceisrelativelybroadband, withaat frequencyresponsearoundf
0
. Thenger
550 Power amplier applications
lengthisequal tothequarter wavelength(
s
) of f
0
inthesubstrate, i.e.
L =

s
_
4
where (11.22)

s
=
c
f
0

eff
Theeffectivedielectric constant isafunctionof thedielectric constant of thesubstrate
as well as its thickness (h), theconductor width(w), andconductor thickness (t). The
wavelength(
s
) canalsobecomputedastheaverageof thewavelengthsof theoddand
evenmodes.
Theinitial analysisinvolvescalculatingtheoddandevenmodeimpedancesandthen
calculatingthelinewidthandspacingfrom:
Z
0o
_
Z
0e
= R =
C
(C 1)(N 1)
_
1

1
_
1
C
2
1
_
(N 1)
2
_
(11.23)
whereN= number of coupledlines, C isthecouplingcoefcient givenasC = 10
C
dB
20
,
andC
dB
isthecouplingcoefcient indB.
_
Z
0e
Z
0o
=
Z
0
_
(N 1 R)((N 1)R1)
(1 R)
(11.24)
whereZ
0
isthecharacteristicimpedanceof thecoupler.
Langecouplers havebeen used fromUHF to Q band, perhaps even higher. But as
thefrequency goes up, thesubstrateheight needs to decreaseto prevent higher-order
transmissionmodesinmicrostrip. Thethicknessof thesubstrateneedstobelimitedto
10%of thewavelength. Thus, 0.015
//
aluminais goodupto 25GHz, 0.004
//
GaAs is
goodupto82GHz, and0.005
//
quartz isgoodupto121GHz. Reducedheight means
reduced strip width, which is theultimatelimitation. At somepoint thestrips get so
narrowthat evenif they do not fail thedesignrules, theconductor losses will start to
limit theperformance.
Langecouplersonaluminaareusuallyrestrictedtoapplicationswherethesubstrateis
atleast0.015
//
thicki.e.,thecouplerwill notoperateabove25GHz.If 0.010
//
aluminawas
usedthestripwidthswouldneedtobelessthan0.001
//
(25m). InMMICapplications,
Lange couplers can be made on 0.004
//
thick substrates which will be good up to
80GHz. If oneattemptedtomakeaLangeon0.002
//
thick GaAs, thenthestripwidths
wouldneedtobeabout vemicronswhichwouldmakingit toolossy.
Figure11.42showsthemeasuredperformanceof a618GHzLangecoupler realized
on 15 mil thick alumina substrate. In Figure 11.42a, the insertion loss between the
input port anddirect/coupledportsareplottedinthelower tracesscaledtotheleft axis,
isolationandreturnlossresponsesareplottedintheupper twotracesscaledtotheright
axis. InFigure11.42b, solidlinesshowstheinsertionlossimbalancebetweenthedirect
andcoupledportsscaledtotheleftandthedashedlineshowsthephaseimbalancescaled
totheright axis.
11.7 Quadrature-phase power combining balanced ampliers 551
(a)
I
s
o
l
a
t
i
o
n

a
n
d

R
e
t
u
r
n

L
o
s
s

(
d
B
)
D
i
r
e
c
t

a
n
d

C
o
u
p
l
e
d

p
o
r
t
s

(
d
B
)
Frequency (GHz)
(b)
I
m
b
a
l
a
n
c
e

b
t
w

d
i
r
e
c
t

a
n
d

c
o
u
p
l
e
d

p
o
r
t
s

(
d
B
)
Frequency (GHz)
P
h
a
s
e

d
i
f
f

b
t
w

d
i
r
e
c
t

a
n
d

c
o
u
p
l
e
d

p
o
r
t
s

(
d
B
)
Figure 11.42 Performanceof the618GHz Langecoupler realizedon0.015
//
thickalumina
substrate(
r
= 9.8). (a) . coupledport andX direct port amplituderesponses, isolation, and
. returnlossresponses, (b) amplitudeandphaseimbalancebetweendirect andcoupledports.
552 Power amplier applications
50 ohm
Zs, int Zs, opt Zload, opt
Output Balun Input Balun
Output
Impedance
Matching
Input
Impedance
Matching
50 ohm
Figure 11.43 Pushpull power amplier schematic.
11.8 Anti-phase power combining pushpull ampliers
Fundamentally, apushpull circuit usesapair of separatetransistorsoperating180

out
of phase. If both signals in each half of thepower amplier areamplitudeand phase
balanced, thenanRF groundwill exist at themidpoint. Thisapproachleadstoseveral
advantagesover bothsingle-endedandbalanceddesigns:
1. Input and output impedances for each side are halved, also halving the required
impedancetransformations.
2. Ideally, zeroeven-order harmonicsat theoutput of thepushpull amplier.
3. Twicethepower output for thesameimpedancetransformationratio whichmeans
achievingwider bandwidthcomparedtotheequivalent singleendeddesign.
4. ReducedCommonLeadInductanceleadingtohigher gain.
Themost signicant disadvantages aretheneedfor differential RF excitationandthe
fact that excellent symmetry is required in both the matching circuit and the device
itself. A genericpushpull circuit congurationisshowninFigure11.43.
Pushpull operationrequiressplittingtheRF signal at theinput, alongwitharecip-
rocal operationat theoutput tobeabletocombinethepowers generatedoneachside.
Circuit elementsthat providethisfunctionarereferredtoasbaluns(balancedtounbal-
anced). Theideal balunwouldsplit thesignal intotwohalvesof equal amplitude, along
withprovidinga180

differential phaseshift across thefrequency of operation. There


are various approaches to realize the baluns, such as conventional coil transformers,
transmissionlinetransformers [22], andmicrostripstructures (Wilkinsondivider; line
and ring hybrids). Themethod of implementation heavily depends on frequency. For
HF (up to 30 MHz) magnetically coupled coil transformers aresuitable. Abovethese
frequencies, leakage inductance and parasitic capacitance degrades the performance
makingthemapoor choice. VHF andUHF circuits(30MHz 1GHz) most commonly
employ transmission line balun structures, built fromcoaxial cable or twisted pairs.
MicrostripstructuressuchasWilkinsondividersalsooffer verygoodperformance, but
these are limited by their physical size (in the order of ,2) and are therefore only
practical at higher frequencies. Substrates with high dielectric constants can mitigate
thisproblemtosomeextent.
After the balun the input and output matching networks are usually calculated by
conventional means treating each half of the transistor as if it were a single ended
11.8 Anti-phase power combining pushpull ampliers 553
Z
in
Z
in
Z
in
Z
out
= N
2
Z
in
Z
out
= N
2
Z
in
n
out
/

n
in
= N
Z
out
= N
2
Z
in
/

2
Z
out
= N
2
Z
in
/

2
Figure 11.44 Somecoupledcoil transformer circuits: (a) autotransformer, (b) conventional
transformer, (c) center tappedsecondary.
device. Theonlyimportant point tonoteisthat thebalanced(draintodrain) impedance
shouldbetwicetheunbalanced(draintoground) impedance.
11.8.1 Coupled coil transformers
In a coupled coil transformer, the primary and secondary windings are coupled
throughasuitablemagneticmaterial. For anideal transformer, therelationshipbetween
impedancesontheprimaryandsecondarysideis:
Z
in
= Z
out
_
n
in
n
out
_
2
(11.25)
wheren
in
andn
out
arethenumber of coupledcoil turns at theprimary andsecondary
side, respectively.
This implies that any impedancetransformations canberealized, dependingonthe
turns ratio. Whentheparasitics arenegligible(i.e., upto 30MHz) coupledcoil trans-
formers areoftenusedwherewidebandresistancetransformationis required. Various
winding topologies are possible, and are chosen depending on whether DC isolation
and/or balanced signals are required. Figure 11.44 shows the simplest coupled coil
congurations. The autotransformer in Figure 11.44a has a tapped continuous wind-
ing and provides aDC short between input and output. Figure11.44b shows amore
conventional transformer withDC isolationbetweenprimary andsecondary windings.
Other arrangements can allow for balanced-unbalanced operation. The center tapped
secondarytransformer inFigure11.44cisabalancedsignal splitter whichalsoprovides
an N
2
,2impedancetransformation. Higher permeability ferrites areusually preferred
for improvedcoupling, but it shouldbekept inmindthat highpermeability cores will
saturatemoreeasilythanthosewithlower permeabilities. Permeabilitycanalsovaryas
afunctionof current level, frequency, andtemperature.
Two-holebaluncoresandtoroidsarethemost commonlyusedferritestructures. The
choiceof wirealsoaffectsperformance. Thicker wirewill increasethecoupling, but it
will alsoincreasetheparasiticwindingcapacitance. Inpractice, all transformerssuffer
fromparasiticeffectsthat causetheir behavior todeviatefromtheideal. Theschematic
554 Power amplier applications
R
WP
Z
IN
C
P
C
S
Z
OUT
C
W
L
LEAK_S
R
WS
L
MAG
R
CORE
L
LEAK_P
Figure 11.45 Practical transformer equivalent circuit.
l l
l
2V
V
V
50 ohm
25 ohm (0)
25 ohm (180)
Figure 11.46 Guanellatransmissionlinebalun.
inFigure11.45shows theequivalent circuit of atransformer. Theideal transformer is
representedinsidethedashedlines. SeriesresistancesR
WP
andR
WS
aretheresistancesof
theprimaryandsecondarywindings. AlthoughthesearenegligibleatDC, theskineffect
will increasetheresistanceinproportionto thefrequency as thefrequency increases.
R
CORE
modelsthelossof energyintheferritematerial duetoeddycurrentsandhysteresis
effects. Leakageinductances represented by L
LEAK_P
and L
LEAK_S
model themagnetic
ux generated outsidetheferritecore. L
MAG
limits thelow-frequency responseof the
transformer and it has its physical origins in thenitemagnetizing inductancein the
coils. As thepermeability of theferriteincreases, L
MAG
also increases suchthat it can
be ignored. So for low-frequency applications higher permeability will be preferred.
At higher frequencies, thecapacitiveeffect betweenwindingsandbetweentheturnsof
eachwindingwill bethedominant factor limitingtheperformance.
11.8.2 Transmission line transformers
Figure 11.46 shows the basic building block of a transmission line transformers
the1:1unbalancedto balancedtransformer rst introducedby Guanellain1944[23].
Note that this provides no impedance transformation, but each side of the balanced
load has an impedance level which is half of that seen at the input. This is effec-
tivelyanRF transmissionlineequivalent of thecenter-tappedtransformer usedat lower
frequencies.
Thechoiceof transmissionlinelargely depends onthecharacteristic impedanceas
dictatedbythechoiceof balunor transformer. Coaxial cablesof xedvaluesarefreely
11.8 Anti-phase power combining pushpull ampliers 555
Z
IN Z
OUT
/2
Z
OUT
/2
L = /4
Z
0
= (Z
IN
*Z
OUT
)
Figure 11.47 Coaxial quarterwavetranmissionlinetransformer.
2l
l
V
V
V
l
l l
R
i
R
o
Figure 11.48 Ruthroff 1:4unbalancedunbalancedtransformer.
available, andthesecanbecombinedtomaketransmissionlinesof varyingimpedances.
AswithmostUHF/VHFtransmissionlinetransformers, Teoninsulatedcoaxial cableis
preferred. Thepowerhandlingcapabilityisgenerallylimitedbythemaximumallowable
temperature, whichisitself afunctionof dielectricmaterial andcablediameter.
Thesimplesttypeof transmissionlinetransformeristhe,4line. Sincetheimpedance
matchisdependent onthepresenceof aquarter wavelengthstandingwave, thesetrans-
formersareinherently narrowband. They alsorequireatransmissionlineof character-
isticimpedancewhichisthegeometric meanof theinput andoutput impedancestobe
matchedi.e.:
Z
0
=
_
Z
IN
Z
OUT
(11.26)
This canlimit thepracticality of this techniquesincemany types of transmissionline
areonly availableinalimitedrangeof impedances. However, cablescanbecombined
toachieveintermediateZ
0
values. Aswell astheimpedancematchingfunction, the,4
linecanbeusedasabaluninthesamewayasabove bygroundingoneconductor on
theunbalancedsideandconnectingeachconductor to onehalf of abalancedloadon
theother side. Figure11.47showsanimplementationof thisusingcoaxial cable.
As well as thenarrowband,4transformer, asingletransmissionlinecan beused
asanunbalancedtounbalanced(unun) transformer. Thisiscapableof providingwide-
band1:4impedancetransformationratiosby connectingit inthesocalledbootstrap
congurationasshowninFigure11.48. Here, thetwoconductorswhichconstitutethe
transmissionlineareusedas theprimary andsecondary windings inasimilar way to
theconventional autotransformer. If avoltageV is present across theinput, thesame
voltage will be impressed across the lower conductor of the transmission line. The
556 Power amplier applications
Z
o
= 2*R
IN
R
IN
R
OUT
R
OUT
R
IN
Figure 11.49 1:4Ununcoaxial andtoroidal implementations.
R
L
/9
R
L
3I I
V
3V
Figure 11.50 1:9Ruthroff unbalancedunbalancedtransformer.
voltageacross R
o
is thereforethesumof thesevoltages i.e., 2V. If thecurrent I is to
owthroughtheload, it must also owthroughtheupper conductor. But again, since
bothconductorshavethesamevoltageacrossthem, thecurrentsthrougheachmust be
identical. Therefore, sinceR
o
= 2V,I andR
i
= V,2I, thenR
i
= R
o
,4.
Calculationsshowthat maximumpower transfer occursfor thistransformer withthe
optimumtransmission line impedance of Z
0
= 2R
i
. For best performance the trans-
mission line should be kept as short as possible. Figure 11.49 shows two practical
implementationsof the1:4balunusingcoaxial cableandwire-wrappedtoroidcongu-
rations. Obviously, thesedevicesarebilateral andsimplyreversingtheportswill provide
4:1step-downtransformations.
Thistechniquecanbeextendedtoother transformationratiosby addingextratrans-
missionlines. Figure11.50showsa1:9transmissionlineschematicalongsideanimple-
mentationusingcoaxial cable. 1:16transformations canberealizedby addingathird
conductor pair andconnectingtheminthesameway as thesecond. Oneof theprime
factors limitinghigh-frequency performanceis thephaseerror causedby thearbitrary
length of the transformers interconnections. If these connections were made using a
transmissionlineof thesamelength, velocityfactor, andimpedanceasthetransformer
lineitself, then thephaseerror would beeliminated. An additional advantageis that
thephysical shapeof thetransformer is also no longer restrictedby theneedto bring
connecting points close together. Devices of this type are referred to as equal delay
transformers. Figure11.51shows howtheprincipleisappliedtothe1:4Ruthroff [24]
unbalanced-unbalancedtransformer seenbeforeinFigure11.48.
In pushpull circuits, impedance transformations between a balanced source and
a balanced load are also often required. By combining a number of basic building
11.8 Anti-phase power combining pushpull ampliers 557
R
OUT
/4 R
OUT
Interconnecting Line
Ferrite
Figure 11.51 1:4Equal delayRuthroff unbalancedunbalancedtransformer.
Parallel (Low Z) Parallel (Low Z) Series (High Z) Series (High Z )
2l
2l
2V V
l
l
l
1:4 Transformer 1:9 Transformer
l 3l
3l
3V V
V
Figure 11.52 1:4Balancedbalancedtransformer (left) and1:9balancedbalancedtransformer
(right).
blocksinarangeof parallel/seriescombinations, balancedtobalancedimpedanceratios
of 1:n can be achieved. The diagrams in Figure 11.52 show 1:4 and 1:9 Guanella
transmission line transformers based on this principle. Like the quarter-wavelength
transformer, theoptimumtransmissionlineimpedanceis thegeometric meanof input
and output; however, small deviations fromthis can bepermitted if somebandwidth
degradation is acceptable. Thesetransformers areoften constructed of coaxial cable,
andit isgoodpracticetoformthecableintoasuitableshapetokeeptheinterconnects
asshort aspossible. Thelimitationof squaredinteger impedanceratioscanbeavoided
to someextent by combining theconductors in morecomplex arrangements, but the
benets of doing this must be weighed against the practicality of the design and the
possiblelossof bandwidth.
11.8.3 RF/microwave pushpull amplier
Most high-power microwave LDMOS, GaAs FET, or GaN pHEMT devices consist
of two independent sides without any internal transversal connectionbetweenthetwo
sides. Though often called pushpull devices, the two sides can be combined in a
varietyof congurationscreatedbyexternal componentssuchas180

splitters/combiner
558 Power amplier applications
z
source
25 ohm 25ohm
25ohm
25ohm
50ohm
2Z
p
2Z
p
50 ohm
z
series
z
series
z
series
z
series
z
load
Figure 11.53 Conceptual blockdiagramof microwavepushpull amplier.
/4 @ band center
Z>>50 ohm
50 ohm coaxial line
Input (unbalanced)
50 ohm
Input (unbalanced)
50 ohm
Output (balanced)
50 ohm
Figure 11.54 Coaxial balunstructure.
(baluns), 3dB quadraturecouplers (likebranchlineor Langecouplers), andin-phase
couplers (likeWilkinson couplers). Pushpull congurations areextensively used for
high-power GaAsFETsfor relativelynarrowbandcommercial applicationsfromUHF
toSband. Figure11.53showstheconceptual block diagramof amicrowavepushpull
amplier.
Figure11.54showsabalunstructureusedat RF andmicrowavefor pushpull ampli-
ers. Oneof thekey requirementsistokeepthebalunstructuresufciently away from
thegroundsuchthattheimpedancefromeither endof thebalancedoutputstogroundis
as highas possible. This structurewas originally developedto feedantennastructures
wherebalanceddipoleendsneedtobefedfromanunbalancedsource. Theadvantages
of apushpull amplier canbesummarizedasfollows:
r
fourtimeshigherdeviceimpedance(Z
in
gategateandZ
out
draindrain) incomparison
tosingle-endeddeviceimpedanceswiththesameoutputpower, whichmakesiteasier
tomatchandalsoobtainbroader bandwidths;
r
avirtual groundexistsacrossthesymmetryplanewhichcanbeusedformorecompact
andsimpler matchingstructures;
r
cancellationof evenproductsandharmonics, suchasf
2
f
1
, 2f
1
, 2f
2
, f
1
f
2
, etc.
11.9 Doherty combining 559
Thedisadvantagesof apushpull amplier are:
r
poor inputandoutputexternal matchduetothefactthatthebalunsusedfor pushpull
ampliersdonot eliminatetheinput andoutput power reectedbythedevice, unlike
inbalancedcongurations;
r
withconventional baluns, isolationbetweenthetwosidesof thepart istheoretically
only 6 dB; this poor interdeviceisolation can causeinstability and loop oscillation
problems;
r
manuallymadecoaxial balunsaresimpletomakeforlaboratoryuse, butinproduction
they requireconsiderablelabor that makes mass productionexpensive, andrepeata-
bility of theperformanceis not trivial. Surfacemount baluns areavailablebut add
cost andtendtooccupymorereal estatethanequivalent quadraturecouplers.
Another optionfor amicrowavePA designer isthemicrostriprat-racecoupler shown
inFigure11.55. Thisisaplanar structureanddoesnotsuffer fromthesameproduction,
precision, and performance repeatability problems as the coaxial balun counterpart.
However, it shouldbenotedthat rat-raceportsareall at theZ
0
(50O) level, makingthe
balancedimpedance2Z
0
; inthisrespecttheratraceisnotatruebalun. Thus, therat-race
couplerdoesnotprovidetheimpedancetransformationpropertiesof atruecoaxial balun
andit has anarrower bandwidth, but thebandwidthis still largeenoughto satisfy the
needsof variouswirelesspower ampliers.
11.9 Doherty combining
An ideal Class-B amplier schematic is given in Figure 11.56. The devices V
gs
is
biasedtoitspinch-off valueandtheRF voltagemagnitudeislargeenoughtodrivethe
gatesourcejunctionsuchthat thedevicedeliversmaximumdraincurrent at theoutput
terminals. Thereis ahigh-Q resonator tuned to f
0
so theimpedancepresented to the
drainterminals of thedeviceis perfectly real at f
0
and0O at all harmonics. Theload
resistor ischosencarefully tomaximizethepower output fromthedevice. Thehigh-Q
resonatorallowsall harmoniccurrentstoowthroughthedevicedrain-sourceterminals,
but allows only thefundamental voltageto exist across thedevicewhich results in a
sinusoidal voltageandahalf sinusoidcurrent waveform.
ThepeakcurrentisI
max
sotheamplitudeof thefundamental frequencycomponentof
thedraincurrentisI
max
,2. Thevoltagewaveformswingsfrom0to2V
dc
, sotheoptimum
loadimpedancepresentedtothedeviceterminalsis:
R
opt
=
2V
dc
I
max
(11.27)
TheRF output power isgivenby:
P
RF
=
V
dc
I
max
4
(11.28)
560 Power amplier applications
l /4
l /4
l /4
3l /4
Input
port
Isolated
port
Output
Port 1
Output
Port 2
(a)
(b)
Figure 11.55 (a) Rat-racecoupler, (b) performanceof rat-racecoupler.
and because the DC value of the half sinusoid current waveformis I
max
,, the DC
supplypower isgivenby:
P
DC
=
V
DC
I
max

(11.29)
whichgivesadrainefciencyof:
=
P
RF
P
DC
=

4
11.30
or 78.5%.
11.9 Doherty combining 561
Let us now consider what happens when the input power level is backed off.
Figure11.56showstheresultingwaveformswhentheinput RF voltageswingishalved
(power input is 6 dB backed off). In this case the output current and output voltage
swing magnitudescales down by thesameamount. So in thegeneral case, assuming
that thegate-sourceinput voltageswingisreducedby afactor p, thenthefundamental
component of theoutput current will be:
I
1
=
I
max
2p
(11.31)
andtheoutput voltageswingwill begivenby:
V
1
=
I
max
2p
R
opt
=
I
max
2p
2V
DC
I
max
=
V
DC
p
(11.32)
Hence, theRF output power, DC supplypower, andtheresultingefciencywill be:
P
RF
=
V
DC
I
max
4p
2
P
DC
=
V
DC
I
max
p
11.33
=

4
1
p
For example, a6dB reductioninRF input power level corresponds to p= 2andthe
efciency drops from,4 to ,8 (from78.5% to 39.3%). This is where the debate
starts between theRF power amplier designers and thetelecommunications society.
Astheavailablefrequency spectrumgetsnarrower thereisarequirement tobeableto
accommodatehigher datarates insmaller frequency bandwidths. Inrecent years new
spectrum-efcient modulationtechniques havebeeninventedwiththewidespreaduse
of availablewireless technologies. Nowadays theinformationis not only storedinthe
phase but also in the envelope of the RF carrier signals. QPSK, QAM, and OFDM
modulation schemes are a few of the modulation techniques developed so far. The
twomainrequirementsfromthepower ampliersareefciencyandlinearity. Linearity
meanssmall EVM inthemodulationdomainandlowadjacentpower levels. Inasimple
Class-B amplier theonlysolutiontosustainlinearityunder thesecomplexmodulation
schemes is to back-off the signal, where the required back-off level depends on the
amount of PAR level. This will have a negative impact on the average efciency of
aClass-B power amplier as theefciency degrades by thesquareroot of thepower
back-off level. In order to get a better understanding of the requirements of a power
amplier whichneedstobedesignedfor aWIMAX (802.16e) basestation, letshavea
look at acoupleof basicparameters: P
out, avg
= 2W, EVM-2%andACPR-,45dBc(a
typical requirement fromthebasicPA block). In802.16, themodulationisOFDM with
200subcarriersandeachsubcarrier is64QAM modulated. Lookingat theliterature, to
meetthelinearity, thePA needstobebackedoff byabout12dB(p=4). Sothesaturated
output power (generally achieved around 2 to 3 dB saturation) will be32 W and the
averageefciency will dropfrom78.5%to19.2%. A basic ideaat thispoint will beto
dynamically changetheload impedanceas theenvelopepower changes such that the
V
ds
V
ds
V
in
V
gs
3
ZL=RL+j0 @ fo
ZL=0 @ (2fo, 3fo,...)
2
1
I
d
DC Block
Hi-Q Resonator
@ fo
R
L
V
p
0 0.5 1
Time (ns)
1.5 2
V
o
Gate Voltage
6 dB backed-off
6 dB backed-off
0 0.5 1
Time (ns)
1.5 2
V
ds
Drain Voltage
0 0.5 1
Time (ns)
1.5 2
1
1
I
max
I
max
/2
Drain Current
V
out
+

Figure 11.56 Ideal class-B PA anditswaveforms.


11.9 Doherty combining 563
full rail-to-rail voltageswingat theoutput is maintained, andthemaximumefciency
can still bepreserved. This is theprincipleof theDoherty amplier [25]. If theload
impedancecouldbescaledtopR
opt
, then:
R
p
= R
opt
p=
2V
DC
I
max
p
P
RF
=
V
DC
I
max
4p
(11.34)
P
DC
=
V
DC
I
max
p
=

4
Theefciency canbeseentoremainconstant at 78.5%. Infact, thesolutionisnot that
simplebecauseevenif suchachallengecanbesolved, theamplierwill benonlinear. As
seenfromtheaboveequations, whentheinputpowerlevel isscaleddownbyp
2
theoutput
power drops by only p. Leavingthelinearity asidefor amoment, themainproblemis
howtobeabletodynamicallyadjusttheloadresistancetofollowtheamplitudechanges
intheenvelopemodulationof anRF signal. Werequiretheloadimpedancetoincrease
astheinput signal totheamplier decreasestomaintainconstant efciency.
Thekeyissuewearedealingwithwhenasignal hasavaryingenvelopewithtimeis
that thefull power capabilityof thePA isonlyneededat theenvelopepeaks, andwhen
theenvelopedipstoalower amplitudethePA capabilitiesarewastedtoagreater extent.
Another possibility not to waste the PA capability is to alter the DC supply voltage
linkedto theenvelopevariations. This falls into abias adaptationcategory, whichcan
beused for both efciency restoration and linearity. Yet another possibility would be
to modify theeffectivegateperiphery of thedevice, but it is not a viablechoicefor
asinglepackageddeviceas normally all theinternal cells arewiredtogether andthis
techniquecanonlybeconsideredif adistributedPA topologyisusedandcell combining
isdesignedinawaytoallowthistechniquetobeapplied.
In 1936 Doherty devised a method of dynamically adjusting the load impedance
using two separate ampliers, one called the main amplier and the other called the
auxiliaryamplier. Theoriginal Dohertyamplier wasconcernedwithveryhigh-power
tubeampliersgeneratingtensof kilowattsfor high-frequency broadcast stations. The
Doherty amplier inits basic formlooks likeanactiveload-pull technique. Themain
principleis that theeffectiveimpedanceof aload can bemodied by applying some
currentfromanexternal phase-coherentsource. Togetmoreinsightintotheconceptwe
better lookat theload-pull concept rst.
In Figure11.57, current source1 sees aload resistanceof R if current source2 is
set to givezero current. But if current source2starts deliveringacurrent I
2
, thenthe
voltagedevelopedacrossRwill be:
V = R(I
1
I
2
) (11.35)
564 Power amplier applications
Current
source 1
Current
source 2
l
1
l
2
R V
+

Figure 11.57 Activeloadpull schematic.


Sotheeffectiveimpedancesseenattheterminalsof currentsource1andcurrentsource
2will be:
R
1
=
V
I
1
= R
_
I
1
I
2
I
1
_
and R
2
=
V
I
2
= R
_
I
1
I
2
I
2
_
(11.36)
For example, R
1
canbemadelarger thanRif current source2deliversphasecoherent
current, and can bemadesmaller if current source2 delivers antiphasecurrent. As a
result, if thetwocurrent sourcesareassumedtobetwoseparatetransistors, withphase
coherent input drives, thentheeffectiveimpedancepresentedtooneof thedevicescan
be modied by the amount of current delivered fromthe other device. Figure 11.58
showstheRF implementationof Figure11.57. Thetwocurrent generatorsrepresent the
transistors; theleft onebeingtermedthemainamplier andtheright onetheauxiliary
or peakingamplier. Themainamplier isoperational over theentirerangeof voltages
appliedtoitsgateterminal whereastheauxiliaryamplier onlyturnsonaboveacertain
thresholdof appliedRF input voltage, whichinFigure11.58is assumedto beat half
themaximumvoltageappliedtothemainamplier i.e., at6dBback-off, althoughother
valuescanbechosen. Also, it isassumedinthefollowingthat identical transistorsare
used for both themain and auxiliary ampliers, although this is not always thecase.
Fromequation(11.36) itcanbeseenthattheloadimpedanceseenbythemainamplier
wouldincreaseastheauxiliary amplier turnsonwhereaswerequireit todecreaseso
animpedanceinverter isinsertedbetweentheloadandthemainamplier asshownin
Figure11.58.
It can beshown [25] that theRF drain voltageis constant in region 2 in themain
amplieratthefrequencyatwhichthetransmissionlineis,4, andsothemainamplier
maintains constant efciency of 78.5%over theentire6 dB back-off rangefromfull
power. Whilethemainamplier maintains constant efciency, theauxiliary amplier
only operates at maximumefciency at full output power andbelowthat itsefciency
followsthat of anormal classB amplier, assumingaclassB amplier isusedfor the
11.9 Doherty combining 565
Z
m
Z
T
, 90deg@fo
V
m
l
main
= l
m
Fundamental
frequency
current
V
o
= V
x
R
opt
/2
Z
mT
Z
x
laux = lx
l
max
/2
l
m
l
x
l
max
/4
V
max
/2
Region 1 Region 2
V
max
Figure 11.58 Basicrepresentationof aDohertycombiner.
auxiliary amplier whichis not always thecase. It canbeshown[25] that theoverall
Dohertyamplier efciencyisgivenby
=

2
_
:
in
V
max
_
2
_
3
:
in
V
max
1
_ (11.37)
As seen in equation (11.37), efciency peaks arelocated at
:
in
V
max
= 1,2 and 1, corre-
spondingtothe-6dBpointandfull power point, respectively. Theefciencymakesadip
566 Power amplier applications
Main and Auxiliary PA voltages (left) and currents (right)
0.35
Main PA
voltage
Aux PA
voltage
Main PA
current
Aux PA
current
14
13
12
11
10
9
8
7
6
5
4
3
2
1
0
0 0.1 0.2 0.3 0.4 0.5
v
in
/V
max
0.6 0.7 0.8 0.9 1.0
0.5
C
u
r
r
e
n
t

a
c
r
o
s
s

d
e
v
i
c
e

t
e
r
m
i
n
a
l
s

(
A
)
V
o
l
t
a
g
e

a
c
r
o
s
s

d
e
v
i
c
e

t
e
r
m
i
n
a
l
s

(
V
)
0.25
0.2
0.15
0.1
0.05
0
Figure 11.59 Mainandauxiliarydevicesvoltagesandcurrents.
betweenthesetwopointsandtheminimumcanbefoundbyequatingtherstderivative
of theequation(11.37) tozero, i.e.

_
:
in
V
max
_ = 0 whichgives
_
:
in
V
max
_
=
2
3
(11.38)
Substitutingequation(11.38) intoequation(11.37givesaminimumefciencyof 69.8%
inregion2asshowninFigure11.60.
As noted earlier, the output power fromthe main amplier changes linearly with
inputRF voltagerather thanwithinputpower andhenceisnonlinear. However, notonly
doestheauxiliaryamplier maintainconstantefciencyfromthemainamplier, italso
overcomesthisnonlinearityissue. Itcanbeshownthatthetotal RF outputpower froma
Dohertyamplier hasalinear dependenceontheRF inputpower whenthecontribution
fromtheauxiliaryamplier istakenintoaccount aremarkableresult.
Figure 11.59 shows the main and auxiliary amplier voltages and currents for an
ideal device with I
max
= 500 mA and operating from12 V DC supply voltage. R
opt
is calculatedto be48O. Thefundamental frequency component currents throughthe
devicesaredrawnindashedlinesandscaledtotheright y-axis. Theterminal voltages
areshowninsolidlinesandscaledtothelefty-axis. Themainamplierterminal voltage
reachesV
ds
when:
in
,V
max
=0.5, whichcorrespondsto-6dB, andstaystherefor higher
valuesof :
in
,V
max
. But sincethemainamplier current continuesincreasing, themain
sidecontinuestocontributetothetotal output power.
11.10 Conclusions 567
3.5
3
2.5
2
1.5
P
o
u
t

(
W
)
e
f
f
i
c
i
e
n
c
y
1
0.0%
12.0%
24.0%
36.0%
48.0%
60.0%
72.0%
84.0%
efficiency
Total power
Main PA power
Aux PA power
0.5
0
0.0 0.1
6 dB (V
in
/V
max
)^2 (10 dB)
0.2 0.3 0.4 0.5 0.6 0.7 0.8 0.9 1.0
Figure 11.60 Power output andefciencyof theDohertyamplier.
Figure 11.60 shows the RF power contributions fromboth the main and auxiliary
ampliers as a function of (:
in
,V
max
)
2
, i.e., power input to the devices. The main,
auxiliary, andtotal RF output powersareplottedinsolidlineswithsquare, triangleand
diamondmarkers, respectively, andall scaledtotheleft y-axis. Efciency isplottedin
dashedlinesandscaledtotherighty-axis. Theminimumvalueof theefciency, between
0dB and-6dB points, is69.8%andoccursat :
in
,V
max
= 2,3.
11.10 Conclusions
Power ampliersareusuallythelast activecomponent intheRF chainandtheir perfor-
mancehasasignicantimpactontheoverall systemintermsof performanceandcost. In
particular theoutput power capability, theefciency andthelinearity arecontradicting
amplier requirements. Thesearetheprimaryparameters, whichneedtobetradedoff.
Inadditionapplicationspecic designparameters, suchas thePAR of thesignals, the
averageefciency, thedynamicrangeand/or theoperational bandwidthsneedtobecon-
sideredwhendecidingfor theoptimumamplier architecturefor aspecicapplication.
Startingfromthesystemrequirementsfor atypical 3Gbasestationanoptimizedpower
amplier designwithdigital basebandpredistortionhas beendiscussedandmeasured
result presented. Utilizing a baseband predistortion scheme, which compensates for
memoryeffects, theoverall amplier performancecanbeenhancedbyuptoafactor of
twocomparedtothenonpredistortedcase. Further several designsof power ampliers
568 Power amplier applications
for phasedarrayradar, jammer andother militaryapplicationsarediscussed. Inparticu-
lar thesystemaspectsandtheir impact ontheamplier designarehighlighted. Inmany
cases therequired output power for agiven systemcannot beobtained fromasingle
transistor, thereforededicatedcombiningtechniqueshavetobeutilized. Theadvantages,
themajor drawbacksandcomprehensivedesigndetailsarediscussedfor thein-phase,
thebalancedandthepushpull amplier congurations. Finally thedesignguidelines
for themoresophisticatedDoherty combiningtechnique, whichsignicantly enhances
theefciencydynamicrangeof classB power ampliers, isderivedandpresented.
Acknowledgments
A signicantnumberof professionalshavebeencontributingtothischapter. Inparticular
wewouldliketomentionDirkWiegner andThomasBohnfromAlcatel Lucentfor their
contribution. Inadditionsomeof thematerial inthischapter wasadoptedfromtheEU
fundedNetworkof ExcellenceTARGET (TopAmplierResearchGroupsinaEuropean
Team). Wewouldliketo thank theTARGET team, inparticular GottfriedMagerl and
Martin ODromafor their support. Finally wealso would liketo thank Aselsan A.S.
(www.aselsan.com.tr) for providingtheapplicationpictures.
References
1. F. H. Raab, Averageefciencyof power ampliers,Proceedingsof theRF TechnologyExpo
1986, Anaheim, CA, J an. 1986, pp. 474486.
2. F. H. Raab, P. Asbeck, S. Cripps, P. B. Kenington, Z. B. Popovic, N. Pothecary, J. F. Sevic,
andN. O. Sokal, RF andmicrowavepower amplier andtransmitter technologies part 1,
HighFrequencyElectron, pp. 2236, May2003.
3. P. Reynaert and M. Steyaert, RF Power Ampliers for Mobile Communications, Springer
2006, pp. 2526.
4. J. Armstrong, Peak-to-average power reduction for OFDM by repeated clipping and fre-
quencydomainltering, IEE El. Lett., vol. 38, no. 5, pp. 246247, Feb. 2002.
5. A. Saul, Comparisonbetweenrecursiveclippingandactiveconstellationextensionfor peak
reduction in OFDM systems, Proceedings of the International Symposiumon Wireless
Personal MultimediaCommunications, Yokusuka, J apan, Oct. 2003.
6. K. Sathananthan and C. Tellambura, Coding to reduceboth PAR and PICR of an OFDM
signal, Commun. Lett., IEEE vol. 6, issue8, Aug. 2002.
7. K. G. Paterson, GeneralizedReedMuller codesandpower control inOFDM modulation,
IEEE Trans. Inf. Theory, vol. 6, no. 1, pp. 104120, J an. 2000.
8. J. A. Davis, J. J edwab, Peak-to-mean power control in OFDM, Golay complementary
sequences, andReed-Muller codes, IEEE Trans. Inf. Theory, vol. 45, no. 7, pp. 23972417,
Nov. 1999.
9. Byoung-J o, C. and Hanzo, L., Crest factors of complementary-sequence-based multicode
MCCDMA signals, IEEE Trans. WirelessCommun., vol. 2, no. 6, pp. 11141119, 2003.
10. W. H. Doherty, A new high efciency power amplifer for modulated waves, Proc. IRE
vol. 24, pp. 11631182, Sept. 1936.
References 569
11. L. R. Kahn, Singlesidebandtransmissionby envelopeeliminationandrestoration, Proc.
IRE, vol. 40, 803806, J uly1952.
12. H. Chireix, Highpower outphasingmodulation,Proc. IRE, vol. 23, no. 11, pp. 13701392,
1935.
13. F. H. Raab, P. Asbeck, S. Cripps, P. B. Kenington, Z. B. Popovic, N. Pothecary, J. F. Sevic,
N. O. Sokal, RF andmicrowavepower amplier andtransmitter technologies part 4, High
FrequencyElectron., pp. 3849, Nov. 2003.
14. 3GPP TS25.104V8.4.0(200809) specication[Online]. Availableat http://www.3gpp.org
15. 3GPP TS25.141V8.6.0(200903specication[Online]. Availableat http://www.3gpp.org
16. S. C. Cripps, AdvancedTechniquesinRF Power Amplier Design, ArtechHouse, 2002.
17. D. Wiegner, G. Luz, P. J uschke, R. Machinal, T. Merk, U. Seyfried, W. Templ, A. Pascht,
R. Quay, andF. VanRaay, AlGaN/GaN-basedpowerampliersformobileradioapplications:
areviewfromthesystemsuppliers perspective, Int. J. Microw. Wireless Technol., vol. 2,
no. 1, pp. 95104, 2010.
18. U.H. Gysel, A newN-way power divider/combiner suitablefor high Power applications,
IEEE MTT-SInt. Symp. Dig., 1975, pp. 116118.
19. R. Levy and L. Lind, Synthesis of symmetrical branch-guidedirectional couplers, IEEE
Trans. Microw. TheoryTech., vol. MTT-16, pp. 8089, Feb. 1968.
20. R. K. Gupta, S. E. Anderson, and W. J. Getsinger, Impedance-transforming 3 dB 90

Hybrids, IEEE Trans. Microw. TheoryTech., vol. MTT-35, pp. 13031307, Dec. 1987.
21. J. Lange, Interdigitated stripline quadrature hybrid, IEEE Trans. Microw. Theory Tech.,
vol. 17, pp. 11501151, 1969.
22. J. Sevick, Transmission line transformers, Newington, CT, USA, American Radio Relay
League, 1987.
23. G., Guanella, Novel matchingsystems for highfrequencies, BrownBoveri Rev., vol. 31,
Sept. 1944, pp. 327329.
24. C. L. Ruthroff, Somebroad-bandtransformers, Proc. IRE, vol. 47, Aug. 1959, pp. 1337
1342.
25. S. C., Cripps, RF Power Ampliers for Wireless Communications, ArtechHouse, Norwood,
MA, 2006, pp. 290298.
12 Amplier measurements
Michael Hiebel
Rohde and Schwarz GmbH & Co. KG.
12.1 Introduction
Themeasurement results obtainedinthis chapter arethemajor factors that justify the
priceof anRFamplier. Thersttestresultsof anewprototypeareusedforoptimization
purposes. Oncetheproduct is released, production-linetestingwithamanageabletest
depthtakesplace.Importantpropertiesaretestedoneachsampleduringnal production-
linetesting.
Inaccurate testing can lead to additional cost-intensive design cycles or negatively
affecttherelationshipbetweenthemanufacturer andcustomer. Itmayevenleadtolegal
consequences. AccurateRF testingisacomplextopic, andthischapter canonlyprovide
anoverview. Theinformationprovidedinthis chapter was preparedwithutmost care
but it cannot be assumed to be complete or free of errors. This chapter is meant to
beacademicinnature; it cannot replaceengineeringor other professional services. The
powerlevelscoveredinthisbookmakeitnecessarytoconsidernational andinternational
safety regulations, e.g., for nonionizingradiation. Thereader is advisedto consult the
applicableversionsof theregulations.
12.2 Power measurements
Due to their modest price, power sensors are the rst choice for built-in monitoring
systemsor for realizingautomaticgaincontrol (AGC). Becauseof their simpledesign,
power sensorscanbebuilt tobemorestablethaninstrumentssuchasspectrumanalyz-
ers, whichinvolveapproximately25to150analogcomponentsintheir RF path. Onthe
other hand, power sensorsaresubject tosomerestrictionsduetotheir widebandimple-
mentation, their absenceof phaseinformation, andtheir comparatively slowresponse
time.
12.2.1 Typical power sensor principles
A power sensors main task is to convert the applied RF power to a measurable DC
signal. Threeprinciplescanbedistinguished:
Diodesensors
Oneor two semiconductor diodes canbecombinedto formacircuit that works likearectier.
Zero-biasSchottkybarrier diodeswithlowthresholdvoltagearecustomarilyusedtobuildthese
12.2 Power measurements 571
RF Input
P R3 R4
V1
V2
R2 R1
Termination
(50 )
Rectifier
Charging
capacitors
Decoupling
R6
R5
C1 C2 C3
V
out
Figure 12.1 Simpliedcircuit diagramof adiodesensor [1].
diodesensors (seeFigure12.1). They exhibit high sensitivity down to 10
10
W but cannot be
usedat very lowfrequencies. Thefrequency rangeis always limitedby thechargingcapacitors
which, inconjunctionwiththeDC resistanceof thediodes, formahighpasslter for thetrapped
RF voltage.
Thermal sensors
Thermo-measurementcellsaretypicallyplacedonathinsiliconsubstrate(seeFigure12.2). They
useathinlayer of tantalumnitrideor chromiumnickel to convert theRF power into heat. The
heat, inturn, istransformedintoavery lowDC voltageof just afewmicrovolts. Thesensitivity
of thesethermal sensorsislimitedtoabout 10
6
W.
Thermistor sensors
Thebolometer principleis based on thermistors and barretters likethethermistor power meter
showninFigure12.3. Twothermistorswithahighnegativetemperaturecoefcient combinethe
functionsof terminationandtemperaturesensor. TheysimultaneouslyabsorbtheRF power tobe
measuredandaDCpower. Inabridgecircuit, theDCresistanceismeasuredandkeptconstantby
varyingtheDCpower. Anyincreaseof theRF power isthusalwayscompensatedbyanequivalent
reductionof theDC power andviceversa. TheDC power caneasilybemeasured.
Eachprincipleexhibitstypical advantagesanddisadvantages, whicharesummarizedin
Table12.1. Diodesensorsareoftenequippedwithaninternal attenuator padtoimprove
their matchingandtoll thegapbetweenpurediodesensorsandthermal sensors.
Power sensorscanbeusedtoverifymodulatedsignals(seeFigure12.4):
1. Diodesensorscanbeoptimizedforashortresponsetimetodetecttheenvelopepower
P
e
(t) of amodulatedsignal. Thesensor averages thepower over only afewperiods
of thecarrier. It isthereforepossibletodetect changesintheenvelopepower.
2. Fastdiodesensorscanbecombinedwithapeakholdcircuit. Themaximumenvelope
power is then detected. It is referred to as the peak envelop power (PEP) of, for
example, aTV syncpulseor aTDMA radiosignal.
3. Thermal powersensorshaveaslowresponsetimebuttheyfeatureadirectrelationship
betweenRFpowerandoutputvoltage. ThereforetheyindicatetheaveragepowerP
avg
of bothCWandmodulatedsignals.
572 Amplier measurements
1 mm
Output
8
10
2
11
Input
9
1
3
4
5
6
7
+

Figure 12.2 Sectional viewof measurement cell withsiliconsubstrate(1), membrane(2), RF feed


layer (3), termination(4), thermocouple(5), metal contact (6), highlydopedsilicon(7), cold
junction(8), insulatinglayer (9), metallizedground(10), bump(11) [1].
Coaxial
RF connector
P
I
DC
V
dif
V
ref
I
RF
Figure 12.3 Principleof athermistor power meter [1].
Diodesensors operateover awideinput power range. Withinthis range, two different
regionscanbeidentied:
1. The square-law region is reserved for very low power levels. The current-voltage
characteristic of the diodes exhibits a dominant square term which causes rms
12.2 Power measurements 573
Table 12.1 Comparison of typical power sensors
Diodesensor
Diodesensor
includingan
attenuator
Thermal
sensor
Bolometer/
thermistor
Frequencyrange
sensorsare
offered
100kHz
to220GHz
100kHz
to67GHz
DC to67GHz DC to2THz
Typical frequency
rangeexamples
100kHz
to8GHz
10MHz
to18GHz
100kHz
to8GHz
10MHz
to18GHz
DC to40GHz DC to18GHz
75GHz
to2THz
Sensitivity 20dBm
to 70dBm
50dBm
to 40dBm
20dBm
to 30dBm
20dBm
to 30dBm
Dynamicrange 50dB 40dB 40dB 20dB
Precision
Responsetime 1ms 1ms 0.1sto1s 1sto100s
Input match 6dB to10dB >30dB >25dB >25dB
Peakenvelope
power
measurement
canbeused canbeused cannot beused cannot beused
P
avg
0
P
PEP
P
p
P
e
(t)
t
p
t
T
Figure 12.4 Typical propertiesof a(pulse) modulatedsignal [1].
rectication. TheDC voltageof thedetector circuit is approximately proportional
to the input power. CW and modulated signals are converted according to their
power. Thevoltageobtainedisabout 10
10
V to10
5
V.
2. Withincreasedpower levels, thesquare-lawregionwill beexceeded. Highly stable,
noise-freemeasurementsarepossibleduetooutputvoltagesof approximately10mV
toafewvolts. Comparedtothesquare-lawregion, thesensor becomesveryfast but
measures theRF voltageinsteadof theRF power. It behaves likeadioderectier,
weighting the peak of the RF voltage, which is approximately proportional to the
peak envelopepower of theRF. Nevertheless, todays sensors can becalibrated so
that their output voltageisinterpretedasan(average) power level, but thisisbased
ontheassumptionthat thesignal tobemeasuredisapureunmodulatedCWsignal.
574 Amplier measurements
Table 12.2 Power sensor side effects and compensation techniques
Effect Compensationtechnique
Drift of zerovalue Zeroing
Thermal drift of temperature-dependent
parameters
Built-intemperaturesensor andsensor-specic
dataof temperatureeffects
Agingof sensitivity Referenceoscillator of thepower meter
Calibrationfactor K( f ) Sensor-specicdatasuppliedwithsensor
Power linearityat 23

C Sensor-specicdatasuppliedwithsensor
Noise Averaging, videolter
Mismatcheffect ** Compensationusuallynot done! **
Connector repeatability ** Compensationnot possible! **
Powersensorsareof abroadbanddesign. Theythereforeexhibitalimiteddynamicrange
of typically 30 dB to about 50 dB depending on thetypeof sensor. However, ahigh
dynamicrangeupto90dB canbeachievedbyimplementingthefollowingtechniques:
1. Averageseveral measurement valuesbyusingdigital signal processing(DSP).
2. Introduceavideolter at theDC part of thesensor, whichisactually implemented
byaDSP.
3. Useachopper amplier withintheDC part toovercomethezerodrift of thesignal
chain.
4. Combine several detectors with different sensitivities in a single power sensor. A
largedynamic rangefor modulated signals is obtained by operating each detector
exclusivelyinitssquare-lawregion, andbyusingonlytheoptimallydrivendetector
for themeasurement.
12.2.2 Typical sources of measurement uncertainties
Power sensors areaccompaniedby various sideeffects (Table12.2). To increasemea-
surement accuracy, techniquesfor compensatingfor theseeffectsareavailable.
Zeroingandcompensationusingareferenceoscillator canbeperformedbytheoper-
ator onsite. All of theother compensationtechniquesrequiresensor-specicdata(e.g.,
stored in an EEPROM) that haveto bemeasured in a traceable, commonly accepted
manner by thesensor manufacturer or at an accredited calibration service. Thecom-
pensationtechniqueslistedinTable12.2leavesomeresidual uncertainties, e.g., dueto
theuncertaintyof thesensor-specicdata. Unfortunately, themismatcheffectusuallyis
not compensatedfor eventhoughit isthedominant effect intheresidual measurement
uncertainty. Therefore, it deservesacloser look.
Theport that thepower sensor is connected to and all RF circuitry in front of the
power sensors reference plane can be considered to be an equivalent generator. The
measurementtaskistoquantifythenominal sourceP
Z0
. Itisthepowerthattheequivalent
generator would deliver if terminated by the reference impedance Z
0
(e.g., 50 O or
75O). Ideally, thepower sensor wouldexhibit thisimpedance.
12.2 Power measurements 575
Power
sensor
Equivalent
generator
P
i
P
r
P
d
L
G

G

L
Figure 12.5 Power owbetweenequivalent generator andpower sensor.
However, the actual situation is more complicated, as shown in Figure 12.5. The
power sensors impedanceZ
L
is not exactly equivalent to thereferenceimpedanceZ
0
.
Therefore, it exhibitsareectioncoefcient I
L
,= 0, where
I
L
=
Z
L
Z
0
Z
L
Z
0
(12.1)
A portionof thepowerP
i
incidenttothepowersensorisreectedaspowerP
r
andtravels
backtowardthegenerator. Thepower P
d
dissipatedbythepower sensor remainsas:
P
d
= P
i
P
r
= P
i
(1[I
L
[
2
). (12.2)
A typical equivalent generator will have a source impedance Z
G
somewhat different
fromZ
0
, andit will thereforeexhibit areectioncoefcient of I
G
,= 0.
I
G
=
Z
G
Z
0
Z
G
Z
0
(12.3)
Consequently, the power P
r
traveling back toward the generator will not totally be
absorbed by theequivalent generator. The electromagnetic (EM) elds related to the
powersP
r
andP
Z0
will superimposewithoneanother. Assumingareal-valuedcharac-
teristic impedanceZ
0
this canbedescribedby thepowers P
r
andP
Z0
insteadof using
therelevant wavequantities introducedlater inSection12.4.1. AssumingI
L
- 1and
I
G
- 1, thisprocesscanbedescribedasfollows:
P
i
= P
Z0
1
[1I
G
I
L
[
2
(12.4)
Notall thepower dissipatedasP
d
will beconvertedtothemeasuredpower P
m
. A factor
calledeffectiveefciency
e
hastobeintroduced:
P
m
=
e
P
d
(12.5)
Usingequations (12.2) to(12.5), therelationbetweenthemeasuredpower P
m
andthe
nominal sourcepower P
Z0
iscalculatedasfollows:
P
m
=
e
(1[I
L
[
2
)
1
[1I
G
I
L
[
2
P
Z0
(12.6)
576 Amplier measurements
Therst factor
e
(1[I
L
[
2
) is thecalibrationfactor K( f ). It is basedonthesensors
inherent propertiesandisindependent of theequivalent generator. It isdeterminedasa
functionof frequencyusinganideal generatorI
G
=0withknownnominal sourcepower
P
Z0
andknownCWfrequencyf. Inpractice, acalibrationsystemformedfromtraceable
components such as aprecision power sensor (traveling standard), aprecision power
splitter andagenerator involvinganautomaticlevel control (ALC) isused. Oncefactor
K( f ) is known, the following relation between measured power P
m
and the nominal
sourcepower P
Z0
applies.
P
Z0
=
1
K( f )
[1I
G
I
L
[
2
P
m
(12.7)
Most modern power sensors provide phase and magnitude information of I
L
( f ) as
premeasureddatathatarereferencedtothepowersensorsreferenceplane. If thecomplex
coefcient I
G
of the equivalent generator is known, then correction for the factor
[1I
G
I
L
[
2
ispossible. Thistechniqueiscalledgammacorrectionof thepower meter.
However, thephaseof thegeneratorsreectioncoefcient isoftenunknownandit will
bechangedduetoadaptersandotherthingsintroducedbetweenthegeneratorandpower
sensor. Thus, it is only possibleto determinetheuncertainty that is introducedby the
factor [1I
G
I
L
[
2
usingthemagnitudes[I
G
[ and[I
L
[ andthefollowingrelation:
(1[I
G
[ [I
L
[)
2

P
Z0
P
m
K (1[I
G
[ [I
L
[)
2
(12.8)
A power sensor cannot accurately measure the nominal power P
Z0
of a mismatched
sourceunless it is ideally matcheditself. Inother words, themagnitude[I
L
[ is avery
important qualitycriterionof apower sensor.
12.2.3 High-power RF measurements and directional power
Measurements
One approach to connecting a power sensor to a high-power RF source is to use an
attenuator toadaptthelevel betweenRF sourceandsensor. Thefollowingisanexample
of howtomeasurea60Woutputpower of aGSM basestationamplier. Theattenuator
(Figure12.6) consists of several stages for distributingthepower dissipationbetween
thestagesinnearly equal amountsof approximately 20W. Thelast stagehasthemost
attenuation (d
3
= 15 dB). In contrast to other stages, it is designed as a H-circuit
becauseitismoreconvenient thantheT-circuitif anattenuationvalued
i
above10dB is
required.
This attenuator design has a dedicated input and output port. Interchanging these
portswoulddramaticallyalter thepower distributionbetweenstages. Thestagewiththe
15dBattenuationwouldthenhavetocopewith58Wof dissipatedpowerandburn-out.
Although attenuators arereciprocal devices (S
21
= S
12
), most high-power attenuators
can only handleafraction of their nominal power rating when being operated in the
reversedirection!
12.2 Power measurements 577
Table 12.3 Multistage implementation of a high-power 20 dB attenuator
Attenuation Power Stageoutput
Stage d
i
dissipation power
1st 2dB 22.14W 37.86W
2nd 3dB 18.93W 18.93W
3rd 15dB 18.33W 0.60W(28dBm)
Cumulatedvalues 20dB 59.40W
Input
1
st
Stage 2
nd
Stage
Output
R
1
R
1
R
3
R
3
R
6
R
5
R
5
3
rd
Stage
R
4
S
21
Z
0
Z
0
High-power
attenuator
Equivalent
generator
G
Power
sensor
S
11
S
22
S
12
R
2
Figure 12.6 Implementationof thehigh-power attenuator.
Assumingasource/loadimpedanceof Z
0
= 50O andideal matchingS
11
= S
22
= 0,
resistorsR
1
toR
6
inFigure12.6canbecalculatedasfollows:
R
1
= Z
0
110
d
1
,20dB
110
d
1
,20dB
= 50O
10.794
10.794
= 5.73O (12.9)
R
2
= Z
0
2 10
d
1
,20dB
1
_
10
d
1
,20dB
_
2
= 50O
2 0.794
10.63
= 215.24O (12.10)
R
3
= Z
0
110
d
2
,20dB
110
d
2
,20dB
= 50O
10.708
10.708
= 8.55O (12.11)
R
4
= Z
0
2 10
d
2
,20dB
1
_
10
d
2
,20dB
_
2
= 50O
2 0.708
10.50
= 141.93O (12.12)
R
5
= Z
0
110
d
3
,20dB
110
d
3
,20dB
= 50O
10.178
10.178
= 71.63O (12.13)
R
6
= Z
0
1
_
10
d
3
,20dB
_
2
2 10
d
3
,20dB
= 50O
10.032
10.178
= 136.14O (12.14)
578 Amplier measurements
Transmissionlines betweenindividual stages canbeusedto distributetheattenuators
ontheheat sink. Thecharacteristic impedanceof theseinterconnections shouldbeZ
0
.
In contrast, connections within asinglestageshould beas short as possibleto avoid
anyunwantedimpedancetransformation. Themechanical dimensionof asinglestageis
thelimitingfactor onitsmaximumfrequency. A compromisebetweenRF performance
and thermal requirements must be found. The power dissipation of a single stage is
sharedbetweenitsresistors. Exactly howthisisdonedependsontheattenuationvalue
and the source/load impedance. The worst-case scenario needs to be considered: a
sourceimpedanceof 0 O (equal to doubled input voltage) and simultaneously aload
impedanceof 0O or O (dependingontheresistor tobeconsidered). However, not
all commercially availableattenuators canbeassumedto comply withthis worst-case
dimensioning.
Therefore, sourceandloadmismatchmustbekeptwithinspecicationwhenapplying
themaximumratedpower to anattenuator. Self-heatingof theattenuator may causea
temperature drift if improper resistor material is used. An attenuator input reection
coefcient S
11
verycloseto0isafurther prerequisitefor lowmeasurement uncertainty.
Its role can be compared to I
L
of equation (12.8). The attenuators output reection
coefcientS
22
isnotascritical if thepowersensoriswell matchedandtheattenuationS
21
issomewhatgreater than15dB. Thefrequencyresponseof S
21
( f ) canbemeasuredbya
networkanalyzer.Butthesmall-signal valuestypicallyobtainedfromsuchmeasurements
arenotvalidfor high-power operation. A compromisetopartiallymimicthehigh-power
scenario is to useaDC-bias that provides thenecessary power to theattenuator under
test andtosimultaneouslymeasurethefrequency responseusingthenetwork analyzer.
Basedonthesemeasurementsacalibrationfactor K( f, P
m
) dependent onthefrequency
and measured power can becalculated. High-power measurements with an attenuator
havesomedrawbacks:
1. Themeasurementrangeislimitedtoapproximately1kW, whileliquid-cooleddummy
loadsareavailableuptoapproximately300kW.
2. Noin-circuit test usingtheoriginal operatingloadispossible.
3. Monitoringmismatchtodetect critical loadsituationsisnot possible.
This is why directional power sensors have been developed. Versions with a mea-
surement rangeuptoseveral kWareavailable. AsshowninFigure12.7, thesesensors
areconnected between sourceandloadto measurethepower owinboth directions.
Thebuilt-inhigh-power dual-directional coupler provides asmall fraction of incident
power P
i
andreectedpower P
r
toseparatepower sensors. A typical directional power
sensor exhibits an insertion loss of less than 0.5 dB between its RF connectors. For
frequencies below 100 MHz, a more compact lumped-coupler design by Buschbeck
[2] or further developments[3] aremostly used. VSWR bridgesarenot recommended
becauseof their signicantinsertionlossof 6dB. Duetothecouplingeffect, directional
power sensors arelimited in bandwidth, covering arangeof oneoctaveto about two
decades.
12.2 Power measurements 579
V~P
i
V~P
r
P
r
P
i
To power meter
Secondary-
line termina-
tions and
frequency-
response com-
pensation
Directional
coupler
G
Dummy
load or
antenna
Generator
Rectifier
Figure 12.7 Applicationof adirectional power sensor [1].
A directional power meter (also called power reection meter) is used to operate
the power directional sensor. It involves automatic functionality for calculating the
magnitude[I
L
[ of theloadreectioncoefcientfromformula(12.2). Itcanalsoconvert
thisvaluetothevoltagestandingwaveratioVSWR.
VSWR =
1[I
L
[
1[I
L
[
(12.15)
The mismatch uncertainty of terminating power sensors (see Section 12.2.2) can be
overcome. The reection coefcient at the RF ports of the directional power sensor
anditsinsertionlossareusually negligible. But therearetwoeffectsintroducedby the
directional coupler:
1. The electrical length at the reference plane where the directional power sensor is
insertedwill change. Asaconsequence, thephaserelationscomparedtotheoperating
conditionswithoutthesensor will notbethesame. Thiscanleadtosignicantpower
changes if thegenerator andloadaremismatched. Built-incouplers that remainin
thecircuit areonesolution.
2. The couplers capability to separate incident and reected power is limited by its
directivityD(for details, seeSection12.3.2).
12.2.4 Power measurements using a spectrum analyzer
Spectrumanalyzersmeasurethepower densityspectrum. Integratingthepower density
spectrumover aspecic frequency rangecanbedoneto identify thepower present in
thisrange. Thefollowingpropertiesof spectrumanalyzersaresignicant:
580 Amplier measurements
1. The measurement is performed based on a heterodyne concept with a selectable
resolutionbandwidth(e.g., 1Hz to40MHz). Asaconsequence, thedynamicrange
isfar beyondthat of power sensors.
2. Spectrumanalyzersareabletounambiguously correlateaspecic receivepower to
aspecicfrequency. Techniquessuchasahighrst IF or atrackinglter for higher
frequencies areinvolvedhere. But theuseof additional harmonic mixers to extend
thefrequencyrangeleadstoimagereceptionandspuriousresponses.
3. Spectrumanalyzersexhibitaquasi-continuous,orseamless,sweep.Theyaredesigned
sothatthepowerdisplayedasameasurementpointinvolvesthepowerspectral density
toward its adjacent points. This makes themdifferent fromthereceivers of vector
network analyzers and prevents the user fromoverlooking spectral components
hiddenbetweenthemeasurement points.
4. Supplementing thespectrumanalyzer with aprecision power splitter and apower
sensor helpstoyieldtheadvantagesof bothinstruments. Thiscan, for example, lead
toameasurement uncertaintyof -0.1dB evenat lowlevels.
Spectrumanalyzerscanbeimplementedinotherwayssuchasthefollowing,butdynamic
rangewill bereducedasaresult:
1. A direct receivingconcept consistingof atunablebandpasslter, apreamplier and
adetector.
2. For low frequencies, an FFT analyzer working likeadigital oscilloscopebut with
anintegratedFFT algorithmthat computes thepower spectral density fromaset of
recordedtimedomainsamplescanbeused.
12.3 S-parameter measurements
Inthemicrowaverange, neither current nor itsphaseshift canbeaccurately measured.
Fortunately, wavequantitiesandS-parametersarewidelyacceptedandcanbemeasured
verypreciselyasdescribedinthissection.
12.3.1 The concept of S-parameters
Thewavequantities, sometimesreferredtoas(normalized) voltagewaves, areassigned
themeasurementunitof squarerootof watt. A distinctionismadebetweenthreedifferent
wavequantitiesonatwo-port device(seeFigure12.8):
r
incident wavea
1
(propagatingfromthesourcetowardtheDUT);
r
reectedwaveb
1
(reectedat theDUT travelingbacktothesource);
r
transmittedwaveb
2
(propagatingfromtheDUTsoutput toaload),
If thetwo-portdeviceisoperatedinreversedirection, a
2
becomestheincidentwaveand
b
2
thereectedwaveandb
1
thetransmitted. If theDUT isaone-port device, thereisno
transmittedwave.
12.3 S-parameter measurements 581
Two-port DUT
Two-port DUT
G
Perfect
match
Generator
a
1
a
2
b
2
b
1
s
11
s
21
P
r
P
i P
t
Figure 12.8 A two-port DUT operatedinforwarddirection.
The incident power P
i
, the reected power P
r
and the transmitted power P
t
in
Figure12.8canbecalculatedfromthecorrespondingcomplexwavequantities
P
i
= [a
1
[
2
(12.16)
P
r
= [b
1
[
2
(12.17)
P
t
= [b
2
[
2
(12.18)
ThefollowingdiscussioninSections12.3and12.4isbasedonasmall signal description
assuming alinear circuit model. Therefore, alinear relation between wavequantities
canbeassumed. Thecomplexscatteringparameters(S-parameters) s
11
, s
12
, s
21
, ands
22
aredenedastheratiosof therespectivewavequantitiesassumingthat onlyoneof the
ports is stimulated(accordingto theoperationdirection) andall others areterminated
byaperfect match(i.e., a
m
= 0).
s
i k
=
b
i
a
k

a
m
= 0 m,= k
(12.19)
IncontrasttoFigure12.8, real-worldcircuitsdonotexhibitaperfectlymatchedenviron-
ment, thereforeoperationinforwardandreversedirectionappearssimultaneously. This
canbedescribedby asuperpositionof bothsingledirections, leadingtothefollowing
matrixequation.
_
b
1
b
2
_
=
_
s
11
s
12
s
21
s
22
_ _
a
1
a
2
_
(12.20)
For one-port devices, formula(12.20) simpliestothecomplexproduct
b= I
L
a (12.21)
usingthereectionfactor I
L
introducedinequation(12.1).
582 Amplier measurements
G
Generator
Power
sensor
Directional
element
1
3
2
Power
meter
a
1
s
31
a
2
b
2
b
3
b
DUT
a
DUT
DUT
s
22

DUT
Figure 12.9 Scalar reectionmeasurement.
12.3.2 Scalar network analyzers and their limitations
Directional elements exhibit dedicated transmission directions and decoupled signal
paths. AsshowninFigure12.9, theycanbeusedtoperformscalar reectionmeasure-
ments. Thedirectional element inFigure12.9is fedat its input port 1by agenerator
andconnectedtoapower sensor at itsisolatedport 3. Itsport 2isconnectedtoaDUT
that is either aone-port deviceor atwo-port devicewhich should beterminated by a
match.
Themagnitudes of theincident wave[a
1
[ and of wave[b
3
[ can becalculated from
thegeneratorspower settingandfromthepower metersindication, respectively, using
formulas(12.16) and(12.17). Followingthesignal pathfromthegenerator tothepower
meter, threeobservationscanbemade:
1. Thedirectional element functionsasaroundabout, forwardinga
1
tob
2.
2. TheDUT reectsaportionof itsincident wavea
DUT
(= b
2
) asb
DUT
(= a
2
).
3. Thedirectional element againfunctionsasaroundabout, forwardinga
2
tob
3.
Themeasured value[M[, which is limited to themagnitudeinformation dueto the
scalar setup, isdenedas
[M[ =
[b
3
[
[a
1
[
(12.22)
For steps (1) and (3) above, transmission coefcients s
21
and s
32
of the directional
elementmustbeconsidered. Thesecoefcientsformacomplexquantitycalledreection
trackingR=s
21
s
32
. UsingR, averysimpliedrelationbetweentheunknownreection
coefcient I
DUT
andthemeasuredvalueMcanbestated.
M = R I
DUT
(12.23)
Duetothescalar setup, onlythemagnitudeinformation[R[ isaccessible. Therefore, the
DUT isreplacedbyanopenstandard(I
DUT
1) andthemeasuredvalue[M[ isstored
as[R[. Formula(12.23) isthenresolvedfor [I
DUT
[, yielding:
[I
DUT
[ = [M[ , [R[ (12.24)
12.3 S-parameter measurements 583
1 GHz 1.5 GHz 2 GHz 2.5 GHz 3 GHz 3.5 GHz 4 GHz
21 dB
18 dB
15 dB
12 dB
9 dB
6 dB
3 dB
3 dB

Figure 12.10 A scalar measurement of ashort standardafter reectionnormalization.


Thismethodisalsocalledreectionnormalization. ItwasappliedinFigure12.10, anda
shortstandard(I
L
1) wasselectedastheDUT. Obviously, arippleof approximately
1.8dB occurs at thetrace, whichdiffersfromtheoperators expectedconstant value
of approximately0dB correspondingto[I
L
[ 1.
This occurred because the directional element does not provide a perfect match
s
22
= 0 at its port 2 (see dashed signal path s
22
in Figure 12.9). The quantity s
22
is
commonly referred to as test port match S. To obtain the trace of Figure 12.10, a
directional element with a test port match of S = 0.2 was used. In conjunction with
highly reective DUTs like the open or short standard, the test port match S causes
multiplereections betweenthedeviceandthedirectional element. This effect is not
coveredbyreectiontracking. Equation(12.23) must beexpandedasfollows:
M = R
I
DUT
1 S I
DUT
(12.25)
Although the operator may only be interested in the magnitude information [I
DUT
[,
complexnumbersfor R, S, andMarenecessaryinorder toresolveformula(12.25) for
[I
DUT
[. This cannot beachieved by usingascalar setup. Therefore, atest port match
S,= 0cannot becompensatedfor inthiscontext.
Another parasitic effect inFigure12.9is that thedecoupledsignal pathfromport 1
to3isnot completely isolated(dashedS-parameter s
31
). Thismeansthat themeasure-
ment functionality isbypassedwiths
31
. Tocomparethistothedesiredbehavior of the
directional element, aratioknownasdirectivityDisintroduced.
D =
s
31
R
(12.26)
584 Amplier measurements
4
3
2
1
0
1
2
3
4
Directivity
Test port match
M
e
a
s
u
r
e
m
e
n
t

u
n
c
e
r
t
a
i
n
t
y

(
d
B
)
0 10 20
Measured return loss (dB)
30 40
2
0

d
B
3
0

d
B
4
0

d
B
5
0

d
B
5
0

d
B
4
0

d
B
3
0

d
B
2
0

d
B
1
0

d
B
1
4

d
B
2
0

d
B
2
0
d
B
2
6
d
B
26 dB
1
4

d
B
1
0

d
B
Figure 12.11 Measurement uncertaintyasafunctionof directivity, test port match, andmeasured
value[4].
WiththedirectivityDformula(12.25) canbeexpandedto
M = R
_
D
I
DUT
1 S I
DUT
_
(12.27)
Thesuperpositionof thedirectivity D canberesolvedonly if thedirectivity andother
values arevectorially known(as complex numbers) whichis not providedby ascalar
setup. If amatchstandardwithreectioncoefcient[I
M
[ _[D[ isconnectedastheDUT
andR 1isassumed, themagnitudeinformationof thedirectivitywill bemeasuredas
[M[ = [D[.
Withinthescalar setup, magnitudevalues of directivity D andtest port matchSare
usedinorder to estimatethemeasurement uncertainty. Thetest port matchlimits the
measurement accuracy for high-reection DUTs, whereas thedirectivity D limits the
measurement accuracyfor well-matcheddevices. Tokeepthemeasurement uncertainty
within a practicable range, D should be 10 dB better than the measured value M.
Assumingaliveexamplewithameasurement value[M[ of 20dB, adirectivity [D[ of
30 dB and atest port match [S[ of 10 dB, theoperator uses Figure12.11 to statethe
expected range of the value [I
DUT
[ as 17.6 dB to 23.3 dB (calculated from20 dB
2.4dB=17.6dBand20dB3.3dB=23.3dB). Asasecondexample, if themeasured
value is [M[ = 2 dB, Figure 12.11 would then lead to [I
DUT
[ from0 dB to 3.6 dB
(calculatedfrom2.0dB 2.0dB = 0dB and2.0dB1.6dB = 3.6dB).
12.3 S-parameter measurements 585
Table 12.4 Typical implementations of the directional element
Implementation Frequencyrange
Insertion
losss
21
(typ.)
Coupling
losss
32
(typ.)
Test port
matchS
(typ.)
Directivity
D(typ.)
Max. power
rating(typ.)
VSWR bridge 23decadesof
40kHz to4GHz
6dB 6dB >20dB >38dB 0.5W
Planar directional
microstrip
coupler
wideband1GHz to
40GHz
-0.5dB 10dB to
30dB
>16dB >18dB 1Wto10W
Asymmetric
coaxial tostrip
linecoupler
lessthanoneoctave
of 400MHz to
18GHz
-0.3dB 20dB to
40dB
>15dB >10dB several kW
Waveguide
directional
coupler
lessthanoneoctave
of 350MHz to
500GHz
-0.5dB 10dB to
60dB
>28dB 30dB 50Wto100kW
dependingon
frequency
Lumped-element
coupler
lessthanoneoctave
of 50MHz 1GHz
-3dB 3dB to
25dB
>16dB >16dB 1Wto10W
Circulator lessthanoneoctave
of 500MHz to
40GHz
-0.5dB -0.5 >16dB 20dB 2Wto100kW
Table12.4providesatypical frequencyrange, test port matchSanddirectivityDfor
several directional elements. Thevalues statedas insertionloss andcouplingloss can
beaddedtogether toyieldthereectiontrackingR. A couplingof 3dB ispossiblefor
most of thedevicesbut, asshownwiththelumped-element coupler, it wouldleadtoan
insertionlossof 3dBor more, whichisnotacceptablewhenperformingpower amplier
testing.
Toperformscalar transmissionmeasurements, asignal generator isconnectedtothe
input of theDUT andthepower sensor isconnectedat itsoutput. Usually, transmission
normalizationiscarriedoutusingathrough-connectioninsteadof theDUT andbystor-
ingthemeasuredpowerasareferencevalueandnormalizingall furthermeasurementsto
thisvalue. If thegenerator outputmatch(sourcematchI
1
) or thematchingof thepower
sensor (load match I
2
) is not sufcient or if theDUT exhibits reection coefcients
s
11
or s
22
with amagnitudecloseto 1, multiplereections at theDUTs input or the
output will occur. This is describedby thefollowingformula, whereM represents the
measurement result of thetransmissioncoefcient s
21
.
[M[ =

1I
1
I
2
(1I
1
s
11
)(1I
2
s
22
) s
12
s
21
I
1
I
2

[s
21
[ (12.28)
Tocompensatefor theeffectof I
1
,=0andI
2
,=0, bothquantitiesandall S-parameters
of theDUT havetobeknownvectorially. But thisinformationcannot beprovidedbya
scalar measurementsetup. Theonlypossibilitytoimprovethemeasurementuncertainty
istokeepthereectionfactorsI
1
andI
2
assmall aspossible(e.g., byaddingattenuator
padsor circulatorstothemeasurement setup). Equation(12.28) canbeusedtoestimate
theworst-casemeasurement uncertainty.
586 Amplier measurements
Start
100
80
60
40
20
2 GHz 6 GHz Stop
Measurement result using a vector network analyzer
or a spectrum analyzer with a (tracking) generator
Measurement result using a setup with power sensors
Typical pseudo response
120
Figure 12.12 A high-rejectingbandpasslter measuredindifferent ways.
Typically, reectionmeasurementvaluesarenotbelow40dBbecauseof unavoidable
parasitic reections. However, transmission measurements range fromapproximately
40dB(amplier) to130dB (total isolationwithleakageof shielding). Thisdynamic
requirement cannot becovered by apower sensor. If asimplebandpass lter is to be
tested, apower sensors dynamic rangeof approximately 40dB may just besufcient.
But all signal generatorsexhibit spuriousandharmonicproductsintheir output signal.
Typical suppression values for these unwanted spectral components are 20 dBc to
50 dBc depending on the spectral component and the generator. If the generator is
operatingabovetheupper corner frequencyof thebandpasslter (DUT), asubharmonic
component of the generator can nd its way through the lter (DUT) and cause the
power sensor to measure a pseudo response such as shown in Figure 12.12. This is
usually not acceptable because the DUT may be examined for unwanted side lobes
of its transmission behavior, which would result in a similar effect on thetrace. The
considerationscanbeextendedtoharmoniccomponentsof thegeneratorandagenerator
operationbelowthelower corner frequencyof theDUT (not showninFigure12.12).
A spectrumanalyzer, dueto its narrow-band reception, can beused to avoid these
problems. A very compact solution is a spectrumanalyzer with a built-in tracking
generator especially designedfor theseneeds. It canbesupplementedby adirectional
elementtodoreectionmeasurementsaswell. Butthesetuphastobedisconnectedand
reassembledseveral timestomeasureall fourS-parameters, andthedrawbacksstatedfor
scalar measurements by equations (12.27) and(12.28) still remain. Toovercomethese
problems avector network analyzer (VNA) is requiredeven if only theS-parameters
magnitudeinformationisof interest.
12.3.3 Vector network analyzers
Measuring not only the magnitude of S-parameters but also their phase offers sev-
eral benets such as applying systemerror correction, the usage of embedding and
12.3 S-parameter measurements 587
Generator and receivers
Measurement channel b2
Reference channel a2
Measurement channel b1
Reference channel a1
Stimulus generator
Receiver
attenuator
Test set
Test
port 2
Generator
attenuators
Receiver
attenuator
Test
port 1
DUT
G LO
Figure 12.13 A bidirectional two-port VNA.
de-embedding, representationintheSmithchart, calculationof groupdelay, timedomain
transformationandothers.
Thefundamental blocksof aconventional VNA areshowninFigure12.13. Depending
onthemeasurementdirection, thestimulusgenerator iseither routedtowardport1or 2.
Theincident waveistappedtogenerateareferencechannel (e.g., by apower splitter).
At thetest ports, theseparationof incident andreectedwaveisdoneby adirectional
element (seeSection12.3.2). Thiscompletepart of theinstrument iscalledthetest set.
Itsimplementationdependsonthefrequencyrange(coupler versusVSWR bridge), the
referenceimpedanceto beused(50O, 75O, or others), andthepossibility to handle
signalswithsuperimposedDC(activetestset) ornoDC(passivetestset). Measurements
performedincludefrequencysweep, power sweep, or timesweep(CWstimulus).
To implement acoherent down-conversion, acommon local oscillator (LO) is dis-
tributed to all receivers (see Figure 12.13). This means that all the measured wave
quantitieswill haveaddedacommonphaseshiftwhichiscausedbythephasedifference
betweentheLO- andRF-generator. WhentheVNA calculatestherawS-parameters, the
commonphaseshift cancels out, as canbeseenfromequation(12.19). Withstandard
S-parameter measurements, only one port is fed by the stimulus generator. It is then
called theactive test port whiletheother test ports arekept passive (ideally sending
noincident wavetotheDUT). Assumingthat port 1istheactiveport, formula(12.19)
wouldleadtotherawS-parameterss
11
ands
21
. After thispartial measurement (forward
measurement) isnished, thesourceswitchischangedandtheother port becomesthe
activeport. Thisreversemeasurement revealstheS-parameterss
22
ands
12
.
Optional receiver step attenuators (Figure 12.13 dashed) can be used to extend
the instruments 1 dB compression point, so that precise measurements can be done
up to typically27 dBmpower level (e.g., measurements of medium-power ampli-
ers). Theoptional generator stepattenuators (Figure12.13dashed) extendtheoutput
588 Amplier measurements
Ideal vector network analyzer
Error network
Reference plane
DUT
Error two-port G Error two-port H
b
H2
b
2
b
1
b
H1
a
H2
a
2
a
1
b
G1
b
G2 a
G1
a
G2 a
H1
e
23
e
33
e
32
e
01
e
10
e
00
e
11
s
11
s
21
s
22
s
12
e
22
Figure 12.14 Theseven-termerror model [4].
power range down to about 120 dBm, e.g., to analyze low-noise ampliers. Mod-
ern VNA architectures involve more than just a single stimulus generator so that
advanced measurements such as hot S-parameters are possible (for details, see Sec-
tion12.5.5). Thesourceswitchisabletoroutemorethanjust oneport. Thesemodern
VNAscamewithfour, sixor eight ports. Incertainapplications(e.g., hand-heldinstru-
ments) theoppositegoal, asimpliedVNA architecture, isdesired. Asaconsequence,
reducedperformancemust beaccepted(someof theimportant drawbacksarestatedin
brackets):
r
aVNA withonlyonecommonreferencechannel, obtainedbetweenstimulusgenerator
andthesourceswitch. (seven-termcalibrationcannot beused);
r
aunidirectional VNA that has only oneactiveport, whereas theother ports do not
exhibit any directional elements or reference receivers. (The load mismatch at the
passiveportscangenerallynot becorrectedbymeansof systemerror correction.);
r
a multiport conguration that is built by a two port VNA and a switching matrix
to interfaceto amultiport DUT with N > 2 ports. (Extended sweep time, reduced
performancefor dynamicrange, directivityandtest port match).
A detailed discussion on VNA architectures can be found, for example, in reference
[4] p. 22.
12.3.4 Introduction to system error correction
Within the context of VNAs, systematic errors can be compensated for. Besides the
parasitic effects of R, S, andD of thedirectional element discussedinSection12.3.2,
thistechniquealsocoverssystematicerrorsintroducedbythereceiversandother parts
of the VNA. To point out this extended coverage, R, S, and D are dropped and the
error terms e
00
, e
01
, . . . , e
33
have been introduced. They forman error model (see
Figure12.14). Thereal-worldVNA canbeseparatedinto anideal VNA andanerror
network.
12.3 S-parameter measurements 589
Thetopology of theerror network varies: thethree-termmodel only applies toone-
port measurements (no error two-port H). The seven-termtermmodel can only be
applied if each test port has its own reference channel (state-of-the-art). It exhibits
eight error terms e
ij
. Oneof theseparameters (whichshouldnot beequal to zero) can
be used to normalize all the parameters (here, e
32
is used and, therefore, e
32
= 1).
This means that the seven-termerror model contains seven independent error terms,
henceits name. A suitablecalibration techniquemust beperformed to determinethe
error terms. Afterwards, systemerror correction will compensate for the error two-
portsGandH. Theseven-termerror model appliestomanycalibrationtechniques. The
calibrationtechniquedenesthestandards(seeTable12.5) thatmustbeusedtoperform
thecalibration.
During calibration theVNA measures thecomplex S-parameters of thecalibration
standardsandcomparesthemtothecomplexcharacteristicdataprovidedwiththestan-
dards. Finally thisyieldsthecomplex valuesof theerror terms. Thesetermsaresome-
timescalledtheVNAsrawsystemdata. Table12.6providesaglimpseof sometypical
rawsystemdata. Its last twocolumns list thereferencetothe7-termmodel. Applying
systemerror correctionmeans that theerror two-ports G andH areremovedfromthe
measurement resultsandtheS-parametersbecomereferredtothereferenceplane. Due
tounavoidableuncertainties, residual systematic errors(referredtoaseffectivesystem
data) will remain(for details, seeSection12.3.8).
It isuseful tonamethecalibrationtechniquesbasedontherst character of thestan-
dardsinvolvedandtounambiguouslydenethesequenceof thesecharacters. Through
tradition other names have crept in (see row titled Other names in the following
overview).
12.3.5 Calibration with different connector types
Numerous coaxial connectors and waveguide anges of all kinds are available fea-
turing individual benets. An overview of typical coaxial connectors is provided by
Figure12.15andTable12.8; moredetailscanbefoundinreference[5].
A power amplier mayusediverseconnector typesonitsRF ports(e.g., port 1SMA
and port 2 N connector). This typeof DUT is often called anoninsertabledevice. A
calibrationstrategyisrequiredthat dealswiththissituation:
Strategy1: adapter insertedafter calibration
Oneapproach that canoftenbeseenbut offers reducedprecisionis oneinwhichthe
adapter isinsertedafter calibrationandapproximatelycompensatedfor byitsinsertion
length. In our example, it means that calibration takes placeat two SMA compatible
test ports 1 and 2 (see Table 12.8 for compatibility). However, there are no SMA
calibrationkitsavailable, just aPC3.5calibrationkit that hasthesamereferenceplane
andis mechanically compatibleandcanthereforebeused. After calibration has been
completed, asuitableadapter fromNtoSMA isinserted. Theadaptersinsertionlength
is the electrical distance between its two reference planes. In other words, it is the
length that has been introduced by the adapter. Its phase shift can be compensated
590 Amplier measurements
Table 12.5 Typical calibration standards
Standard Char Explanationandparametricdescription
Short standard S Usingcoaxial andwaveguidetechnique, thisstandardcanbebuilt withnearlyideal
total reection[I[ = 1. Itsreectioncoefcient isthusdependent onlyonits
lengthoffset l. Standardsfeaturingafrequencyrange>24GHz maycomeup
withpolynomial coefcientsL
0
, L
1
, L
2
, andL
3
for characterizingtheir parasitic
inductance.
Openstandard O Thisstandardexhibitsalengthoffset l andparasiticfringingcapacitances, which
arecharacterizedbyaset of polynomial coefcients(C
0
, C
1
, C
2
, andC
3
). The
openstandardcannot berealizedinwaveguidesystems. It isthenusually
replaced byanoffset short.
Matchstandard M Thisprecisebroadbandimpedanceismatchedtothesystemimpedance. Inthepast,
it wascommonlyassumedtobeideal (I = 0) andwasnot modeled. If it is
supplementedwithcharacteristicdatathentheprecisioncanberaisedcloseto
that achievedbyaslidingmatch.
Slidingmatch M Anair linewithspeciedcharacteristicimpedancecanbemanufacturedmore
accuratelythanabroadbandmatch. A cylindrical ferriterodthat isintroduced
intotheair lineabsorbsalargeportionof themagneticenergystartingfroma
minimumfrequencyof approximately2GHz. If theferriterodismovedalong
theline, thelengthoffset andthereforethephasechangesbut themagnitude
remainsnearlyconstant. Operatorstypicallyorient themselvesonthemarkson
theslidingmatch. Thecorrespondingreectioncoefcientslieonacirclearound
theair linescharacteristicimpedance.
Through T Thethroughisatwo-port standardthat allowsasuitablelow-lossconnectionof
bothtest ports. It ischaracterizedbyitsdelayor electrical length, itslossandits
characteristicimpedance. Insomecases(e.g., sexlessconnector system), adirect
connectionof test portswithelectrical length0mmispermissible.
Unknown
through
U Anytwo-port whoseS-parametersfulll thereciprocitycondition(s
21
= s
12
) can
serveasanunknownthrough.
Reect R Thisone-port hasareectioncoefcient of [I[ > 0. Theexact reectionvalueis
not needed. However it must beidentical at bothtest ports. Tocharacterizethe
standard, itsbehavior at lowfrequenciesisclassiedasmorecapacitive
(Im{I}- 0) or moreinductive(Im{I}- 0). Theelectrical lengthisrelevant if it
alterstheclassicationat higher frequencies.
Linestandard L Incoaxial systems, thistwo-port standardisimplementedasanair line. Its
characteristicimpedancehastobewell matchedtothesystemimpedance. The
differenceinelectriclengthbetweenthroughandthelinestandardmust not be
equal toaninteger multipleof half of thewavelength. Start versusstop
frequencyisthereforerestrictedto1:8. Thiscanbeovercomebyusingmultiple
linesandaxedmatchfor lower frequencies.
Symmetrical
network
N Thistwo-port hassymmetrical reectioncoefcientss
11
= s
22
,= 0andany
transmissioncoefcient, even0or 1. Similar tothereect standards, a
classicationfor morecapacitiveor moreinductiveisnecessary.
Attenuator A Thistwo-port standardhastobewell matchedonbothsides. Unlikethethrough, it
shouldhaveanunknowninsertionlosswhichisintherange10dB to55dB.
12.3 S-parameter measurements 591
Table 12.6 Typical raw and effective system data of a VNA
Systemdata
Rawsystem
data
Effective
systemdata
Forward
measurement
Reverse
measurement
Reectiontracking 2dB 0.04dB e
10
e
01
e
23
e
32
Directivity 29dB 46dB e
00
e
33
Sourcematch 22dB 39dB e
11
e
22
Transmissiontracking 2dB 0.06dB e
10
e
32
e
01
e
23
Loadmatch 22dB 44dB e
22
e
11
Note that directivity e
00
, e
33
in this table and directivity D in Section 12.3.2 are
deneddifferently. D = e
00
(e
10
e
01
) or D = e
33
/(e
23
e
32
). Theerror terms e
00
and
e
33
aresimilar tos
31
of Figure12.9.
(a) (b) (c) (d) (e) (f)
Figure 12.15 Typical coaxial RF connector types(for a, b, c, . . . , seeTable12.8).
for by an offset length assigned to test port 2. The offset length has to be increased
becauseof dielectric inner conductor support or discontinuities betweentheinner and
outer conductor. Analternativeis to mount an N-typeshort standardontheopenend
of theadapter to usetheauto length functionality of theVNA to obtain an estimated
overall length. Takinginto account theoffset lengthof theN-typeshort, theadapters
insertion length can becalculated and thelength offset can bemodied accordingly.
Nevertheless, strategy 1does not compensatefor thefrequency-dependent attenuation
of theadapter andisthereforelimitedinfrequencyrange. Thes
11
ands
22
of theadapter
haveasignicant inuenceon thetest port match and thedirectivity obtained (for a
similar discussion, sees
11
ands
22
of theattenuator usedinSection12.3.7). If available,
ahigh-qualityadapter fromacalibrationkit shouldbechosen.
Strategy2: UOSMcalibrationwithmixedtestporttypes
Theadapter is mountedprior to calibration, andcalibrationwill thereforecompensate
for it. A suitablecalibration kit is required for each of theconnector types involved.
Thecalibrationkit must include, at aminimum, thestandardsthat areneededfor one-
port calibration. In addition to the adapter that has been mounted at test port 2, a
secondadapter tobeusedonlyduringcalibrationisrequired. Thepurposeof thesecond
adapter istoestablishtheunknownthrough. Thisadapter doesnot havetofeaturegood
matching. Itmerelyhastosatisfythereciprocitycondition(s
21
=s
12
). Toensureproper
results, thesecond adapters insertion loss should not exceed 20 dB. Strategy 2 even
592 Amplier measurements
Table 12.7 Properties of the various calibration techniques [4]
CalibrationTechnique OSM TOM TRM TRL TNA UOSM TOSM
Other names SOL,OSL OLT LRM
1
LRL
1
TAN SOLR SOLT
Error model 3-term 7-term 12-term
DUT type one-port two-port or multiport
Suitablefor transmission
measurements

Nobandlimitationdueto
singularities

Indirect plausibilitycheck
Partiallyunknownstandards
Considerationof DUT depended
crosstalk
Usageof standardswithdifferent
gender

2

2

2

Suitablefor noninsertableDUTs
Possibleusageof slidingmatch
Well-suitedfor on-wafer
measurements

Effectivedirectivityattained
Number of receiversinN-port VNA N1 2N 2N 2N 2N 2N N1
Minimumnumber of calibration
standards
3 3 3 3 3 4 4
Contacts
3
intwoport VNA 3 6 6 6 6 8 8
1
Thethrough(whichmayexhibit alengthl = 0) isreplacedbyalinestandardl > 0.
2
Assumingthat thestandardsproducesymmetrical reections.
3
Thenumber of contacts is usedto assess theamount of work involvedinthecalibrationprocedure. By
contact, wemeansettingupanelectrical connection. For example, mountingaone-port standardrequiresone
contact. Mountingatwo-port standardrequirestwocontacts.
workswithfrequenciesabove40GHz (if theconnectorsinvolvedaresuitablefor these
frequencies) or withadapters betweenmedia(e.g., coaxial to waveguideor coaxial to
coplanar), whichusuallyexhibitgreaterfrequency-dependentbehavior thanintercoaxial
adapters. Strategy 2 can even be used to characterize the unknown through and to
storeits S-parameters. Oncecharacterized, thesecondadapter canbeusedinstrategy
4or 5.
Strategy3: adapter removal technique
TheUOSM calibrationtechniqueisbasedonaseven-termerror model. Vector network
analyzersthatexhibitareducedarchitecturewithacommonreferencechannel cannotbe
describedbytheseven-termerror model. A calibrationtechniquebasedonthe12-term
model andreferredtoastheadapter removal techniquehastobeusedinsteadof strategy
2(detailsmaybefoundinoperatingmanualsof older VNAsthat havebeenbasedona
three-receiver architecture).
12.3 S-parameter measurements 593
Table 12.8 Typical coaxial RF connector types and their properties
Connector type Figure f
max
GHz ZO
Mechanically
compatiblewith
T
typ
2
Nm Note
7/16DIN a 7.5GHz 50 25.0 highpower
14mm 8.5GHz 50 4.0 highpower
sexless
N b 18GHz
4GHz
50
75
1.36 medium
power
BNC c 4GHz
1GHz
50
75
bayonet
coupling
7mm 18GHz 50 1.36 sexless
SMA d 18GHz 50 3.5mm; K 0.56
PC 3.5 e 34GHz 50 SMA; K 0.90
2.92mm(K)
1
40GHz 50 SMA; 3.5mm 0.80
2.4mm 50GHz 50 1.85mm 0.90
1.85mm(V)
1
f 67GHz 50 2.4mm 0.90
1.00mm 110GHz 50 0.45
1
ThenamesK Connector, V Connector aretrademarksof Wiltron/AnritsuCorporation.
2
ThetorqueT
typ
canvarybetweenmanufacturers.
Strategy4: de-embeddingtheadapter
As instrategy 1, theadapter is mountedontest port 2after calibration. Instrategy 4,
however, all four S-parameters of theadapter areavailableas afunctionof frequency
and entered into the VNA (e.g., by data le). A technique called de-embedding is
appliedtoport 2. Thistechniqueisinternally basedonatransmissionmatrix calculus.
It compensates the adapter in a more precise way than is done in strategy 1. Within
therelevant frequency range, thetransmissioncharacteristic of theadapter shouldnot
exhibit any zerosor any attenuationvaluesabove20dB. Thisisduetosignal-to-noise
aspects. Theadvantageof strategy4isthat oncethethroughischaracterized, nosecond
calibrationkit(N-connector intheexamplehere) isnecessary. Plus, theuser maychoose
any calibration technique preferred (e.g., the one-path, two-port technique suggested
inSection12.3.7). But theVNA is not informedif theadaptedport is connectedto a
dispersivemedia(e.g., waveguide), whichwouldbenecessaryinsomecases.
12.3.6 Calibration with PCBs, test xtures, and wafer probers
Withprintedcircuitboards(PCB), anadaptationof theirmicrostripdesigntothecoaxial
connectors of theVNA is necessary. This can beachieved by using SMA connectors
and a test PCB as shown in Figure 12.16 left. This test board carries all calibration
standardsnecessarytoperformseveral calibrationtechniquesandtwobaysfor inserting
one-port or two-port DUTs. Thefringingcapacities at theopen end of themicrostrip
lineandtheparasiticinductancesintroducedbythegroundviasof theshort andmatch
standardhavetobecalculatedbyanEM solver or using[6, 7]. Themicrostriplinesare
594 Amplier measurements
Open
Short
Match
One-port
DUT
Two-port DUT
(Amplifier)
Line standard
Two-port DUT with capacitances
Cross section with Two-port DUT
DUT
Equivalent circuit without DUT
Cross section with fringing capacitances
Ground
(Bias)
Through
2l
l l
2l
l
Via
Via + 100
Via + 100
x
Figure 12.16 Test PCB carryingmicrostripcalibrationstandardsandDUTsbays.
designed to haveacharacteristic impedanceof 50O. A minimumdistanceof l = 20
mmto50mm(dependingonthewavelength) must bemaintainedonthePCB between
thecoaxial connector andthereferenceplanesothat thehigher modesthat ariseat the
transition fromthe coaxial systemto the PCB can fade away. If all of the standards
areimplementedwiththesamedistancel andif thethrough-connectionis madeby a
lengthof 2l, alengthoffset of 0mmcanbespeciedinthecharacteristic dataof the
standards. Inthiscase, thebaysof theDUTsmusthaveexactlythesamedistancesl from
theSMA connectorsinorder tolocatethereferenceplaneat thecomponentsedge. For
frequenciesabove6GHz, theTRL calibrationtechniqueisstronglyrecommended. The
linestandardcanbecharacterizedbyitsadditional lengthx. Toovercomethefrequency
restrictionthatcomeswiththevalueof x(seeTable12.5rowlinestandard), thematch
canbeincludedintheTRL calibrationtoallowanextensiondownto0Hz.
One-port devices canbemeasuredintheone-port or two-port bay. Intherst case,
the parasitic inductance of the ground via may be relevant. Fringing capacities and
parasitic inductancearedependent onthePCB material andviadiameter, respectively.
For universal useinelectronicdesignautomationtools, acharacterizationwithout these
inuencesisrequired. Thefollowingguidelinesarethereforerecommended:
12.3 S-parameter measurements 595
1. Low-impedanceone-port devices can bemeasured in theone-port bay as fringing
capacitanceswill not haveanysignicant inuence, whichmeansthat it issufcient
tode-embedjust theparasiticinductanceof thevia. Inthisspecial case, thelocation
of theDUT andparasiticinductancecanbeassumedtobeinterchangeable(necessary
for one-port de-embedding).
2. High-impedance, one-portdevicesandall two-portDUTshavetobemeasuredinthe
two-port bay. Beforemounting, theDUT measurementsonthefour S-parametersof
theempty bay haveto becarriedout andthevalues of theparasitic capacitors and
resistorshavetobecalculated(seeFigure12.16, right-handside). Aftermeasurement,
theparasiticcomponent valueshavetobede-embedded.
SubstrateslikeAl
2
O
3
arevery brittle, thereforeconnectorscannot directlybemounted
ontothem. A test xtureemployedfor theserequires areproduciblecontactingof the
microstrip, coplanar or groundedcoplanar waveguide. A test xturetypically has two
clampingjaws that areplacedonthefront sides of thesubstrate. Oneof thejaws can
beadjustedinbothhorizontal directions. Thismakesit possibletoadapt thetest xture
to different substratesizes and also to handlediagonally arranged contact interfaces.
The jaws consist of two strip-shaped ground contacts that squeeze the substrate. In
theupper ground contact, an inner conductor is opened up to adapt to acoaxial line
system. During calibration, different substrates with different standards are used. To
ensurecorrect results, caremust betaken to ensurethat theinner conductor contacts
thesubstrateat theproper position. Test xturesgenerally haveamechanically rugged
design, but their inner conductors do require careful handling. The TNA calibration
technique is particularly well-suited for measurements using a test xture. The pri-
mary benet of this calibration technique lies in the minimumrequirements that are
placedonthepropertiesof thestandards. A microstripcalibrationkitincludesastraight
50 O microstrip section with length 2l that is characterized as athrough with length
0so that l becomes thedistanceof thereferenceplaneonbothsides of thesubstrate.
It alsorequires asymmetric network standardthat caneither beanempty substrateor
thetest xtureleft openedwithout any substrate, andanattenuator standardwhichcan
bemanufacturedwithextremeprecisiononsubstrates usingthin-lmtechnology with
laser-trimmedresistors.
Toobtainthebestpossibleinterfacetothecoaxial system,asuitablegroundconnection
mustbeprovidedatthetransitiontothesubstrate. Inthecaseof on-wafermeasurements,
thecircuitsarenot accessiblefromtheir backside; theyareonlyaccessibleviatheir top
side. To ensureasuitablegroundconnectionat thecontact point, coplanar waveguide
(CPW) ground(G), signal (S), andground(G) contacts aresituatedonthetopof the
waferandhavedimensionsof lessthan0.1mm. A special micromechanical manipulator,
referred to as a wafer prober, is necessary to contact the GSG pads. Characterized
calibrationstandardsaredenedonasubstrateknownasanimpedancestandardsubstrate
(ISS). The TRL calibration technique is awkward with wafer probers because of the
multiplelinespacingnecessarytoestablishthethrough(T) andline(L) standardsothe
TRM calibrationtechniqueispreferred. Thematchstandardnecessarycanbeprovided
by laser-trimmed small resistors and modeled as a constant resistance with a series
596 Amplier measurements
Configuration with
reduced precision
Optimized high-power
configuration
Optimized high-power
configuration with
boosted source
R
e
c
e
i
v
e
r

a
n
d

g
e
n
e
r
a
t
o
r

s
e
c
t
i
o
n

o
f

t
h
e

V
N
A
Test
port 1
VNA
Reference
plane 1
Reference
plane 2
S
A22
S
21
S
22
S
12
e
33
R
Test
port 1
Test
port 2
Test
port 2
Reference
plane 1
Reference
plane 1
Reference
plane 2 Reference
plane 2
Test
port 1
Booster
amplifier
Power
sensor
to b1 channel
to b2 channel to b2 channel
Test
port 2
Figure 12.17 High-power setupwithandwithout signicantlyreducedprecision.
reactance. Thethroughcanbeveryshort sothat itsmatchingisnot acritical issue. The
reectstandardneedhavenoknownpropertiesandcanbeimplementedwiththeprobes
intheair.
12.3.7 Calibration consideration for high-power setups
With DUTs such as power ampliers, the output power of the DUT may exceed the
linear input rangeof theVNA. Asanexample, thetest port input power shouldbekept
below0.2 W so as not to exceed thelinear rangeof theVNA. Supposetheamplier
hadanoutput power of 20W, thena20dB attenuator padbetweentheamplier output
andtest port 2wouldbejust sufcient enoughtoprevent any overdrive. However, this
attenuator wouldhavesignicant disadvantagesasdescribedbelow.
The amplier and attenuator can be described by their S-parameter matrix S
A
and
S

, respectively. TheVNAs test port 2 is characterized using directivity D, test port


matchSandreectiontrackingR. Thereferenceplaneof themeasurement shouldbe
locatedat theinput andoutput of theamplier. Thismeansthat it islocatedprior tothe
attenuator andthat theattenuator becomes part of thetest set (seeFigure12.17, left).
Thiscombinedtest set at port 2isdescribedusingdirectivityD
/
, test port matchS
/
and
reectiontrackingR
/
.
During reection measurements at port 2, the signal passes the reection tracking
(R), the20dB attenuator twotimes(s
12
= s
21
), andtheoutput reectioncoefcient
s
A22
of theDUT (seeFigure12.17, left). ThereectiontrackingRisthusalteredbythe
attenuator to
R
/
= s
2
21
R. (12.29)
12.3 S-parameter measurements 597
ThetestportmatchS
/
revealedtotheamplier isdominatedbythereectioncoefcient
s
11
of theattenuator becauseof itshighattenuation(20dB) i.e.,
S
/
= s
11
(12.30)
Theparasitic crosstalk e
33
= R Disabypasstothemeasurement functionality of the
directional element. In this case, an additional crosstalk path is formed by R s
22
,
thus contributing to theoverall crosstalk. Obtaining thedirectivity D requires taking
thequotient of theoverall crosstalk R DR s
22
andthereectiontrackingR
/
from
formula(12.29). Thus,
D
/
=
R D R s
11
R s
2
21
=
Ds
11
s
2
21
(12.31)
Usingtypical systemrawdataasstatedinTable12.6, andassuminganattenuator with
areturnlossof 20dB andaninsertionlossof 20dB, therawdirectivityisdegradedby
33dBwhichisthemostunwantedeffectof thissetup. Itishardlypossibletocompensate
this using a calibration technique because of inuences like thermal drift, connector
repeatability and phase stability of the cabling. There are two workarounds that can
help.
1. If theoutput matchof theamplier neednt bemeasured, thenchoosetheone-path
two-portcalibrationtechnique. Itisacombinationof acompleteone-portcalibration
ontest port 1andtransmissionnormalization. It isaunidirectional error correction
whichmeans that thesametest port (test port 1inthis case) is always operatedas
activeport. It does not correct any reection at test port 2 and it does not correct
for anymultiplereectionscausedbytheattenuator. Thereforetheattenuator should
exhibit areturnlossbetter than25dB inthiscase.
2. If information regarding the output reection coefcient s
A22
of the amplier is
needed, thenchooseasetupwithanexternal directional element (seeFigure12.17
middle). The reference channel can be tapped inside the VNA as before, but the
directiveelement is placed directly behind thepower amplier in order not to risk
anydegradationof directivity. Anintroductiononhowtodesignthenecessaryhigh-
power coupler can be found in reference [8]. Because it is only needed for the
small operating frequency range of the amplier, a circulator is often preferred
insteadof thecoupler. Beforethesignal isfedintotheVNA viaanexternal receiver
input, level adjustment has to bedoneusing asecond attenuator. In somerespects
the conguration can be compared to Figure 12.13 if the receiver and generator
attenuators (dashed) arepresent, but thecomponents of Figure12.13 aredesigned
for medium-power measurements.
Power amplierstypicallyrequiresignicant RF input power, morethancanusuallybe
providedby aVNA. A booster amplier (seeFigure12.17right) canbeusedto raise
thesourcelevel. To makes
A11
measurements, an external coupler is required for the
measurement of channel b
1
. If thelinearity of thebooster amplier is not sufcient or
if it exhibitsdrift effectsthenthereferencechannel a
1
shouldalsobemonitoredby an
598 Amplier measurements
external coupler. This coupler (not shown inFigure12.17) has to belocated between
thebooster amplier andtheb
1
-coupler. Tocontrol theactual inputpower totheDUT, a
power calibrationat referenceplane1(usingapower sensor) isstronglyrecommended.
Afterwards, oneof thecalibrationtechniquesdescribedabovehastobeapplied.
12.3.8 Residual errors and measurement uncertainties
Everymeasurementresultissubjecttosomeuncertaintythatcharacterizestheexpected
deviationof themeasuredvaluefromthetruevalue. Accordingtoreference[9], adis-
tinctionmust bemadebetweentwotypes of uncertainty: TypeA is causedby random
measurementerrors, whiletypeBisgeneratedbysystematicerrorsandcanbecompen-
satedfor asshowninSections12.3.4tosection12.3.7. Uncertaintiesof typeA cannot
be compensated for, but instruments are designed to keep themat a minimum. The
followingguidelinesshouldbeobservedtominimizetypeA uncertainties:
1. A warm-up time should be observed to ensure that the equipment is operated in
thermal equilibrium. Once the equipment has warmed up, an environment with a
stabletemperaturehelpstokeepthermal driftsassmall aspossible.
2. Connectors aresubject to wear. Using theapplicabletightening procedure(torque
wench, rotatingtheconnectingnutbutnotrotatingtheconnector)andcareful cleaning
reduceweartoaminimum. Regularinspectionsalsoinvolvingapindepthgaugehelp
todetect faultyconnectors.
3. The noise superimposed on the measured values can be reduced using a smaller
IF bandwidthor increasing(if possible) thestimulus power. If themeasurement or
reference receivers areoperated near their upper power limit, compression effects
will occur andcauseavoidableuncertainties.
4. Calibrationtechniques canbeusedtoovercomesystematic errors. Althoughacali-
brationisdoneinthecorrect manner, somesmall errorswill remain. Thecalibration
kit involvedandtheprecisionof its characterizationaretheprimary factors witha
modernVNA. Calibrationkitsareavailablewithdifferent capabilitiesandshouldbe
selectedbasedontheaccuracyrequirements. Thetimeintervalsbetweencalibrations
should be kept according to inuences such as stability of temperature, etc. The
network analyzer settingsusedfor calibrationandmeasurement shouldvaryonlyto
acertainextent.
The residual errors forman error model similar to that shown in Figure 12.14 but
exhibit theeffectivesystemdatae
ik
insteadof theerror terms e
ik
. For typical values,
seeTable12.6. A distinction must bemadebetween effectivesystemdataand faulty
calibrations that arise as a result of operator errors, defective network analyzers and
damaged standards. The implicit plausibility check provided in the TOM calibration
techniquehelps todetect afaulty calibrationearly onintheprocess. TheT-check (see
[10]) andtheBeatty standardservethesamepurpose. A commonmisinterpretationis
that thesameset of standards usedfor calibrationcanbereconnectedto detect faulty
calibrations. Infact, thismeasurement onlyprovidesinformationabout reproducibility.
A second calibration kit providing other sets of the standards (not involved in the
12.4 Further linear measurements 599
calibration) canbeusedtodetect faultycalibration. If thecalibrationisdouble-checked
bymeasuringall standardsof thesecondcalibrationkit, theeffectivesystemdatae
ik
of
thepresent calibrationcanbecalculated. But thisvericationwouldbebaseduponthe
characteristicdataof thesecondcalibrationkitandtheuncertaintiesof thesedata. Since
thesedataitemsarenormally inthesameorder of magnitudeastheexpectedeffective
systemdata, this method is only of academic value. In practice, averication kit has
tobeused. It involvesstandardsthat areespecially designedtomeet thehighaccuracy
demands, e.g., air lines without the need for an inner conductor support, precision
attenuatorsandmismatchstandards.
12.4 Further linear measurements
A vector network analyzer is mainly designedto performS-parameter measurements.
Basedonthesemeasurementsfurther linear descriptionscanbecalculated, either bythe
operator or theanalyzer (e.g., usingtracemathematics).
12.4.1 Amplier gain denitions
Therst stepistoconsider asimplecircuit consistingof asourceI
S
andaloadI
L
. At
thesource, adistinctionmust bemadebetweenthefollowing
r
availablepower P
A
, whichisthemaximumpower that canbedrawnfromthesource
under power matchingcondition(I
S
= I
L
*
). ThequantitiesP
A
andI
S
aresufcient
tocharacterizetheRF sourcebyalinear model.
r
deliveredpower P
D
, whichisthepower transmittedtoanyarbitraryloadconsidering
themismatchcausedbysourceandloadreectioncoefcients(i.e., P
A
P
D
=power
lost duetomismatch).
Thenext stepis toconsider anamplier connectedbetweenanRF sourcewithreec-
tion coefcient I
S
and aloadwith reection coefcient I
L
. Thepower owdiagram
Figure12.18showsthisscenario, usingfour important RF power denitions:
r
availablepower of thesourceP
AS
;
r
deliveredpower fromthesourceP
DS
;
r
availablepower of theampliersoutput P
AO
;
r
deliveredpower fromtheampliersoutput P
DO
.
Basedonthefour power denitions, variousamplier gainscanbestated. Thetrans-
ducer gainG
T
isanoverall quantitythatregardsthemismatchattheinput, theRF power
added by the amplier, and the output mismatch. Therefore, it is well suited for
power optimizationdesigntechniques. Theoperatingpower gainG
P
andtheavailable
power gainG
A
disregardtheinput or output mismatch, respectively.
The gain measured by a VNA is G
0
= (s
21
)
2
or 20log(s
21
) dB in dB scale. This
gain can beconsidered as thetransducer gain G
T
under theconstraint that theampli-
er is embedded in an environment offering a port impedance exactly equal to the
600 Amplier measurements
Different gain definitions:
RF power flow chart:
P
AS
P
DS
P
AO
P
DO
Power
loss due
to mis-
match at
input
Power
loss due
to mis-
match at
output
Power
added by
the
amplifier
G
T
G
A
G
P
Figure 12.18 Power owandgaindenitionsof anamplier.
systemimpedance Z
0
(generally 50 O). Most ampliers exhibit an input and output
impedance that is notably different fromZ
0
. This means that mismatch will occur if
theyaredirectlyconnectedtothetest portsof aVNA. Inorder tooperatetheamplier
economically, theapplicationcircuitsaredesignedtominimizemismatch, thusachiev-
ingthemaximumpossibletransducer gain. A similar situationarises for theoperating
power gainG
P
andtheavailablepower gainG
A
. Thevalues of thedifferent gains G
T
,
G
P
, and G
A
can becalculatedfromtheampliers S-parameters, thesourcereection
coefcient I
S
, andtheloadreectioncoefcient I
L
if theamplier behaveslinearlyas
follows:
G
T
=
P
DO
P
AS
=
[s
21
[
2
_
1[I
S
[
2
_ _
1[I
L
[
2
_
[(1s
11
I
S
)(1s
22
I
L
) s
12
s
21
I
S
I
L
[
2
(12.32)
G
A
=
P
AO
P
AS
=
[s
21
[
2
_
1[I
S
[
2
_
[1s
11
I
S
[
2
[s
22
I
S
det(S)[
2
(12.33)
G
P
=
P
DO
P
DS
=
[s
21
[
2
_
1[I
L
[
2
_
[1s
22
I
L
[
2
[s
11
I
L
det(S)[
2
(12.34)
wheredet(S) = s
11
s
22
s
21
s
12
It should not beoverlooked that formulas (12.31) to (12.34) arebased on a linear
assumptionwhichmakestheminsufcient for strongnonlinear behavior, necessitating
load-pull techniques(seeSection12.5.4) for accuratemeasurements. But theformulas
doconsiderthefactthatthematchingconditionof theoutputaffectstheinputimpedance
of theamplier and, viceversa, theinput matchingaffectstheoutput impedance. This
isaconsequenceof thenites
21
s
12
term. Insomecases, if s
21
s
12
issmall enoughor if
additional elementsareusedtoneutralizetheparasiticelementsresponsiblefor s
12
,=0,
theamplier canbeassumedas unilateral (s
12
= 0). This means that input andoutput
12.4 Further linear measurements 601
Direction of (s
22
)

r
T
c
T
1 dB
0 dB
2 dB
3 dB
Figure 12.19 Examplefor constant gaincirclesof G
L
.
matchingcanbeoptimizedindependently of oneanother, andformula(12.32) canbe
split intothreeindependent factors
G
T
=
1[I
S
[
2
[1s
11
I
S
[
2
. .
G
S
[s
21
[
2

1[I
L
[
2
[1s
22
I
L
[
2
. .
G
L
if s
12
= 0. (12.35)
Inthis special casethesourceandloadreectioncoefcients that yieldthemaximum
transducer gain G
TMAX
are given by the conjugate complex values of the ampliers
input andoutput reectioncoefcients.
G
TMAX
=
1
1[s
11
[
2
[s
21
[
2

1
1[s
22
[
2
if s
12
= 0. I
S
= (s
11
)

. I
L
= (s
22
)

.
(12.36)
Theoptimumvalueof theloadreectioncoefcient I
L
= (s
22
)
*
canbemarkedinthe
I
L
-Smith chart as asinglepoint (seeFigure12.19 point 3 dB). If thefactor G
L
of
formula(12.35) is set to aspecic value(e.g., G
L
= 1 equals 0 dB), then G
L
can be
solved for I
L
. This leads to solutions in theformof acircles with thecenter located
alongthevector (s
22
)
*
atadistancec
T
fromtheoriginI
L
=0. Thecirclesradiusisr
T
.
c
T
=
G
L
[s
22
[
1 G
L
[s
22
[
2
(12.37)
r
T
=
_
1 G
L
_
1[s
22
[
2
_
1 G
L
[s
22
[
2
(12.38)
Thesameconsiderations arepossibleconcerningfactor G
S
of formula(12.35), i.e.,
replacings
22
bys
11
andG
L
byG
S
informula(12.37) to(12.38).
Theinsertiongainis agureof merit that compares thesituationof thesourceand
loadconnectedwithout theamplier tothesituationwiththeamplier inbetween. The
602 Amplier measurements
insertion gain G
INS
is dened as the ratio of the power P
DO
that is delivered at the
ampliersoutput totheloadI
L
versusthepower that isdeliveredfromthesourceI
S
if
theloadI
L
isdirectlyconnectedtothesource.
G
INS
=
[s
21
[
2
[1I
S
I
L
[
2
[(1s
11
I
S
)(1s
22
I
L
) s
12
s
21
I
S
I
L
[
2
(12.39)
For reectioncoefcientsI
S
=I
L
=0, asoccursideallywithaVNA, theinsertiongain
becomesequal toG
0
= (s
21
)
2
.
12.4.2 Efciency factor
Lowpowerconsumptionisanimportantrequirementnotonlyforbattery-operatedpower
ampliersbut alsobecauselost power isconvertedtoheat. Theefciencydescribesthe
percentageof appliedpower that isconvertedtoRF power.
Thedrainefciencyissimplytheratioof theoutput RF power totheDCinput power
necessarytobiastheamplier. Thisdenitiondoesnot consider theincident RF power
that is fedto theampliers input, andthat may beasubstantial valueinthecaseof a
power amplier. The(PAE) isamoreadequatemeasure. It istheratioof theRF power
added by the amplier to the DC bias power. The RF power added is the difference
betweenthepower P
DO
deliveredtotheloadattheoutputandthepowerP
DS
deliveredto
theampliersinput. TheDCpower iscalculatedfrombiasvoltage:
DC
andbiascurrent
i
DC
usingP
DC
= :
DC
i
DC
.
PAE
1
=
P
DO
P
DS
P
DC
100%. (12.40)
An alternative denition of the PAE considers the ampliers input power using the
availablepower P
AS
insteadof thedeliveredpower P
DS
, asaconsequencethisdenition
counts mismatch loss at the ampliers input as a degrading effect on the efciency,
whichismorerealistic.
PAE
2
=
P
DO
P
AS
P
DC
100%=
[b
2
[
2
[a
1
[
2
P
DC
100%. (12.41)
A VNA with an active test set can directly bias an active device through its test
ports without the need for a bias network between test port and DUT. In this case,
characterization of theactivedevicecan bedonewithout adegradation in directivity
andwithout theneedfor de-embeddingthebias network. ModernVNAs havespecial
DC measurement inputs for measuring the DC power consumption of the amplier
under test. Thevoltage:
BS
canusuallybeapplieddirectlytotheseinputs. However, the
current i
BS
must bemeasured indirectly as avoltagedrop across aprecision resistor.
TheefciencycanbecalculatedautomaticallyfromtheDCmeasurementsandthewave
quantities. It shouldnot beoverlooked that nonlinear effects causetheefciency of a
power amplier tobedependent onseveral parameters:
1. ThePAEcanbeimprovedbyoptimizedinputandoutputterminationsforfundamental
frequencyandhigher-order harmonics.
2. ThePAE typicallyreachesitsmaximumnear the1dB compressionpoint.
12.4 Further linear measurements 603
arg(s
21
(f ))
0
0 f
0
f
1
f
2
f

G
Figure 12.20 Denitionof thegroupdelay.
3. ThePAE dependsontheoperatingfrequency(similar togain).
4. ThePAE isstronglyrelatedtothebiasconditions.
A VNA offersfrequencysweeps, power sweepsor CWsweepshelpingtosearchfor the
best operating parameters. Optimizing thePAE experimentally over input and output
impedancerequirestheloadandsourcepull technique(seeSection12.5.4).
12.4.3 Linear distortion, phase and group delay measurement
A two-port network isfreeof linear distortioninaspecicfrequencyrangef
1
tof
2
if it
meetsthefollowingrequirementsinthisfrequencyrange:
r
constant groupdelay
G
intheinterval f
1
tof
2
(seeFigure12.20);
r
constant magnitudeof thetransmissioncoefcient [ s
21
( f
0
)[.
Under thesecircumstances, thegroup delay
G
is ameasureof howlong it takes the
modulation components of a signal with frequency f [f
1
, f
2
] to propagate through
the amplier. These spectral components can be observed in the time-domain as the
envelopecurveof themodulatedsignal.
Thegroupdelay
G
istypicallycalculatedfromthetransmissioncoefcient s
21
. The
groupdelay
G
( f
0
) isdenedastheslopeof thephasearg(s
21
( f
0
)) at thefrequency f
0
.
Thisslopeisscaledbythefactor 1,(2) or 1,360

, yieldingthephysical unit of the


groupdelaytobethesecond(s).

G
( f
0
) =
1
360

d
df
arg(s
21
( f
0
)) (12.42)
Academic examples arebasedonalgebraic expressions whichcanbedirectly inserted
intoformula(12.42) toderivethembyalgebraicmeans. Incontrast, anetworkanalyzer
measuresS-parametersoveradiscretefrequencyaxis. Thisfrequencyaxishasastepsize
of Lf. Therefore, thederivatived,df must beapproximatednumericallybyadifference
quotient. For greater exibility, it is useful to distinguishbetweenLf andafrequency
stepsizeof f
d
= Df, whichisusedfor calculatingthedifferencequotient, knownas
theaperture. Thefactor isthencalledaperturestepwidth.

G
( f
0
)
1
360

arg(s
21
( f
0
f
d
,2)) arg(s
21
( f
0
f
d
,2))
f
d
(12.43)
604 Amplier measurements
Ch1 Center 2.222 GHz Pwr 16 dB m
Aperture selected too small
40
10
20
50
80
110
140
170
200
Trc1 Delay 30 ns/ Ref 80 ns
Aperture
selected
optimal
1
Aperture
selected
too large
Span 60 MHz
S21
S21
Figure 12.21 Examplesfor groupdelaymeasurementsof abandpasslter withaVNA [4].
arg(s
21
(f ))
0
arg(s
21
(f
0
)) arg(s
21
(0))
f
0
f
Figure 12.22 Denitionof thephasedelay.
Theselected aperturef
d
has an inuenceon thecalculated group delay curve
G
( f ).
A valuethat is toolargeresultsinalossof details, whileavaluethat istoosmall will
overemphasizetheinuenceof thenoisethat is superimposedonthemeasuredvalues
(see Figure 12.21). Unfortunately, there is no general rule for selecting the aperture.
The necessary value must be determined empirically and should be stated with the
result.
Thephasedelay
P
( f ) isafunctionof theabsolutephaseatfrequencyf. Itisnormally
calculatedfromthetransmissioncoefcient s
21
.
Thephasedelay
P
( f )isproportional tothephaserangecoveredbetween0Hzandthe
observedfrequencypointf dividedbythefrequencyof observation. Inthiscomputation,
12.4 Further linear measurements 605
the phase at 0 Hz is assumed to be arg(s
21
(0 Hz)) = 0 even if it is theoretically not
denedbecauseof s
21
(0Hz) = 0.

P
=
1
360
0
arg(s
21
( f )) arg(s
21
(0Hz))
f
(12.44)
Inadispersion-freenetwork, thephasedelayremainsat aconstant valuethat isspecic
for thisnetwork (e.g., aTEM transmissionline). Thisvalueindicatesthetimedelay in
seconds experiencedby any sinusoidal component whenpassingthroughthenetwork.
Thus, thephasedelay is strongly related to thelength of atransmission line. Typical
transmission lines exhibit a phase delay of a few ps up to a few ns for longer lines.
Network analyzers typically usethephasevalueat thestart andstopfrequency of the
sweepinsteadof thephasevalueat 0Hz andf. Consequently, they usethedifference
betweenthestopandstart frequencyinthedenominator of formula(12.44).
12.4.4 Linear stability considerations
Instabilityisabasicriskwithanypoweramplier(PA). Inanunstablestate, theamplier
actslikeanoscillator andwill producean(undesired) output signal evenif thereisno
inputsignal present. Intherestof thefrequencyrange, theoscillationwill reducethegain
inmost cases. For ampliersmeasuredfar belowtheir saturationpower, it isimportant
toknowthat whentheamplier startsoscillationit typically producesanoutput power
withalevel uptoitssaturationpower. If themeasurementequipmentisnotdesignedfor
that power, it may bedamaged. Thestability considerations haveto beappliedfor all
potential oscillationfrequenciesandnotonlyfor theoperatingfrequencybandinwhich
the amplier is intended to be used. The active circuit elements (transistors) exhibit
a unity gain frequency f
u
upon which they turn into passive devices. Therefore, it is
sufcient toconsider thefrequency range[0; f
u
] for stabilityanalysis. Thecalculations
presentedherearebasedonapurelinear circuit model andontheassumptionthat all
parametersareaccessible(thisisviolatedinamultiplestageamplier whichrequiresa
separateanalysisfor eachindividual stage).
Therststepistoconsiderasimplecircuitconsistingof asource(reectioncoefcient
I
S
)beingconnectedtoaloadI
L
(Figure12.23a). Theinitial wavelaunchedbythesource
is reected by theload I
L
and travels back to thesource. At thesource, in turn, it is
reected back with I
S
. This completecycleexhibits aweight of I
S
I
L
. Thepower
during a cycle obviously increases if [I
S
I
L
[ > 1. This is equivalent to unstable
behavior. However, thecircuit canbepredictedasstableif
[I
S
[ [I
L
[ - 1 (12.45)
Thenextstepistoconsider anamplier thatexhibitstwoloops, oneattheinputandone
attheoutput(seeFigure12.23c). Formula(12.45) mustberewrittenas[I
S
[ [I
IN
[ -1
for theinputloopandas[I
L
[ [I
OUT
[ -1for theoutputloop. Thesourceandloadare
assumedtohavepositiveresistance, whichisequivalent to
[I
S
[ 1 (12.46)
606 Amplier measurements
Simple loop Source Amplifier Load
Input loop Output loop
Equivalent circuits

IN

IN

OUT

L

L
Source Load
a
0 1 1
1 a
0
a
0 a
1
a
1 1
a
0
a
2
b
2
b
2
b
1
a
2
s
11
b
1
s
12
s
22
s
21

OUT

L

s

s
b
a
Figure 12.23 Signal owchart for determiningstability.
and
[I
L
[ 1 (12.47)
Applyingtheconstraint(12.45) for astableconditiontotheinputandoutputloopsleads
to[I
IN
[ - 1and[I
OUT
[ - 1, respectively. It isnownecessarytoredrawtheampliers
signal owchat (Figure12.23b) tothesimpliedequivalent chart (Figure12.23c) used
above. I
IN
hastoconsider thes
11
-parameter but alsotheoutput embeddingI
L
s
11
as
itispassedthroughtheamplier bys
12
ands
21
. A similar considerationholdsfor I
OUT
.
Consequently, theconditions[I
IN
[ - 1and[I
OUT
[ - 1canbeexpandedto

s
11

s
12
s
21
I
L
1s
22
I
L

- 1 (12.48)

s
22

s
12
s
21
I
S
1s
11
I
S

- 1 (12.49)
The independent variable to solve equation (12.48) is I
L
. The boundary case (using
= 1 instead of -1) leads to asolution in theformof acirclewith acenter at c
s
andaradiusr
s
intheSmithchart of theloadreectioncoefcient I
L
(seeexamplesin
Figure12.24).
c
S
=
s
22
(det(S))

11
[det(S)[
2
[s
22
[
2
(12.50)
r
S
=
[s
12
s
21
[
[det(S)[
2
[s
22
[
2
(12.51)
Insertingatest value, e.g., I
L
= 0, makes it possibleto determinewhether thestable
regionis insidethecircle(Figure12.24b, d) or outsidethecircle(Figure12.24a, c).
Withtheinitial condition(12.47) inmind, thenext stepistoconstruct theintersection
withtheunitycircleof theSmithchart toobtainthestableregion.
If the unity circle is not completely in the area pointed out by the stability circle
(seeFigure12.24c, d), theamplier isonly conditional stablefor I
L
. That meansit is
12.4 Further linear measurements 607
r
S
r
S
r
S
c
S
r
S
c
S
c
S
c
S
= Stable region
(a) (b)
(c) (d)
Figure 12.24 Different casesof stabilitycircles.
onlystablefor specicvaluesof I
L
. All considerationshavetoberepeatedwithI
s
by
changing s
11
and s
22
in formulas (12.50) or (12.51). If theunity circleof both Smith
chartsI
L
andI
s
isinsidethecorrespondingstabilitycircle(seeFigure12.23a, b), the
amplier is calledunconditional stable. Inother words, it is stablefor all passiveload
andsourcereectioncoefcients(seeformulas12.46to12.47).
Another linear approachis thestability factor k, by Rollet [11]. It is well knownin
theliteratureandisdirectlysupportedbymost VNAs.
k =
1(det(S))
2
[s
11
[
2
[s
22
[
2
2[s
12
s
21
[
(12.52)
However, theconditionk> 1is requiredbut not sufcient for unconditional stability.
Tobecomearequiredandalsosufcient criterion, theneither
[s
12
s
21
[ - 1[s
11
[
2
(12.53)
or
[s
12
s
21
[ - 1[s
22
[
2
(12.54)
Alternatively, adifferentdenitionof stabilityfactors
1
or
2
knownfromtheliterature
[12] canbeused. Fortheunconditional stabilityof lineartwo-portdevices, itisnecessary
608 Amplier measurements
Single ended transmission lines
Symmetrical transmission lines with ground
Symmetrical transmission lines ground suspended
GND GND GND GND GND
GND GND GND GND
GND
(a)
(b)
(c)
(d)
(e)
(j)
(i)
(h)
(g)
(f)
(k) (l)
(m)
Figure 12.25 Typical single-endedandsymmetrical transmissionlines; (a) coaxial line,
(b) microstripline, (c) triplateline(stripline), (d) coplanar line, (e) groundedcoplanar line,
(f) shieldedtwistedpair, (g) symmetrical microstripline, (h) symmetrical triplateline,
(i) symmetrical coplanar line, (j) symmetrical groundedcoplanar line, (k) twistedpair,
(l) suspendedgroundsymmetrical microstripline, (m) suspendedgroundstripline.
andsufcient to check oneof thefactors either
1
> 1or
2
> 1offeringacompact
criterion.

1
=
1[s
11
[
2

s
22
s

11
det(S)

[s
21
s
12
[
(12.55)

2
=
1[s
22
[
2

s
11
s

22
det(S)

[s
21
s
12
[
(12.56)
12.4.5 Mixed-mode S-parameters
Symmetrical transmissionlines(seeFigure12.25fm) areusedinseveral applications
because, when compared to single ended designs (see Figure 12.25 ae), they offer
improvedimmunityagainst EM interferenceandtheycomewithreducedradiationdue
to mutual compensationof bothsymmetric conductors. Many antennadesigns (dipole
antenna, loopantenna) arebasedondifferential feeding. Toavoidthenecessary balun
withitsimperfectionsandtobenet fromdifferential design, acomprehensiveconcept
includingapower amplier withdifferential portsandsymmetrical transmissiontothe
antennaoffersapromisingalternativetotraditional single-endedconcepts.
If asignal istransmittedover apair of symmetrical coupledconductors, interferences
canbeexpectedtobecoupledintobothlinesequally. Ontheother hand, if thewanted
signal isfedintooneconductorwith0

phaseshiftandintotheotherconductorwith180

phaseshift, itisveryeasytodistinguishthearisingdifferential signal fromthecommon-


modeinterferences. Inthereal world, however, agroundisusuallypresentnear theline.
12.4 Further linear measurements 609
Therefore, notonlythedesireddifferential-modesignal, butalsoacommon-modesignal
can propagatealong thetransmission line. A modeconversion between common and
differential modemustbeavoidedsinceotherwiseacleardistinctionbetweenthewanted
signal andtheinterferenceisnolonger possible. Theseconsiderationscanbeexpanded
to any four-pole structure used for differential signals (including differential power
ampliers). A descriptionisrequiredthatallowsaseparateobservationof thedifferential
and common modes and that provides information about the mode conversion. Two
physical portswithsingle-endedwavequantitiesa
1
, b
1
anda
3
, b
3
arecombinedtoform
abalancedport. Fromthefour-poletheory thedifferential modevoltage:
d
= :
1
:
3
,
thedifferential modecurrent i
d
=
1
/
2
(i
1
i
3
), commonmodevoltage:
c
=
1
/
2
(:
1
:
3
)
andthecommonmodecurrent i
c
= i
1
i
3
areknown. However, voltageandcurrent are
awkward in themicrowaverange. To adapt theseto wavequantities a
c
, b
c
(common-
modewavequantities) anda
d
, b
d
(differential wavequantities) thewell-knownrelation
a=(: iZ
0
),(4Z
0
)
1,2
andb=(: iZ
0
),(4Z
0
)
1,2
withtherelatedsystemimpedances
Z
0
(single-ended), Z
0c
(commonmode), or Z
0d
(differential mode) canbeusedtoforma
directrelationbetweensingle-endedandcommon-modewavequantitiesorsingle-ended
anddifferential-modewavequantities:
a
d
=
(2Z
0
Z
0d
)(a
1
a
3
)

..
(2Z
0
Z
0d
)(b
1
b
3
)
4

Z
0d
Z
0
(12.57)
b
d
=

..
(2Z
0
Z
0d
)(a
1
a
3
)(2Z
0
Z
0d
)(b
1
b
3
)
4

Z
0d
Z
0
(12.58)
a
c
=
(Z
0
2Z
0c
)(a
1
a
3
)

..
(Z
0
2Z
0c
)(b
1
b
3
)
4

Z
0c
Z
0
(12.59)
b
c
=

..
(Z
0
2Z
0c
)(a
1
a
3
)(Z
0
2Z
0c
)(b
1
b
3
)
4

Z
0c
Z
0
(12.60)
Thepartsof formulas(12.57) to(12.60) thataremarkedwithanasteriskwill disappearif
thedifferential modesystemimpedanceZ
0d
andthecommonmodesystemimpedances
Z
0c
areselectedso that they meet thefollowingrelationwiththesingle-endedsystem
impedancesZ
0
.
Z
0d
= 2Z
0
(12.61)
and
Z
0c
= Z
0
,2 (12.62)
Thismeansthatsingle-endedandbalanced-wavequantitiescanbetransformedintoone
another without anymixingbetweenincident andreectedwaves. Thisisequivalent to
amatched transition between asingle-ended design (e.g., thetest ports of VNA with
Z
0
=50O) andasymmetrical transmissionline(e.g., offeringcharacteristicimpedances
610 Amplier measurements
Z
0d
=100OandZ
0c
=25O). Usingtherelationsstatedabove, aVNA canmeasurebal-
ancedS-parametersbycalculatingthemfromsingle-endedmeasurements. Thismethod
isknownfrom[13] asmodal decomposition.
During thesemeasurements, thenumber of activeports is always one. But certain
nonlinear balanceddevices aresensitiveto theexcitationscheme, necessitatingatrue
differential stimulus. This stimulus involves two active ports with the same stimulus
frequency but adjustablephaserelation, e.g., 180

(differential modeexcitation) or 0

(commonmodeexcitation).
A balancedone-port devicehastwophysical ports. Equivalent toformula(12.21), it
canbedescribedbymeansof reectioncoefcients.
_
b
d
b
c
_
=
_
I
dd
I
dc
I
cd
I
cc
_ _
a
d
a
c
_
(12.63)
Reection coefcients I
cc
and I
dd
describe reections that are within common or
differential mode, whereas reection coefcients I
cd
and I
DC
describe transmodal
reectionsthat haveincident andreectedwavesbelongingtodifferent modes.
A balanced two-port consists of four physical ports 14 that can be grouped as
balanced port 1 (physical port 1, 3) and balanced port 2 (physical port 2, 4). The
followingisthemultimodeequivalent of formula(12.20).

b
d1
b
d2
b
c1
b
c2

s
dd11
s
dd12
s
dc11
s
dc12
s
dd21
s
dd22
s
dc21
s
dc22
s
cd11
s
cd12
s
cc11
s
cc12
s
cd21
s
cd22
s
cc21
s
cc22

a
d1
a
d2
a
c1
a
c2

(12.64)
Theupperleftandthelowerrightquadrantof themixedmodeS-parametermatrix(12.64)
describeS-parametersthat belongtoonecertainmode. Incontrast, theupper right and
lower left quadrant describeintermodal S-parameters that haveincident and reected
waves belonging to different modes. An ideal differential amplier should exhibit
thoseS-parameters as 0. Input andoutput reectionshouldbes
dd11
= s
dd22
= s
cc11
=
s
cc22
= 0. TheS-parameter s
dd21
is responsiblefor thedesireddifferential modegain,
whiles
cc21
belongstothecommonmodegain.Assumingtheassignmentof physical ports
as above, themultimodeS-matrix canbecalculatedfromsingle-endedmeasurements
(matrixS) asfollows:

b
d1
b
d2
b
c1
b
c2

=
1
2

1 0 1 0
0 1 0 1
1 0 1 0
0 1 0 1

1 0 1 0
0 1 0 1
1 0 1 0
0 1 0 1

a
d1
a
d2
a
c1
a
c2

(12.65)
A descriptionof cascadedbalancedsystemsandbalancedde-embeddingtechniquescan
befoundinreference[14].
Activeor passivebalunsarethree-port devicesthat areusedasaninterfacebetween
the single-ended and the balanced world. Assuming port 1 as single-ended and
12.5 Nonlinear measurements 611
ports 2, 3to formthebalancedport 2, thefollowingmixedmodeS-parameter matrix
canbestated.

b
s1
b
d2
b
c2

s
ss11
s
sd12
s
sc12
s
ds21
s
dd22
s
dc22
s
cs21
s
cd22
s
cc22

a
s1
a
d2
a
c2

(12.66)
The parameter s
ds21
describes the conversion fromsingle-ended to differential mode
ands
sd12
describestheoppositedirection. Thematchingof thebalunisstatedby s
ss11
,
s
dd22
, s
cc22
. Theparameter s
cs21
ands
sc12
aretheunwantedtransmissions. Assumingthe
assignment of physical ports 13as above, themultimodeS-matrix canbecalculated
fromsingle-endedmeasurements(matrixS) using

b
s1
b
d2
b
c2

=
1
2

2 0 0
0 1 1
0 1 1

2 0 0
0 1 1
0 1 1

a
s1
a
d1
a
c2

(12.67)
Thecommon-moderejectionratioCMRRcharacterizeshowmuchtheseparationof the
differential modeandthecommonmodeismaintainedwhenthesignal passesthrough
thebalun. Itcanbedirectlycalculatedfrom(12.66) dependingonthedirectionof signal
ow.
CMMR = 20log
10
[s
ds21
[
[s
cs21
[
(12.68)
or
CMMR = 20log
10
[s
sd12
[
[s
sc12
[
(12.69)
12.5 Nonlinear measurements
Power ampliers are typically operated in the nonlinear region. Therefore, nonlinear
measurementsareof primaryimportance.
12.5.1 Intermodulation distortion (IMD) and harmonic distortion (HMD)
Nonlinear distortions havebeen discussed extensively in previous chapters. IMD and
harmonic distortion are explained by a Taylor series model of the DUT considering
two-tone or single tone excitation, respectively. Figure 12.26 shows a typical output
spectrumof anamplier fedbyatwo-tonesignal.
Thelevel differences D
IM2
, D
IM3
(in dBc) between L
OUT
(in dBm) at fundamental
frequencies and asecond- or third-order intermodulation product L
IM2OUT
or L
IM3OUT
(indBm) arereferredtoasintermodulationsuppressionD
IM2
, D
IM3
.
D
IM2
= L
OUT
L
IM2OUT
(12.70)
D
IM3
= L
OUT
L
IM3OUT
(12.71)
612 Amplier measurements
20
15
L
IM3OUT
/dB m
L
OUT
/dB m
D
IM3
/dBc
D
IM2
/dBc
D
H3
/dBc
D
H2
/dBc
L
OUT
/dB m
L
H3
/dB m
L
H2
/dB m
Stop 10 GHz Ch1 Start 10 Hz
20
15
10
5
0
5
10
3f
2 3f
1
2f
2
+ f
1
2f
1
+ f
2
2f
1
f
2
L
IM2OUT
/dB m
2f
2
f
1
f
1
f
2
2f
2
2f
1
f
1 f
2
f
1
+ f
2
Figure 12.26 Spectrumanalyzer readout withintermodulationproducts.
TwoimportantpropertiesthatcharacterizetheDUT arethesecond-order interceptpoint
(SOI) IP
2
and thethird-order intercept point (TOI) IP
3
. They can berelated either to
theinput level L
IN
(in dBm) or to theoutput level L
OUT
= L
IN
G (in dBm). Gener-
ally, intercept points IP
n
of nthorder canbedenedfor higher-order intermodulation
suppressionD
IMn
(indBc) accordingto
L
IP2IN
= D
IM2
L
IN
(12.72)
L
IP3IN
=
D
IM3
2
L
IN
(12.73)
L
IPnIN
=
D
IMn
n1
L
IN
(12.74)
L
IP2OUT
= L
IP2IN
G = D
IM2
L
OUT
(12.75)
L
IP3OUT
= L
IP3IN
G =
D
IM3
2
L
OUT
(12.76)
L
IPnOUT
= L
IPnIN
G =
D
IMn
n1
L
OUT
(12.77)
Thelevel L
IP3IN
correspondstothectitiousinput level at whichtheoutput level L
OUT
of thefundamental frequenciesandtheoutputlevel L
IM3OUT
of thethird-order intermod-
ulationproduct arethesamevalue. IP
3
is not attainedinmost cases dueto saturation
effects, but it is useful for a global characterization of the DUT. Using it, the two
straight lines for L
OUT
andL
IM3OUT
canbeconstructedimmediately without requiring
12.5 Nonlinear measurements 613
L
IP2OUT
IP
3
IP
2
L
OUT
L
OUT
dB m
L
IM3OUT
L
IM2OUT
L
IP3OUT
L
OUT
L
x
L
IP3IN
1dB m
1 dB m
1 dB m
1

d
B

m
2

d
B

m
3

d
B

m
D
I
M
3
(
L
x
)D
I
M
2
(
L
x
)
L
IP2IN
L
IN
dB m
Saturation
Figure 12.27 Second- andthird-order intercept points[4].
anyadditional information(seeFigure12.27), andtheintermodulationsuppressionD
IM3
for various input levels can bepredicted. On theother hand, theintercept points IP
2
and IP
3
can bedetermined graphically usingthestraight-lineslopes outsidethesatu-
ration area in order to construct the point IP
3
(L
IM3IN
, L
IM3OUT
) in the power sweep
(Figure 12.27). However, measurements are typically performed as shown in
Figure 12.26, yielding the values (L
OUT
, D
IM2
, D
IM3
) only for a certain input level
L
IN
. Whenapplyingformulas(12.72) to(12.77), theuser must makesurethat theDUT
hasnot beenmeasuredinitssaturationarea.
The two-tone stimulus can be generated using two RF generators with the same
outputlevel. All instrumentsshouldbesynchronizedtoacommonfrequencyreference,
e.g., the internal reference of generator 1 (see Figure 12.28). Lowpass lters can be
appliedtoreducehigher harmonicsof thedrivingsignalsbeyondthe50dBcof ahigh-
endsignal generator. Onemainaspect istoavoidanysignicant intermodulationinthe
instrumentationsothatmeasuredvaluescanclearlybeassignedtotheDUT. A difference
of atleast10dBbetweenDUT andinstrumentationisaruleof thumb. Typical problems
experiencedinameasurement setupareasfollows:
1. Themutual intermodulationbetweenthetwo signal generators must bereducedby
applyingacoupler or Wilkinsondivider andoptional isolators.
2. Aboosterampliertoamplifythetwo-tonesignal shouldbeavoided. Instead, separate
ampliersshouldbeusedfor eachtone.
614 Amplier measurements
Generator 1
G
Isolator
(optional)
Lowpass
(optional)
Driver
(optional)
Z
0
Z
0
Z
0
Z
0
Z
0
/3
Z
0
/3
Z
0
/3
Z
0
Amplifier
under test
High-power
attenuator or
coupler with
dummy load
Spectrum
analyzer
10 MHz
Reference
Bias
source
Two-tone
stimulus
Combiner
network
10 MHz
Reference
Isolator
(optional)
G
Generator 2 Driver
(optional)
Frequency f
2
Magnitude A
2
=A
Matching towards DUT typ. > 20 dB
typ. < 12 dB
typ. < 12 dB
typ. > 6 dB
typ. > 20 dB
typ. > 20 dB
typ. < 6 dB
typ. > 6 dB
typ. > 20 dB
typ. > 20 dB
typ. > 20 dB
typ. > 3 dB
Matching towards inputs
Isolation between inputs
Insertion loss
Lowpass
(optional)
Power splitter
Typical combiner networks
Power divider Coupler or Wilkinson
DC
Frequency f
1
Magnitude A
1
=A
Figure 12.28 Measurement setupfor intermodulationmeasurements.
3. Passiveintermodulation(PIM) occursinpassivedevices. If connectorsarenot tight-
ened properly or if their contacts are dirty, oxidized or made of two galvanically
unmatchedmetals, thesejunctionsformaweaknonlinear transition. If circulatorsor
transformers areoperatedto saturation, they also behaveas nonlinear components.
ThePIMistypicallybelow 110dBmc, andhighpower levelsarerequiredfor these
effectstooccur.
4. To assess theintermodulation of thespectrumanalyzer, its stepattenuators can be
used. Sincethesemechanical attenuators consist of passiveresistor networks, their
intermodulation effects arenegligible. If thestep attenuator is increased, theinput
level of the internal receiver will decrease. The attenuator setting is compensated
onthedisplay so that displayedpower remains constant. Inter-modulationproducts
that aregeneratedoutsidethespectrumanalyzer will behavethesame. Incontrast,
intermodulation generated in the spectrum analyzer will vary by more than the
attenuator settingandcanthereforebedistinguished.
For somedevices (e.g., FET), theIP
n
alsodepends ontheinput level becausethese
devicesdefyaTaylor seriesapproximation.
A simpliedsetupcanbeachievedbyreducingFigure12.28toonlyonesignal gener-
ator tomeasuretheharmonicdistortion(HMD). Becausenosecondtoneispresent, only
harmonicsL
OUT
, L
H2
, L
H3
, . . . , L
Hk
atf
1
, 2f
1
, 3f
1
, . . . , kf
1
will occur atthemeasurement
12.5 Nonlinear measurements 615
result (Figure12.26). Theharmonic suppressionD
Hn
(indBc) canbecalculatedfrom
theoutput level L
OUT
(indBm).
D
Hn
= L
OUT
L
Hn
. (12.78)
As with equations (12.74) and (12.77), an nth order intercept point for harmonics
can becalculated using D
Hn
instead of D
IMn
. A quitedifferent approach is to usethe
harmonic suppressionvalues D
H1
andD
H2
to predict theintermodulationsuppression
D
IM2
and D
IM3
as presented in reference [15] or [16]. Based on the D
IM2
and D
IM3
valuesaninterceptpointrelatedtointermodulationcanthenbepredicted. However, this
calculationassumes that theTaylor series is avalidapproximationof theDUT, which
maybedoubtful inthecontext of power ampliers.
D
H2
= D
IM2
6.02dB (12.79)
D
H3
= D
IM3
9.54dB (12.80)
Thetotal harmonicdistortion(THD) iscalculatedfromthesumof all higher harmonic
powers divided by thepower at fundamental frequency. It can becalculated fromthe
output level at fundamental frequency L
out
(in dBm) and the higher-order harmonic
levelsL
Hn
(indBm).
THD= 10log
10

n=2
10
(L
Hn
,10)
10
L
OUT
,10

(12.81)
12.5.2 Compression point
Thesaturationeffect seenonthefundamental frequency (seeFigure12.27) is usedto
dene the 1 dB compression point. This is the point (L
IN1dB
, L
OUT1dB
) at which the
level L
OUT
is1dB lessthantheexpectedvalueassumingalinear increase. Most power
ampliers arespecied by theoutput level L
OUT1dB
andnot theinput level L
IN1dB
of
the1dB compression point. A VNA supplemented by high-power setup (seeSection
12.3.7) andcalibratedusingapower meter isaconvenientwaytoachieveapower sweep
andtondthecompressionpoint onthes
21
traceasadropby1dB (seeFigure12.29).
This canbeautomatedby marker functions providingL
IN1dB
andL
OUT1dB
levels. It is
alsocommonpracticetodenea0.1dB compressionpoint.
Insomeliteraturee.g., [15], therelationbetweentheoutput-related1dBcompression
point L
OUT1dB
andtheoutput-relatedthird-order intercept point L
IP3OUT
may befound
asL
OUT1dB
= L
IP3OUT
10.63dB. However, it isonlyvalidif theTaylor seriesapprox-
imation holds truefor theDUT, which is typically not thecasefor power ampliers.
As aruleof thumbadifferenceof typically 10dB to 15dB is observedinreal-world
examples.
616 Amplier measurements
File Trace Channel Display System Window Info Help
Close
1 dB Compression Point
S21
S21 dB Mag 1dB/ Ref 0 dB
Compression Level:
Trc1
26
25
24
22
21
20
21
22
Ch1 Mix Start -15 dB m Freq 4 GHz Stop 15 dB m
LOCAL
- Menu Up-
Eval
Range
...
Define
Compression
Value...
Compression
Point
Phase Delay/
El Length
RMS
Mean/
Std Dev
Mkr 1 14.40 dB m
Trace Statistics
Cmp In:
Cmp Out
11.7 dB m
23.5 dB m
Cmp
2.826 dB
Min/
Max/
Peak-Peak
Trace Punct
1
Mkr 1
1 dB
Trace Statist
Figure 12.29 A power sweepwithautomatedcompressionpoint measurement [4].
12.5.3 Large-signal network analysis
A current application of harmonic measurements is to reconstruct the time domain
signal at theinput and output of aDUT. Timedomain analysis provides deep insight
intoanampliersoperatingclass(A, B, AB, etc.) andhelpstoselect theoptimumbias
point. Traditional timedomainanalysis involvedoscilloscopes andwas restrictedto a
maximumfrequency intheGHz rangeandhadadynamic rangeof about 30dB. This
isnot sufcient for up-to-dateneeds. A VNA operatinginthefrequencydomainoffers
adynamicrangebeyond130dB andamaximumfrequency of 67GHz or evenhigher.
A VNA is able to measure absolute power levels but the phase is always measured
relatively between test ports. It is not possibleto yield meaningful phaseinformation
whendisplayingthephaseof awavequantityversusfrequency. Thephasewill alterfrom
sweeptosweepbecausethereisnoxedphaserelationbetweenstimulusgenerator and
theLO(seeFigure12.13). ThisissufcientforS-parametermeasurementbecause, from
equation(12.19), thecommonarbitrary phaseoffsets of thewavequantities b
i
anda
k
cancels out. To obtainastablephasefor wavequantities, oneof thereceivers must be
freedupso that it canreceivethecombspectrumgeneratedby thesynchronizer. The
synchronizer is driven by axed frequency that is adjusted prior to themeasurement
setup, anddenesthefrequencyspacingof thecombspectrum(seeFigure12.30). The
combspectrumprovidesasetof constantphaserelationsfor differentfrequencieswhich
all wavequantities canberelatedto. This setupis oftencalledalargesignal network
analyzer (LSNA).
12.5 Nonlinear measurements 617
Generator
IF
b1
IF
b2
IF
phase
IF
a1
IF
a2
Receiver section
Test set
Test port 1 Test port 2
DUT
External
termination
Synchronizer
1 GHz 3 GHz
3 GHz 1 GHz
3 GHz + f
IF
1 GHz
1 GHz
1
st
Stimulus
generator
LO
generator
2
nd
Stimulus
generator
Figure 12.30 A VNA supplementedtoformaLSNA accordingtoreference[17].
Afterthecombgeneratorhasbeencongured, areceiverandstimuluslevel calibration
must beperformedusingapower sensor followedbyareceiver phasecalibrationusing
aprecisionphasereference. Thesecalibrationsteps also involvetheuseof astandard
calibrationkit.ThefollowingformulasarebasedontheFourierseriesandthepermissible
assumptionof real-valuedtime-domainwavequantitiesa(t), b(t).
a(Lt m) =
2
2N 1
N1

n=1
[a( f
0
n)[ cos
_
2nm
2N 1
arg(a( f
0
n)
_
(12.82)
b(Lt m) =
2
2N 1
N1

n=1
[b( f
0
n)[ cos
_
2nm
2N 1
arg(b( f
0
n)
_
(12.83)
Lt =
1
f
0
(2N 1)
(12.84)
Intheaboveequations, thetimeresolutionLt isbasedonthefundamental frequencyf
0
andthenumberof harmonicsN.Applyingtechniquessuchaswindowingorextrapolation
withlinearpredictiontothefrequency-domainsamplesmayleadtofurtherimprovement.
Thetime-domainvoltage:(t) andcurrenti(t) canbecalculatedfromthewavequantities
a(t) andb(t) andthereferenceimpedanceZ
0
andtheDCvaluesmeasuredfromthebias
condition(:
DC
, i
DC
):
:(t) =
_
Z
0
(a(t) b(t)) :
DC
(12.85)
i (t) =
1

Z
0
(a(t) b(t)) i
DC
. (12.86)
Anexampleof :(t) andi(t) of anAB classamplier isshowninFigure12.31.
618 Amplier measurements
Time (s)
0
1
2
3
4
5
6
7
500 p 1 n 1.5 n 2 n
Time (s)
0
0
20 m
40 m
60 m
80 m
A V
100 m
120 m
140 m
160 m
500 p 1 n 1.5 n 2 n
Figure 12.31 Voltageandcurrent at anExcelisEPA120B amplier output drivenwith1GHz
sinusoidal input, courtesyof [17].
V
V
(
m
)
A
0 2 4 6
0
20 m
40 m
60 m
80 m
100 m
120 m
140 m
160 m
Figure 12.32 DynamicloadlinecorrespondingtoFigure12.31, courtesyof reference[17].
Thewaveformof theoutput current istypicallydescribedusingtheconductionangle
(in

or rad). Itrepresentstheproportionof theRF cyclefor whichthedeviceisactive.


Figure 12.31 displays a conduction angle = 360

700 ps/1 ns = 252

. A typical
visualizationtool isthedynamicloadlineasshowninFigure13.32. Thetupleof drain
voltageandcurrent (:
D
(t), i
D
(t)) of acompleteRF cycleareplottedontopof theDC
IV curvesthat havebeenprecharacterizedfor different gatevoltages. Figure13.32uses
acolor code(reprintedingrayscale) toassigntherelevant gatevoltagetoall measured
voltages. It reveals that agatevoltagedownto 1.8V is usedandthat aphaseshift
between dynamic gatevoltageand static IV curves occurs. By changing theexternal
terminationinFigure12.30frommatchtoopentheinuenceof loadterminationonthe
dynamicloadlinecanbestudied, whichleadstothetopicof thenext section.
12.5 Nonlinear measurements 619
Load/source-pull
principles
Passive
Principles
Solid-state
tuners
Mechanical
tuners
Active
loop
Active
principles
Source signal
splitting
Stub
tuner
Short or open
Mechanical
sketches
Equivalent
circuits
Multiple screw
tuner
Single screw
tuner
x and y movement
necessary
x and y movement
necessary
Prematching
tuner
Combines 2 slugs
to yield high
2-3 slugs to avoid
x movement
Combines 2 stubs
to yield high
2-3 stubs to avoid
x movement
Non-varying x
tuner
Prematching
tuner
Non-varying x
tuner
Multiple stub
tuner
Single stub
tuner
Slug
Slide screw
tuner
Z
0
Z
0
Z
0
Z
0
Z
y
Z
0
I
0
-

I
0
I
x I
x
I
y
I
y
I
x I
x
DUT
DUT
y
x
y y
x
Figure 12.33 Source- andload-pull principles.
12.5.4 Load- and source-pull measurements
A good power amplier design utilizes thepower capability of an activedevicewith
goodefciency for aspeciedcomplex modulatedsignal. Oneof themaintasks is to
designoptimal input andoutput matching. A straightforwardapproachistodetermine
therequiredimpedances by varyingthesourceimpedanceI
S
andloadimpedanceI
L
providedtotheactivedeviceusingameasurement setupcalledaload- andsource-pull
system. Figure12.33providesanoverviewof principlesthat canbeapplied.
Activesystems arebasedoninjectingsignals withadjustablephaseandmagnitude
to mimic thereectedwaveof aspecic loadimpedance. Therequiredsignal canbe
generatedfromanactiveloopor branchedfromthestimulussource. Thesetechniques
offertheuniquecapabilitytosynthesizeloadimpedanceswith[I[ =1or(tocompensate
620 Amplier measurements
Tuner 3f
0
influences f
0
DUT
Tuner 2f
0
influences f
0
Tuner f
0
Bias
Power
sensor
Figure 12.34 Thecascadedtuner methodof harmonicload-pull.
DUT
Triplexer
(losses, band
limitation)
Tuner f
0
Tuner 2f
0
Tuner 3f
0
Match
Match
Power
sensor
Bias
Figure 12.35 Thetriplexer methodof harmonicload-pull.
for losses) even[I[ > 1. However, activesystemsincludetherisk of oscillationdueto
alooparchitectureor when[I[ > 1isdirectlyappliedtotheDUT.
Passivetunersoperatelikeadjustablelinetransformers. Theyemploycascadedtrans-
missionlines, wheresomeof themhaveadjustableimpedancesandpositions(slidescrew
tuner) or avariableshunt susceptances of oneor moreshort-circuited variablelength
lines(stubtuner). Thenumber of elements(slugsor stubs) canbeincreasedtoeliminate
xmovementor toyieldahighVSWRvalueof approximately200:1fromacombination
of mediumVSWR elements. Tuners canbeoperatedmanually or automatedby preci-
sionsteppingmotors. Alternatively, several xedstubscanbecombinedbyaPIN-diode
switchingnetwork toeliminatethemechanical problems(responsetime, wear-out) but
withthetradeoff of additional lossesandreducedpower handlingcapability.
Anampliers efciency is considerably improvedby shortingits higher harmonics
2f
0
and3f
0
whichmeansthat reectionfactorsof ideally[I
L
(2f
0
)[ = 1and[I
L
(3f
0
)[ =
1withanadjustablephasearerequired. Fundamental tuning, whichcontrols only the
loadconditionI
L
( f
0
) at thefundamental frequency f
0
, canbeexpandedby additional
hardware to control theload condition at oneor moreharmonics (harmonic tuning).
Withacascadedstructure(Figure12.34), theharmonic tuners3f
0
and2f
0
aretypically
placednexttotheDUT toavoidunnecessarydegradationof [I
L
(2f
0
)[ and[I
L
(3f
0
)[ due
to losses. Stub tuners or prematching tuners used for this purposeareoptimized. But
tuningtheharmonics has asignicant effect onthefundamental impedance, requiring
its re-tuning after theharmonics areset and viceversa. This may lead to an iterative
process. The triplexer method (Figure 12.35) uses lters to decouple the tuners and
allows independent tuningof f
0
, 2f
0
, and3f
0
. Themaindisadvantageof thismethodis
limitedbandwidth caused by thelters/triplexer. Activeharmonic pull (Figure12.36)
canbeachievedinseveral ways. It canevenbecombinedwithpassivetuners toboost
their [I
L
(2f
0
)[ and[I
L
(3f
0
)[ values.
12.5 Nonlinear measurements 621
Bias
DUT
3f
0
2f
0
f
0
Figure 12.36 Harmonicactiveload-pull setup.
RF
source
Booster
and
isolator
(optional)
Power
sensor
A
Remote
control
PC
Automatic bias supply
Dual channel power meter
Tuner
controller
High-power
attenuator
(optional)
Filter
and
isolator
(optional)
Output block
Tuners DUT Tuners
Input block
Power
sensor
B
Figure 12.37 Source- andload-pull setupfor performingpower measurements.
Theblockdiagramscanbesupplementedtoformthecompleteload- andsource-pull
setupshowninFigure12.37. It isusedtoperformtypical power measurements. During
aprecalibration step with aVNA directly connected to theinput or output block, the
S-parametersof varioustunersettingsareobtained. Aftercalibration, arequiredloadand
sourceimpedancecombination can besynthesized automatically by thetuner control
software.
TheDUT measurement relies onthepower measurements performedby two power
sensors and a correction scheme that calculates the available input power P
AS
at the
DUT fromtheavailablegainof theinput block (seeformula(12.33)) andthereadout
of power sensor A. By takingthedeliveredpower (power sensor B) andtheoperating
power gain(seeformula(12.34)) of theoutput block, thedeliveredpower P
DO
fromthe
DUT canbecalculated. Usingthesetwocorrectedmeasurement values, thetransducer
gaincanbecalculatedasfollows:
G
T.DUT
=
P
AS
P
DO
(12.87)
622 Amplier measurements
Other typical propertiescanbeanalyzedasafunctionof theload/sourcematch:
r
PAE
2
calculatedfromformula(12.41);
r
harmonicdistortionusingaspectrumanalyzer insteadof power sensor B;
r
intermodulation distortion by replacing the RF source with a two-tone source as
describedinFigure12.28andusingaspectrumanalyzer; becausetuners synthesize
thedesiredimpedancesonlyinanarrowbandmanner, spacingof thetwo-tonesignal
must beselectedcarefully.
Theimpedancesettingof thetuner cannotbemonitoredduringDUT measurement. The
measurement relies on the repeatability of the tuner. One means of verication is to
replacetheDUT by athrough with known S-parameters. Using formula(12.32), the
transducer gain G
T
of thethrough can bepredicted for various source- and load-pull
settings (I
S
, I
L
). Thesevalues arethencomparedto thetransducer gainmeasuredas
describedabove.
Beforethedeliveredinput power at theDUT canbecalculated, theinput reection
factor of theDUT must bedetermined. This measurement also reveals that theinput
reectionfactordependsonboththepowerlevel andtheloadmatching. Todothis, power
sensor A anditscoupler arereplacedby thetest set of aVNA. TheVNA iscalibrated
totheinput of thesourcetuner, andthereferenceplaneisthenshiftedtotheDUT, thus
de-embeddingtheinputblockbyitsknownS-parameters. Similar measurementscanbe
doneat theoutput toobtaintheavailablepower of theDUT.
Thetuner and coupler can beinterchanged so that thecoupler is next to theDUT.
For greater insight, thisarrangement canbeusedwithaLSNA (seeFigure12.30). The
inuenceof theLSNAs test set has tobeincludedinthesource/loadblock character-
ization(precalibrationstepof tuners). Thetest sets loss may prevent thesystemfrom
applyingthetunersmaximum[I[ totheDUT.
12.5.5 Hot S-parameters
The characteristics of PAs are power-level dependent, especially since the ampliers
areoperated near their 1 dB compression point. Besides thegain compression of s
21
as showninFigure12.29, other changes incharacteristic values likes
22
canberecog-
nized. Itisthereforenecessarytoperformmeasurementsunderreal operatingconditions.
As described in Section 12.3.3, astandard s
22
parameter measurement would beper-
formedinreverseoperation. Thismeansthat thestimulusat port 1isswitchedoff, and
port2becomestheactiveportinstead. Thisdoesnotcorrespondtotheoriginal operating
condition.
Inthehots
22
measurement, theamplierhasaninputsignal attheoperatingfrequency
f
1
appliedtoit. Thelevel of thissignal isconguredsuchthat theamplier exhibitsthe
output power level that it is designedfor. At thesametime, areectionmeasurement
isperformedat theoutput at theprobefrequencyf
2
= f
1
Lf. Thepower usedfor this
probe tone is signicantly lower than the ampliers output level (typically 30 dB
lower). Thea
2
-wave and b
2
-wavearerecorded at theprobefrequency f
2
. Depending
on theshapeof IF lter used in theVNA, thefrequency spacing Lf should bethree
12.6 Modulated measurements 623
S22 without stimulus at port 1
Hot S22
S22
0
-10
-20
-30
-40
-50
Port 1 fb 1.4 GHz 3 GHz Pb 12 dB m fb +
10
MHz
1.41 GHz 3.01
12 dB m
12 dB m
-20 dB m 0 dB m - 20 dB
Pb
Pb
1.4 GHz 3 GHz
1.4 GHz 3 GHz
1.41 GHz 3.01 GHz fb + 10 MHz
fb
fb
Displayed Columns Balanced and Measured Ports
Stimulus Same Connector Type at All Ports
OK Cancel Help
Freq Conv Off
Measure "a" Waves at
Receiver Frequency
Source Frequency
Meas Source
Port Configuration
Physic
# Gen Frequency Frequency Result Frequency
Receiver
Frequency Result Power Power Resu
Port 2
Port 3
Port 4
-70
-60
-80
Arb Start 1.4 GHz Pwr -25 dB m Stop 3 Ghz
Figure 12.38 Hot s22measurement performedusingastate-of-the-art VNA andtheDUT present
betweenport 4(input) andport 2(output).
to ten times the value of the IF bandwidth to ensure sufcient separation between
operating frequency and probe tone. Nevertheless, the S-parameter measured at f
2
is
close enough to f
1
to determine the output impedance of the amplier at f
1
under
regular forwardoperatingconditions. TheexampleinFigure12.38hasbeenmeasured
withastate-of-the-artVNA, whichinvolvestwointernal stimulusgeneratorscongured
in the dialog additionally shown. Systemerror correction is used to ensure traceable
results.
The concept of hot S-parameters can be expanded to use it in a load-/source-pull
environment [18]. A further extension is to use the intended modulation pattern at
frequency f
1
, as thereal-worldoperatingsignal of theamplier [19]. This will leadto
thediscussioninthenext section.
12.6 Modulated measurements
For wireless information transmission, a sinusoidal carrier is modulated by a base-
bandsignal that containsthedesiredinformation. Themost signicant effect for power
ampliers is that modulation usually causes atimedependent variation of thecarrier
magnitudewhichisreferredtoasmodulationenvelope. Thenonlinearitiesdescribed
inSection12.5causeimperfect reproductionof theampliedsignal resultingindistor-
tionandchannel interferences. Thissectiondescribes themeasurement of therelevant
guresof merit.
624 Amplier measurements
12.6.1 Crest factor and CCDF
Thepeak-to-averagepower ratio (PAR) describes therelationbetweenthepeak power
and the average power of an RF signal and is usually stated in dB. Instead of the
power the crest factor (CF) may use other parameters such as voltage or current. In
this case, theaveragevaluehas tobereplacedby therms value. Assumingtherelated
impedances to be independent of the level (linear approach), then the resulting crest
factorswill bethesameasthosederivedfromthepower ratios.
The rst denition calculates the crest factor CF
1
based on the highest amplitude
peakpower P
MAX
thatoccursinthemodulatedcarrier signal anditsaveragepower value
P
AVG
.
CF
1
= 10log
10
_
P
MAX
P
AVG
_
(12.88)
Thesecond approach, which leads to asmaller crest factor CF
2
, uses theratio of the
peak envelop power P
PEP
of the modulation envelope to its average value P
AVG
(see
Figure12.4of Section12.2.1).
CF
2
= 10log
10
_
P
PEP
P
AVG
_
= CF
1
3.01dB (12.89)
Thecrest factor of periodic signals (e.g., CW, regular pulsedCW) canbemeasured
by comparingtheresults of apeak power sensor andathermal power sensor. But this
isnot thecasewithrandommodulatedsignalssuchasOFDM, CDMA, andWCDMA.
Duetotheir statistical nature, averylongobservationtime(e.g., several years) wouldbe
necessary until their exact peak power occursagaininthesignal. A compromiseusing
apractical observationtime(secondsor minutes) isnecessary. For thesemeasurements,
high-endspectrumanalyzersprovidethenecessaryfunctionality. Theyalsodisplaythe
measuredcomplementarycumulativedistributionfunction(CCDF), whichdescribesthe
statistical probability of theoccurrenceof signal peaksthat aregreater by afactor of k
indB thantheaveragevalue. Here, too, it isimportant todistinguishwhether valuesare
relatedtothecarrier or theenvelope. TheCCDF helpstheoperator toconsider theright
observationtimeandmakesit possibletocomparethedegradationof theCCDF dueto
compressionor clippingeffects.
Asidefrommultiplecarrier modulationtechniques(e.g., OFDM), other applications
such as satelliterepeaters or cellular basestations requiresimultaneous amplication
of multiple signals by multicarrier power ampliers (MPCPA). An MPCPA has to
copewithanincreasedcrest factor different fromthat of singlecarrier operation, even
if theindividual signals exhibit acrest factor of CF
2
= 0dB (e.g., constant amplitude
modulationpattern).Thereforetestingof anMPCPAmustbeperformedwithanadequate
multicarrier signal.
Consideringthermal issuestheaveragepower P
AVG
ismost important. However, the
highest amplitudepeak power P
MAX
or itsrelatedvoltageareresponsiblefor ashover
andpossiblyastandingarcaswell asintermodulationeffects.
12.6 Modulated measurements 625
Comlementary Cumulative Distribution Function (10000000 samples)
Before predistortion
After predistortion
RBW 10 MXs
ACT 312.5 xs 40 dB Att Ref 11.7 dB m
0.1
0.01
1E-3
1E-4
1E-5
2 SA
VIEW
1 SA
CLRWR
1E-6
Center 690 MHs 2 dB/ Mean Pwr + 2c dB
Trace 1 Trace 2
Mean
Peak
Crest
-3.54
6.10
9.64
dB m
dB m
dB
-3.55
5.60
9.16
dB m
dB m
dB
10% @
1& @
.1% @
3.65
6.47
7.92
dB
dB
dB
3.65
6.28
7.53
dB
dB
dB
A
Figure 12.39 CCDF of aDVB-T signal (OFDM) measuredat aPA output (enveloperelated
values) beforeandafter predistortion[20].
Figure 12.40 Inuenceof anonlinear amplier onamultitonesignal.
12.6.2 Adjacent channel power ratio (ACPR)
Each wireless device operates in a specic frequency channel to which it has been
assigned, which will be temporary when using frequency hopping. Leakage of the
channel power can lead to interference with other services. A two-tone approach to
mimic thescenario was introducedinSection12.5.1. Thethird-order intermodulation
products havebeen identied as particularly disruptivesincethey arerelatively close
to theuseful signal andaredifcult to suppress by ltering. Therefore, they normally
affect adjacent channels. Toobtainamorerealistic scenario, thenumber of toneswith
equal amplitudeshastobeincreasedtoN2. Assumingthetonesareequallyspaced
withLf, theTaylor seriesmodel will leadtoaspectrumsimilar tothat inFigure12.40.
Thethird-order intermodulationproducts formaspectral re-growthof N1tones on
bothsidesof theN-tonechannel.
626 Amplier measurements
Remote control
(Optional e.g. for
Sweept ACPR
Measurements)
PC
RF
RF
Data
Q I
I
Q
RF
I=44.1 MHz, P=-18dB m
Modulation: ON
IQ Input : EXTERN
Ref. Osc. : INTERN
Contor : 44.1 MHz
Stop Att : 30 dB
Ref. Osc. : EXTERN
Rof. Level : 0 dB
RBN : 30 kHz
SWP Tine : 2s
Detector : RMS
I/Q-Modulated
RF generator
Baseband
generator
DUT
Spectrum analyzer
10 MHZ
TRG
1st IF 3rd IF
Simplified block diagram of a spectrum analyzer:
Step
Attenuator
1st
mixer
Analog
filter Log. Amp.
& DSP
-19.3 dB m RMS
-9.3 dB m peak
-18 dB m RMS
-6 dB m peak
19 dB m (RMS)
31 dB m (peak)
High-power attenuator
(optional)
Amplifier under test
(e.g. with Pull-environment)
-18 dB m RMS
-6 dB m peak
Figure 12.41 TypicallysetuptoperformACPR measurements.
TheACPRor adjacent channel leakageratio(ACLR) isacommonlyacceptedmetric
forquantifyingtheeffectof spectral regrowthfordigitallymodulatedsignals. Theratiois
usuallyexpressedasthetotal poweroveracertainfrequencybandBadjacenttothemain
channel versusthetotal power inthemainchannel. Thetotal powerscanbedetermined
byintegratingtheappropriatepower spectrump( f ) over therelevant frequencyranges.
Insomecases, anfrequency responseH( f ) of thepulse-shapinglter (typically raised
cosine) is applied. TheACPR has theunit dBc. It depends onthesignal characteristic
(e.g., modulation standard, power, frequency channel, number of coded channels in
OFDM). Usuallyadistinctionismadebetweenupper ACPR andlower ACPR referring
totheleakageaboveor belowthemainchannel. A typical exampleof spectral leakage
causedbyanamplier issketchedinFigure12.41at theDUTsoutput. Additionally, an
alternatechannel power ratio(ACPR2) isspeciedusingahigher frequencyoffset than
theACPR.
Theintegratedandweightedadjacent channel powerscanbeexpressedbythelevels
L
UP
(indBm) or L
LOW
(indBm) of upper or lower channels, respectively. Theintegrated
andweightedmainchannel power isstatedbythelevel L
CH
(indBm).
ACPR
LOW
= 10log
10

_
lower channel
p( f )[H( f )[
2
df
_
main channel
p( f )[H( f )[
2
df

= L
LOW
L
CH
(12.90)
ACPR
UP
= 10log
10

_
upper channel
p( f )[H( f )[
2
df
_
main channel
p( f )[H( f )[
2
df

= L
UP
L
CH
(12.91)
Theintegrated bandwidth method uses aspectral integration to calculatethechannel
level L
CH
. It canbeperformedusingaspectrumanalyzer withtheresolutionbandwidth
12.6 Modulated measurements 627
B
RBW
set narrowcomparedtothechannel bandwidthB
CH
(typicallyB
RBW
,B
CH
= 0.5to
5%). Theminimumtimet
SWP
necessaryforonefrequencysweepof LF canbeestimated
usingatypical factor C = 3andthefollowingformula.
t
SWP
= C
LF
B
2
RBW
(12.92)
Because of the modulated signals randomnature an RMS detector and a manually
increasedsweeptimeof about 15 t
SWP
isrequiredtoyieldastabletrace. Tocalculate
thelevel L
CH
theindividual levelsL
n
of theNfrequencysamplesintherelevantfrequency
rangehavetobesummedupaccordingtoformula(12.93). A correctionfactor K
RBW
=
1.06to1.14isusedtoobtainthenoiseequivalent bandwidthfromtheresolutionband-
widthB
RBW
.Theterm[H(Lf n)[
2
withthefrequencystep-widthLfisusedtoimplement
thespectral weighting.
L
CH PWR
= 10log
10
_
B
CH
K
RBW
B
RBW
[H(Lf n)[
2

1
N
N

n=1
10
L
n
,dBm
_
(12.93)
The levels L
LOW
and L
UP
can be determined in a similar way. Automatic spectrum
analyzer functionalities are available for these numeric calculations. A typical setup
for performingACPR measurementsonapower amplier isshowninFigure12.41. It
consistsof anIQ-modulatedRF source(dependingonthemodulationschemeusingan
external basebandgenerator) andahigh-endspectrumanalyzer.
A trigger signal (seeFigure12.41signal TRG) or abroadbandlevel detector inside
thespectrumanalyzer(RFtrigger)hastobeusedwhenmeasuringTDMAsystems.These
systems pulsetheir signal power to providetimeslots for other services. Measurement
valuesshouldonlyberecordedwithintheactivetimeslots(burst) andthesweepmustbe
pausedoutsidetheactivetimeslots. TheACPRcausedbythetransienteffectscannotbe
detectedcorrectlybytheintegratedbandwidthmethodduetothefactthatitsnarrowband
resolutionlter (B
RBW
) causes acomparably longsettlingtime. Analternativemethod
describedinthenext paragraphispreferredinthesecases.
Themethodof channel power measurement intime-domainis adifferent approach
that omits formula (12.93). Using digital signal processing then any type of channel
lter H( f ) canbedigitally implementedinthespectrumanalyzer. Thisallowschannel
power measurements in the time domain with the spectrumanalyzer working like a
tunedreceiver. Inthiswayitispossibletoobtainashortmeasurementtime. Themethod
yields much better reproducibility of results and detects transient signals (e.g., from
TDMA systems) correctly. If several channelsaretobemeasuredthespectrumanalyzer
successivelytunestotherespectivechannel center frequencies.
Onemainaspect of all themethodsistoavoidanysignicant ACPR fromoccurring
inthespectrumanalyzer. A simpliedblock diagramof aspectrumanalyzer is shown
inFigure12.41, upper right. Theavailabledynamicrangeof thespectrumanalyzer has
tobeconsideredtoensureminimal phantomreceptionintheadjacent channels:
Thepeakpower of themeasuredchannel hastobewithinthelinear regionof therst
mixer andthestagesinfront of theIF lter (RBW). Thestepattenuator canbeusedto
628 Amplier measurements
ACPR due to
inherent noise floor
ACPR due to inherent
spectral regrowth
Total ACPR
Mixer Level/dB m
22 24
95
90
85
80
75
65
70
60
55
50
20 18 16 14 12 10 8 6 4
ACPR/dBc
Figure 12.42 Contributionsfor inherent ACPR of ahigh-endspectrumanalyzer dependent onits
input mixer level [22].
optimizethepeak envelopepower level at therst mixer to avalue10 dB belowthe
1dB compressionpoint of therst mixer.
Thenoiseoordeterminesthelowerlimitforpowermeasuredintheadjacentchannels.
A spectrumanalyzers noisegureNF is typically intherangeof 13dB to 19dB. A
correctionfactor K
H( f )
is usedtoobtainthenoiseequivalent bandwidthfor thepulse-
shapinglter H( f ). Thethermal noisepower level N
CH
withinthechannel bandwidth
B
CH
canbecalculatedaccordingtothefollowingformula.
N
CH
= 174dBm10log
10
_
K
H( f )
B
CH
1Hz
_
dBNF (12.94)
In this example a noise gure of NF = 15 dB, a channel bandwidth of B
CH
=
4.096MHz andacorrectionfactor K 1(typical K for all commonH( f )) isassumed.
Therefore, formula (12.94) leads to a noise power level of N
CH
= 92.8 dBm. The
phase noise of the spectrumanalyzers internal local oscillators leads to an inherent
noisein theadjacent channel (reciprocal mixing). Thespectrumanalyzer assumed in
this exampleexhibits acontributionof 85dB belowthetransmit carrier whichcanbe
neglected.
Thedynamic rangecanbevisualizedasshowninFigure12.42. Thehorizontal axis
of thediagramshows thechannel power at therst mixer. This is thesignal power at
thespectrumanalyzers RF input minus thestep attenuator setting (seeFigure12.41,
upper right). Thevertical axisshowstheinherent contributionof thespectrumanalyzer
12.6 Modulated measurements 629
Center 2 GHz 1.5 MHz
Span 15 MHz
cu1
cu1
CO
1RM
[T1]
CH PWR
ACPR Up
ACPR Low
102.04 dB m
1.99250000 GHz
17.99 dB m
62.60 dBc
62.64 dBc
CO
cI1
cI1
90
100
110
120
130
80
70
60
50
40
30
30 dB m
Marker 1 [T1]
-102.04 dB m
RBW 30 kHz RF Att 0 dB
vBW L MHz
SWT 2 s Unit dBm
1.99250000 GHz
Ref Lvl
1
Figure 12.43 Displayof ACPR test result [21].
to the ACPR for a channel bandwidth of B
CH
= 4.096 MHz (in this example). The
contributionduetothespectrumanalyzersthermal noiseoor reduceswithincreasing
input level and is described by a slope of 1 dBc/dBm. The ACPR due to inherent
spectral re-growthincreaseswitha2:1slopelikethird-order intermodulationproducts
(seemultitoneapproachat thebeginningof thissection). The2:1slopewill beshifted
vertically dependingonthecrest factor of thesignal applied. This is duethefact that
thehorizontal axisrelatestothechannel power whilethespectrumanalyzers inherent
intermodulationdependsonthepeakpowerapplied. InFigure12.42awidebandCDMA
signal withacrest factor CF
2
= 12dB was assumed. This valuealso depends onthe
typeandnumber of codedchannels. Thepower contributionof all theseinherenteffects
canbecombinedtoformthetotal inherentACPR. Theoptimumtotal ACPRof 73dBm
isobtainedwitharst mixer input level of 16.2dBm.
Anexampleusingan 18dBmchannel power level andastepattenuator settingof
0dB is showninFigure12.43. ThemeasuredACPR values of about 62.6dBc can
clearly be assigned to the DUTs broadband noise because Figure 12.42 reveals an
inherent ACPR contributionof 72.6dBc. Thisis10dB belowthemeasuredvalueand
causesanerror of approximately0.5dB.
630 Amplier measurements
Error vector
Actual vector
Intended vector
I
Q
Figure 12.44 Illustrationof theerror vector.
12.6.3 Noisepower ratio (NPR)
Aspointedout inFigure12.40, thethird-order intermodulationproductsof amultitone
signal with N > 2 tones also affect the in-band level of the N-tone channel as the
third-order intermodulation products coincide with some of the in-band signals. The
noisepower ratio (NPR) assumes the amplier is driven with Gaussian noise and a
notchplacedinonesegmentof itsinputspectrum. Nonlinearitiescausepower toappear
inthenotchedbandof thespectrumwhenthesignal passestheamplier. TheNPRisthe
ratioof thenotchpowertothetotal signal power. Thenumericcalculationpresentedwith
formula(12.93) caneasilybeadaptedtotheneedsof thismeasurement. TheRF source
of Figure12.41 has to bereplaced by asuitableGaussian shaped noisesourceand a
notchlter. Topreventthemismatchof thestop-bandof thenotchlter attheampliers
inputfrominterferingwiththeamplier, anisolatorshouldbeinsertedbetweenthelter
andtheamplier under test.
12.6.4 Error vector magnitude (EVM) and constellation diagram
The EVM is a convenient metric of how intermodulation products, memory effects
and noisecontributions affect thedetection process in thebaseband. At theoutput of
apower amplier (which is located at thebeginning of thetransmission chain) noise
contributionsshouldbenegligible. Memoryeffectsaredescribedinlater sections. The
EVM is dened as the distance between the intended and the actual signal vectors,
normalizedto afractionof thesignal amplitude(seeFigure12.44). TheEVM is thus
statedinpercentage. It isimportant todistinguishwhether valuesarespeciedaspeak
or RMSvalue. Typical moderncommunicationstandardsrequireanEVM of 22to30%
peakand7to12%rmsdependingonthecommunicationstandard(detailsmaybefound
intheapplicablestandards).
A setupsimilar tothatof Figure12.41canbeusedtomeasuretheEVM, butthespec-
trumanalyzer hastobereplacedby avector signal analyzer asshowninFigure12.45.
Therst analogstagesof bothinstrumentsareverysimilar. Thesamplesobtainedfrom
12.6 Modulated measurements 631
RAM
A
D
X
I
NCO
Q
90
Digital
filter
IQ Reference
signal
IQ Error
signal
IQ Measurement
signal
Re{X}
Im{X}
Digital
filter
Step
attenuator
1st
mixer
Analog
filter
A/D
converter
1st IF
RF
IQ
Analog section
Demodulator Modulator
Fitting Synchronization
IQ-Samples
(baseband)
3rd IF

+
Figure 12.45 Blockdiagramof amodernVNA.
thedigital IQdown-conversionprocessarestoredinarandom-accessmemory (RAM)
insteadof feedingthemtotheselecteddetector asnormally occursinaspectrumana-
lyzer. A vector signal analyzer employsadigital-signal processor (DSP) toperformthe
followingstepsonthereadout of theRAM. A combinationof demodulator andmodu-
lator blocksisusedtogenerateanundistortedIQreferencesignal byemployingthebit
level betweenbothblocks. Thesynchronizationblockcompensatesthemeasuredsignal
(RAM readout) for center-frequency offsets, phaseandsymbol timing. Themeasured
synchronizedsignal isthenttedtotheundistortedIQreferencesignal. Themagnitude
andphaseparametersappliedinthisprocesscorrespondtotheRMSvalueof theEVM.
Finally, acomparisonbetweentheundistortedIQreferencesignal andthemeasuredIQ
signal iscarriedout toprovidemagnitudeandphaseerror versustime.
Themeasurement valuesobtainedinFigure12.45canbeusedtoplot aconstellation
diagram. This diagramis thebaseband representation of theindividual symbols nor-
malizedtoacomplexplanespannedby 1to1andj toj. Thetransientsbetween
symbolsmay not beplotted. Ideally, eachsymbol isassignedtoasinglediscretepoint
of thediagram. Practical measurementsshowacloudpatternaroundeachideal constel-
lationpoint. Thesizeof thecloudsandtheir center positionsgiveimportantinformation
(e.g., saturationeffectswill shift theouter constellationpointstowardstheoriginof the
diagramor insufcient phasesynchronization will lead to sickleshaped constellation
points).
Alternatively, theideal andmeasuredI or Qsignalscanbeplottedversustime. This
canhelptodetectcertainsymbol sequencesthatleadtomaximumerror, e.g., causedby
memoryeffects.
632 Amplier measurements
1
1
0.8
0.6
0.4
0.2
0
0.2
0.4
0.6
0.8
1
0.6 0.4 0.2 0 0.2 0.4 0.6 0.8 1
real part
I
m
a
g
i
n
a
r
y

p
a
r
t
conscellation diagram
0.8
Figure 12.46 Constellationdiagramfor a[21] DVB-T signal.
12.6.5 AM/AM and AM/PM measurements
The various levels applied by high-order digital modulation schemes can be used to
plot theamplitudeerror as afunction of theideal levels provided by theundistorted
IQ reference signal. This diagramis also called AM/AM distortion characteristic. If
thesignal power is inthevicinity of the1dB compressionpoint, theamplitudeerror
can be used to predict a dynamic 1 dB compression point. A similar diagramcalled
AM/PM distortioncharacteristic canbeobtainedfromplottingthephaseerror instead
of theamplitudeerror. A setupsimilar toFigure12.41involvingavector signal analyzer
(Figure12.45) can beused to performtheAM/AM and AM/PM measurements. The
measurement result of Section 12.5.2, Figure 12.29 is very similar to the AM/AM
measurement above. Insteadof themagnitudeinFigure12.29thephaseof s
21
alsocan
bedisplayedleadingtoanAM/PM diagram. But themaindifferencetothediscussion
presentedaboveisthatthepowersweepappliedinFigure12.29exhibitsacomparatively
slowtimegradient. For amemory-lessamplier theapproachesof Sections12.5.2and
12.6.5shouldleadtothesameresults.
12.6.6 Memory effects
TheTaylor seriesmodel assumedinSection12.5didnot consider anydynamiceffects.
However, memory effects exist and createanother typeof distortion. Memory effects
12.6 Modulated measurements 633
areidentiedusingvariable-ratesweptAM/AM measurementsor applyingpulsedmea-
surements with variable pulse repetition rate. Memory effects also come to attention
throughtheasymmetryintheoutput intermodulationproducts. Another result of mem-
oryeffectsistheAM toPM conversion. Memoryeffectscanbeclassiedaslong-term
andshort-term. Long-termmemory effects arecausedby electro-thermal interactions,
varyingpower supply, andelectrontraps. Short-termmemoryeffectsarearesult of the
transistorstimedelaysthataremodeledbyenergystorageelementssuchascapacitances
or inductances. Nonlinear chargefunctions result inaninstantaneous phaseanglethat
ismodulatedduetothelevel-dependent instantaneouscapacitance. Evenlinear charge
functionscombinedwithnonlinear conductanceresult inaninstantaneousphaseangle
that ismodulatedbythevaryinginstantaneousconductance.
12.6.7 Pulsed measurements
Pulsed operation can becompared to avery simplemodulation schemethat switches
thecarrier onandoff (on-off keying). Radar applications useavery short RF burst of
several tensof nanoseconds. Ampliersfor themobilecommunicationmarket suchas
GSM mobilephonesareoftendesignedfor pulsedoperationusingtheir biasvoltageto
realizetheon andoff state. Continuousoperationwoulddamagetheampliersdue
tooverheating. Thedesiredperformanceof theseampliers canonly bestudiedunder
pulsedconditionsandmeasurementsmustbeadaptedtothisrequirement. A periodically
pulsedsignal canbedescribedbyitscarrier frequencyf
c
, pulsewidtht
p
andpulseperiod
T (see Section 12.2.1 Figure 12.4). Some related parameters are the duty cycle D =
t
p
,T andthepulserepetitionfrequency PRF = 1,T. Thefollowingmeasurements can
beperformedusingamodernVNA.
Thepoint-in-pulsemethod, acquiresthemeasurementdataonlyduringtheon-stateof
theRF bursts. Therefore, it isnecessarythat thesamplingtimetoacquirethemeasure-
ment datais shorter thanthepulsewidtht
p
. Thesamplingtimeis mainly determined
by themeasurement bandwidth of theanalyzer. To obtain asampling timeof 200 ns
(too long for someof theradar applications) a measurement bandwidth of at least 5
MHz isrequired. A samplingtimeof 0.500ms(sufcient for most GSM mobiles) can
typically beachievedwitha300kHz measurement bandwidth. Theresultingdynamic
range(noiseoor) is dependent on themeasurement bandwidth used in theanalyzer
and thereforerelated to thepulsewidth t
p
. Thedynamic ragecan beimproved using
averaging, whilekeepingthemeasurement bandwidthto its needs. A trigger signal is
necessary to ensure that data acquisition is done only during the on-state of the RF
bursts. Thepoint-in-pulsemethodisnotsuitabletoanalyzetheveryshorttransientstate
of theamplier under test. Inorder nottoacquiredataduringthetransientstateatrigger
delaymustbeusedandtheacquisitiontimemustbeshortenoughtomakesurethatdata
samplingisonlydoneat thesettledroof of thepulse.
Theaveragepulsemethodusesatotallydifferent approach. Thepulsedsignal canbe
describedasaCWsignal of frequencyf
c
thatismultipliedbyaLF signal. TheLF signal
toggles between0 and1 statewiththepulserepetitionfrequency PRF andaduty
634 Amplier measurements
cycleD. Themultiplicationinthetimedomainis aconvolutionof thespectraof CW
andLF signalsinthefrequencydomain, i.e.
a
1
( f ) =
A
1
2
(( f f
c
) ( f f
c
)) D

k=
sin(Dk)
Dk
( f k PRF) (12.95)
Theconvolutionof equation(12.95) canbesimplied. If asinglesidebanddescription
isused(onlyfrequenciesf 0areexclusivelyconsidered) thenonewouldobtain
a
1
( f ) =
A
1
2
D

k=
sin(Dk)
Dk
( f f
c
k PRF) (12.96)
This is acomb spectrumthat has asin(x),x envelop and is centered on thecarrier
frequencyf
c
. ToperformS-parameter measurementsit issufcient toobservethesame
specictoneinbothwavequantitiesa
k
andb
i
(seeformula(12.19)). Forthispurposethe
spectral component at thecarrier frequencyf
c
isusedbecauseit exhibitsthemaximum
power. Thesin(x),xenvelopbecomes1atthiscomponent. Thus, themagnitudeobtained
atfrequencyf
c
isscaledbythedutycycleD(seeformula(12.96)). Themeasuredpower
is therefore quadratically related to the duty cycle D. A duty cycle of D = 1% thus
reducesthesignal-to-noiseratioby 40dB. Thedistancebetweentwoadjacent spectral
components( f f
c
k PRF) isgivenbythepulserepetitionfrequency PRF. Inorder
tosuppress all spectral components different from( f f
c
) ameasurement bandwidth
considerably smaller than the pulse repetition frequency must be selected (typically
B
RBW
- PRF,10). Toachieveasuppressionof 40dB andmore, special highselectivity
lters may be used. If necessary, the carrier frequency and the pulse spectrumcan
be shifted and the measurement can be repeated at that different carrier frequency.
Proceeding like this yields a swept measurement result. The additional tones of the
pulsespectrumareonlyusedtosupport realisticoperationconditions, likeapplyingthe
correct biasvoltage.
Thepulseprolemethodis intendedto analyzethetimedependent behavior of the
DUT duringaburst includinganalysisof theriseandfall timeaswell asovershoot and
drop. For thispurposethemeasurementmusthaveatimeresolutionsignicantlyshorter
thanthepulseduration.
Toovercomethetimeresolutionlimit knownfrompoint-in-pulsemethodavariable
trigger delayandatimewindowisusedtochopup thepulsedsignal intosliceswith
different timingpositionswithinthepulse. Thetrigger delayiskept constant over some
hundredpulseinstances. Asaconsequencethemeasurementbandwidthcanbeselected
accordingtotheaveragepulsemethod. Thetrigger delayisthenincreasedandthenext
set of slices with aconstant trigger delay is measured. Finally, thepulsewaveformis
reconstructedfromthemeasurement resultsobtainedat different trigger positions.
Theresults measured on apulsed power transistor areshown in Figure12.48. The
measurement was performed using two external couplers and a booster amplier as
describedinSection12.3.7(Figure12.17right). Thepulsedsignal canbeprovidedbya
pulsemodulator connectedintothevector networkanalyzersgenerator pathorbyuseof
anexternal RF sourcethat canbepulsed. Inthelower half of Figure12.48anovershoot
12.6 Modulated measurements 635
Floating trigger delay
Measurement with const. trigger delay
Sliced
pulses
of a
1
Pulse
envelop
of a
1
Moment of observation
t
t

D

D

D
2
D
2
D
2
D
3
D
3
D
3
D
Figure 12.47 Anexampleof pulsechopping[23].
50.2
Ch1 Profile Start -10 s
Ch1 Profile Start -10 s
Trc6 S21 Phase 1/ Ref 80 Cal Math
Freq 1.3 GHz Pwr 0 dB m
Freq 1.3 GHz Pwr 0 dB m
Stop 20 s
Stop 20 s
3
2
TRG
TRG
M3
M3
M4
S21
M3
M4
M4
M1 20.0000 s 20.600 dB
M2 200.0000 s
M3 260.0000 ns 20.891 dB
M4 2.0000 s 20.612 dB
M1 20.0000 s 50.420 dB m
M2 200.0000 s
M3 260.0000 ns 50.653 dB m
M4 2.0000 s 50.261 dB m
M1 20.0000 s 81.277
M2 200.0000 s
M3 260.0000 ns 81.119
M4 2.0000 s 82.969

50.4
50.6
50.8
51.0
51.2
51.4
51.6
51.8
b2
Trc7 S21 dB Mag 0.2 dB/ Ref21 dB Cal Trc8 b2 dB Mag 0.2 dB/ Ref51 dB m Math
Figure 12.48 Pulseprolemeasurement of anradar transistor [22].
of s
21
at thebeginningof thepulsecanclearlybeidentiedaswell asaphasedeviation
of approximately2

that graduallysettles.
12.6.8 Bit error ratio (BER) and symbol error ratio (SER)
Theguresof merit describedinthissectionarerelatedtosignal quality. Digital mod-
ulation techniques allow somedegradation of signal quality whilecausing almost no
lossof information. Describingsystemsonamoreabstract level requiresametric that
636 Amplier measurements
characterizesthetransmissionquality. TheBERisthenumberof erroneousbitsreceived
dividedbythetotal number of bitstransmitted. TheSER isdenedinthesamewaybut
considers thesymbols whichtypically encompass several bits (e.g., 6bits per symbol
for theconstellationdiagramFigure12.46). Themeasurement of theBER andSER is
doneusingabit error tester. For amplier test asetupsimilar to Figure12.41is used.
Thebasebandgenerator isusedtoformapseudorandombinarysequence(PRBS) that
modulates the RF generator. The output signal derived fromthe amplier under test
is demodulated using a spectrumanalyzer or another suitable receiver. The decoded
bit streamis fed back to thebaseband generator and synchronized. Thedatabits are
checked for errors. The total of the transmitted bits and the faulty bits are counted.
If thestreamcontainsheadersor guardbits, thecountingprocessshouldbepausedfor
thosebits.
12.7 Noise measurements
Active and passive circuits are subject to noise. Noise occurs in various forms such
as thermal noise, Schottky noise, etc. Those that are relevant to RF amplier design
exhibitnearlyuniformnoisepower spectral density. Thethermal noisepower P
N0
thatis
availablefromaresistor attemperatureT
0
=290K (=16.8

C=62.3

F) toamatched
load can becalculated as follows using Boltzmanns constant k = 1.38 10-23Ws/K
andthenoiseequivalent bandwidthB:
P
N0
= kT
0
B = 4 10
21
W
Hz
B (12.97)
correspondingto
N
0
= 17410log
10
_
B
Hz
_
dBm (12.98)
TheavailablenoisepowerP
NO
(notthedeliverednoisepower) isindependentof thenoise
sourceimpedance. ThetemperatureT
0
=290

K usedabovehasbeensetasareference
valuebyIEEE standards. Thenoisepower P
N
typicallyexhibitsverysmall valuesandis
cumbersometoexpress. Sincemost noisecalculationsarebasedonsumminguplinear
values, thedBmscaleof Ncannot serveasanalternative. Themost convincinganswer
offering convenient values is to describethenoisepower by a ctitious temperature.
ThisnoisetemperatureTdoesnotmeanthatthedeviceisatthatphysical temperature.
P
N
= kTB (12.99)
correspondsto
N = 10log(kTB,1mW) (12.100)
12.7 Noise measurements 637
12.7.1 Amplier noise factor and noise gure
Thisapproachconsidersanamplierembeddedinamatchedenvironmentwithasystem
impedanceZ
0
. Theampliers availablegain G
a
applies to boththesignal power P
Sin
andnoisepower P
Nin
presentattheinput. Inaddition, theamplier internallyaddssome
inherent noisepower P
Na
. Hence, thenoisepower exitingtheampliersoutput is
P
Nout
= G
a
P
Nin
P
Na
. (12.101)
Thesignal-to-noiseratioP
S
,P
N
comparesthesignal power andthenoisepower, andthis
ratiowill degradewhenthesignal passesthroughanamplier. Thenoisefactor F isthe
ratio of thesignal-to-noisepower ratio P
Sin
,P
Nin
at theinput dividedby thesignal-to-
noisepower ratioP
Sout
,P
Nout
at theoutput. Bydenition, theinput noisepower isgiven
byequation(12.97). Thelinear assumptionP
Sout
= G
a
P
Sin
andequation(12.101) yield
thefollowing:
F =
P
Sin
,P
Nin
P
Sout
,P
Nout
= 1
P
Na
kT
0
BG
a
(12.102)
ThedB representationof thenoisefactor F iscalledthenoisegureNF (unfortunately,
thislooksliketheproduct N F, but it isnt).
Theoutput noisepower exitingtwocascadedampliers deserves acloser look. The
noisepowerP
Na1
internallyaddedbystage1isampliedthroughstage2whilethenoise
power P
Na2
addedbythelaststageisdirectlypresentintheoutputsignal. Consequently,
thenoisepower P
Nout2
present at themultistageoutput canbecalculatedfromtheinput
noisepower P
Nin
, theavailablegainsG
a1
, G
a2
andnoisepowersP
Na1
, P
Na2
of amplier
stages1and2, respectively.
P
Nout2
= P
Nin1
G
a1
G
a2
P
Na1
G
a2
P
Na2
(12.103)
Thenoisefactordenition(12.102) canbeappliedtomultiplestagesystems. Theoverall
systemnoisefactor F
sys
canbederivedfromformulas(12.102) and(12.103) leadingto
theFriis[23] equation:
F
sys
= F
1

F
2
1
G
a1
(12.104)
whereF
1
, F
2
aretheindividual noisefactor andG
a1
is thegainof therst stage. The
secondstagecontribution( F
2
1),G
a1
canbereducedif therst stageexhibitsahigh
gainG
a1
. That isonereasonwhylow-noiseampliersshouldbeput closetothesignal
source(antenna) and why they arechosen to havearelatively high gain of 25 dB to
50dB.
12.7.2 Noise gure measurement
Toperformdirect noisemeasurement, theoutput noisepower of theDUT ismeasured
using an input termination at roomtemperature (approximately 290

K). If the gain
G
a
andnoiseequivalent bandwidthB of theamplier under test areknown, thenoise
factor canbedeterminedusingformulas(12.101) and(12.102). Thismethodislimited
638 Amplier measurements
Table 12.9 Typical noise power and noise temperature values
P
N
relatedtoB= 1Hz 1.0610
21
W 4.0810
21
W 5.1510
21
W typ.13810
21
W
NrelatedtoB= 1Hz 180dBm 174dBm 173dBm typ. 159dBm
NoisetemperatureT 77K 296K 373K typ. 10,000K
Remark Resistor inliquid
nitrogen
(196

C)
Resistor at room
temperature
(23

C)
Resistor inboiling
water (100

C)
Biasedsolidstate
noisesource
F
2
Y
N
h
, N
c
Noise source
Noise meter
ENR
T
h
, T
c
Through
DUT F
1
Figure 12.49 Measurement setupfor Y-factor method.
todevices withavery highnoisegureof >20dB becauseonly thesedevices exhibit
anoutput noisepower that canbedetectedwithareasonablemeasurement uncertainty.
The Y-factor method relies on an input termination that can be operated in two
states: cold state offering noise temperature T
c
and hot state offering noise tem-
peratureT
h
. Originally, aresistor cooledinliquidnitrogenor warmedinboilingwater
was used as input termination. Theexcess noiseratio (ENR) describes thechangein
noisetemperaturebetweenhot andcoldstaterelativetothestandardnoisetemperature
T
0
= 290

K.
ENR =
T
h
T
c
T
0
(12.105)
AccordingtoTable12.9, ENR 1iscalculatedwhenusingliquidnitrogenandboiling
water. The need to performautomatic measurements and the fact that measurement
accuracycanbeimprovedbyhigherENRvaluesledtotheuseof solidstatenoisesources.
Withoutbias, theyexhibitnoisegeneratedatroomtemperatureT
c
T
0
. Commonnoise
sourcesuseexternal 28V for thehotstateinwhichtheygenerateanoiseequivalentto
T
h
=4T
0
, . . . , 1000T
0
dependingonthetypeof source. Commerciallyavailablemodels
can be divided into three groups: low ENR (5 dB to 7 dB) for measuring low-noise
gures (-3dB), mediumENR (13dB to 16dB) as astandardnoisesource, andhigh
ENR(20dB to30dB) for highnoisegures(10dB to30dB). Except for thehighENR
sources, all others incorporatean integrated attenuator to improvetheir sourcematch
andtoreducetheimpedancechangefromhot tocoldstate.
Theoutput noisepower of theamplier under test ismeasuredfor thehot state as
P
Nh
andfor thecoldstate asP
Nc
. Theratioof thesemeasurement resultsiscalledthe
Y factor.
Y =
P
Nh
P
Nc
(12.106)
12.7 Noise measurements 639
Table 12.10 Typical noise gures and effective input noise temperatures
Noisefactor F Typ. 1.41 Typ. 2.8 100 Typ. 1000
NoisegureNF typ. 1.5dB typ. 4.5dB 20dB typ. 30dB
TemperatureT
e
1
typ. 120K typ. 527K 28,710K typ. 289,710K
Remark Lownoise
amplier 30dB
PA 4W, 6Hz
to18Hz
Attenuator pad
20dB
Spectrumanalyzer
1
Theeffectiveinput noisetemperatureT
e
isthetemperaturedifferencethat must beaddedtoT
0
of thesourceimpedanceconnectedtoanoise-freeimplementationof theamplier under test to
yieldthesameoutput noiseasfromtheamplier under test. It isT
e
= N
a
,kG
a
B= T
0
( F 1).
Thesystemnoisefactor F
sys
involvingtheDUT andpower indicator canbecalculated
fromtheENR andtheY factor.
F
sys
=
ENR
Y 1
(12.107)
A calibrationmeasurement usingathrough-connectioninsteadof theDUT revealsthe
noisefactor F
2
of thenoisemeter. Onepossibleimplementationof thenoisemeter is
amodernspectrumanalyzer. To obtaincorrect measurement results, theproperties of
theDUT (noisefactor F
1
, gainG
a1
), thenoisesource(excessnoiseratioENR) andthe
noisemeter (noisefactor F
2
) must complywiththefollowingrelation.
ENR > F
1
> F
2
,G
a1
(12.108)
Thenoisegureof aspectrumanalyzer usedas anoisemeter canbeimprovedwitha
low-noisepreamplier. Assumingtheexamplevalues of Table12.10, thenoisefactor
of thespectrumanalyzer isimprovedfrom1000to2.41. Noisemeasurementsarebased
on very low RF levels, and the best possible shielding is an important requirement.
If thenoisesourceuses astandard laboratory power supply, then alow-pass lter in
front of its bias connector is important. Some spectrumor network analyzers may
comewithanintegratedAGC. To keepthegaincontrol fromalteringthenoisegure
F
1
of theinstrument, this automatic featureshould beswitched to manual operation.
Unlikespectrumanalyzers,mostnetworkanalyzershaveadoubleside-bandarchitecture,
whereby thereceivednoiseisactually measuredat twofrequency bandsandinternally
correlatedintheanalyzer, whichmayleadtoconsiderabledeviations. Noiseisrandom
in nature, which makes noise reading unstable. Averaging the rms values of several
measurements instead of using avery small bandwidth can help to overcomethis. A
reducedbandwidthmayhelptoimprovethenoisegureof theanalyzerbutmayrequirea
highernumberof averagestoyieldastablereadout. Themeasurementbandwidthshould
beselectedto besmaller thantheDUTs bandwidthbecauseotherwisethecalibration
measurement (through-connection) woulddiffer inbandwidthascomparedtotheDUT
measurement. The ENR values of a noise source vary over frequency. They have to
beprovidedwiththesource. Typical uncertainties for thesevalues areintherangeof
0.15dB. Butthecharacterizationof theENRvaluesisdoneattemperatureT
0
, wherethe
applicationexhibits aroomtemperaturedifferent fromT
0
. Moreimportant is thefact
640 Amplier measurements
that characterizationrelies onanoisemeter with50O input impedancewhiletypical
DUTs exhibit different input impedances. This mismatch error is themost important
contributiontomeasurementuncertainty. A similar problemistheremainingimpedance
differencebetweenhotandcoldstatewhichissignicantfor highENRsourcesbecause
theyarenot equippedwithaninternal attenuator. TheY factor methodreliesonrelative
level measurements. Therefore, the linearity of the noise meter is a very important
prerequisitethat canbeviolatedusinganimproper preamplier.
TheDUTs discussedhere(PAs) aretypically operatedinthenonlinear region. This
would lead to intermodulation between carrier signal and noise. Furthermore, it will
violate the constant gain assumption that has been made on the right hand side of
equation(12.102). Thistopic far exceedsthescopeof thissection; arecent discussion
canbefoundinreference[24].
12.7.3 Noise parameters
Thesimplenoisegureisbasedontheassumptionthat thenoiseP
Na
internally added
by the amplier under test is constant. But practical measurements show that this is
affectedbythesourceimpedance. Theactual noisegureperformanceof thedeviceinits
operatingenvironmentwill bedeterminedbythematchof adjacentsystemcomponents.
Thenoisefactor F of anamplier dependsonthecomplexsourcereectioncoefcient
I
s
asstatedbythefollowingequation
F = F
min

4R
n
Z
0

I
s
I
opt

1I
opt

2
_
1[I
s
[
2
_
(12.109)
whereF
min
istheminimumnoisefactor, I
opt
istheoptimumcomplexreectioncoef-
cient, R
n
isthenoiseresistanceandI
s
isthecomplexsourcereectioncoefcient. The
quantities F
min
, I
opt
, andR
n
arereferredto as thenoiseparameters. Withgivennoise
parameters and axed expected noisefactor F, formula(12.109) leads to acirclein
theI
s
Smith chart similar to thosepresented in Figure12.19 (Section 12.4). But the
minimumnoiseguredoesnot necessarily occur at either thesystemimpedanceZ
0
or
attheconjugatematchimpedancethatmaximizesgainG
a
. Todeterminethethreenoise
parametersandsinceI
opt
iscomplex, atotal number of at least four scalar noisegure
measurementsinvolvingfour different sourceimpedancesisnecessary. Theimpedance
transformation of thenoisesourcecan beperformed using amicrowavetuner. Alter-
natively, [25] suggestsusinga10dB coupler andthreeselectableimpedancestandards
to achievedifferent sourcereectioncoefcients. A calibrationmeasurement must be
carriedouttodeterminethenoiseparametersof themeasurementequipmentsothatthey
can beseparated fromthemeasurement based on formula(12.104). Thecold-source
methodfoundinreference[26] isadirectnoisemeasurementmethodthatusesthenoise
sourcemost of thetimeinitscoldstate. Thehot state isusedonly whenascaling
factor isrequiredfor theprocedure.
12.8 Conclusions 641
Noise source
Noise meter
T
h
, T
c
Through
DUT
Figure 12.50 Noiseparameter measurement setup.
12.8 Conclusions
The functional principle of the transistors used to build solid state power ampliers
is based on semiconductor physics. What happens inside the transistor is primarily
describedbyelectrical eldsandchargeows. Takingtheintegral over theseparameters
leadstovoltageandcurrentastherstchoicedescription. Ontheotherhand, neithercur-
rentnor itsphaseshiftcanbeaccuratelymeasuredinthemicrowaverangewhereaswave
quantities aredirectly accessibleby means of vector network analyzers. S-parameters
andwavequantitiesprovideaneasyunderstandingof powerowsbetweensource, active
component and load. Dueto thehigh frequencies measurements must bedonein the
frequency-domainandinanarrow-bandmanner tomeet thedynamicrequirements.
ItcannotbeoverlookedthatS-parametershavenotbeenintendedtomodel nonlinear
effects. A mathematical embeddingusingS-parametersisnot generallyvalidwithinthe
context of power ampliers. Furthermore, observed S-parameters will depend on the
appliedpower levels andmodulationpatterns. But avalidS-parameter descriptioncan
bemeasuredforaspecicsetof operatingparameters. Consequently, traditional network
analyzerscanbesupplementedbythefollowingcomponents:
r
aload- andsource-pull systemtoprovidetheembeddingphysicallytotheDUT (e.g.,
todeterminetheoptimumI
L
andI
G
fromseveral S-parameter measurements);
r
apower sensor as atraveling standard for power calibration (e.g., to determinethe
1dB compressionpoint fromans
21
power sweep);
r
amodulatedor pulsedsignal sourcetomimic theapplication-specic drivingsignal
(e.g., toobservepulsedS-parametersor tocarryout hot S-parameter measurements);
r
a monitored DC supply to observe DC current and voltage (e.g., to calculate the
PAE).
Besides S-parameters, other frequency domain parameters likeharmonic distortion
and intermodulation distortion can be measured. These parameters rely on the mul-
tifrequency responsethat is generated by thenonlinearities fromasingleor two-tone
stimulus.If performedbyalarge-signal networkanalyzerthesemultifrequencyresponses
canbecombinedto formatime-domainresult. It is thanpossibleto plot voltageand
current versustimewhicharethemost meaningful parameterstoobservethefunction-
ality of apower amplier (class A, class AB, class B identication, conductionangle,
anddynamicloadline).
Finally, applicationscomewiththeirownspecicqualitycriterialikeadjacentchannel
power ratio, noise-power ratio, error vector magnitude, bit error ratioandsymbol error
642 Amplier measurements
ratio. Thesearedenedonparticular measurement setupsusingaspeciedmodulation
patternandchannel coding.
This chapter has provided an overview of not only what is meant by thesevarious
measurement parameters but, more importantly, how they are actually measured in
practice, what equipment setupisneededtoperformthemeasurement, andwhat errors
exist inthemeasurement processandhowthesecanbeminimized.
References
1. T. Reichel, VoltageandPower Measurements, Rohde&SchwarzGmbH&Co. KG, Munich,
Germany, Sept. 1999[Online]. Availableat: www.rohde-schwarz.com.
2. W. Buschbeck, Hochfrequenz-Wattmeter und Fehlanpassungsmesser mit direkter Anzeige
[translation: RF power meter andmismatchtester withdirectindication],Hochfrequenzund
Elektroakustikvol. 61, no. 4, p. 93, 1943.
3. K. Hupfer, AnordnungzumMessender vor- undr ucklaufendenWelleauf einer Hochfre-
quenzleitung[translation: Congurationfor measurement of incident andreectedwaveof a
transmissionline], patent DE 4239740C1, grant of patent 23. J une1994.
4. M. Hiebel, Fundamentals of Vector Network Analysis, Rohde& Schwarz GmbH & Co.
KG, Munich, Germany, 4
th
Edn., 2008, samplechapter at: www.books.rohde-schwarz.com.
5. Anonymous, IEEEstandardforprecisioncoaxial connectors(DCto110GHz),TheInstitute
of Electrical andElectronicsEngineersInc. IEEE-StandardsBoard, IEEE Std287TM-2007,
Sept. 2007.
6. G. A. Kouzaev, M. J. Deen, N. K. Nikolova, H. Ali andA. H. Rahal, Cavitymodelsof planar
componentsgroundedbyvia-holesandtheir experimental verication,IEEETrans. Microw.
TheoryTech., vol. 54, no. 3, pp. 10331042,. March2006.
7. V. Sokol and K. Hoffmann, Improvement of microstrip open-end calibration, Radioelek-
tronika 2003 Conference Proceedings Brno: VUT v Brne, FEI, Ustav radioelektroniky,
2003, pp. 245248.
8. N. BenahmedandN. Benmostefa, Designdirectional couplersfor high-power applications,
Microw. & RF, pp. 9098, Oct. 2006.
9. Anonymous, ISO/IEC Guide 98-3:2008 Uncertainty of measurement Part 3: Guide to
theExpressionof UncertaintyinMeasurement(GUM, 1995), International Organizationfor
Standardization, Geneva, Switzerland, rstedition2008, reissuewithminorcorrectionsof the
1995versionof GuidetotheExpressionof UncertaintyinMeasurement(GUM), International
Organizationfor Standardization, Geneva, Switzerland
10. O. Ostwald T-check accuracy test for vector network analyzers utilizing a Tee-junction,
ApplicationNote1EZ43, Rohde& Schwarz GmbH & Co. KG, Munich, Germany, version
0E, J une1998[Online]. Availableat: www.rohde-schwarz.com.
11. J. M. Rollet Stability and power gain invariants of linear two-ports, IRE Trans. Circuit
Theory, vol. CT-9, no. 3, pp. 2932. Mar. 1962.
12. M. L. Edwards and J. H. Sinsky A new criterion for linear 2-port stability using a sin-
gle geometrically derived parameter, IEEE Trans. Microw. Theory Tech., vol. 40, no. 12,
pp. 23032311, Dec. 1992.
13. D. E Bockelman and W. R Eisenstadt, Combined differential and common-modescatter-
ing parameters: theory and simulation, IEEE Trans. Microw. TheoryTech., vol. 43, no. 7,
pp. 15301539, J uly1995.
References 643
14. J. Frei, X.-D. Cai, andS. Muller, Multiport S-parameter andT-parameter conversionwith
symmetry extension, IEEE Trans. Microw. Theory Tech., vol. 56, no. 11, pp. 24932504,
Nov. 2008.
15. S. Winder, Singletoneintermodulationtesting, RF Des., pp. 3444, Dec. 1993.
16. D. Agahi, W. Domino, andNVakilian: Two-toneversussingle-tonemeasurementsof second-
order nonlinearity, Microw. J ., pp. 8294, Mar. 2002.
17. M. VandenBossche, Workshop: ZVxPlusapplication PA design, NMDGnv, Cesar van
Kerckhovenstraat110 Bldg5, B-2880Bornem, Belgium[Online]. Availableat: www.nmdg.
be/ZVxPlus.html
18. T. Gasseling, D. Barataud, S. Mons, J.-M. Nebus, J. P. Villotte, J. J. Obregon, andR. Quere,
Hotsmall-signal S-parametermeasurementsof powertransistorsoperatingunderlarge-signal
conditionsinaload-pull environmentforthestudyof nonlinearparametricinteractions,IEEE
Trans. Microw. TheoryTech., vol. 52, no. 3, pp. 805812, Mar. 2004.
19. J. MartensandP. Kapetanic, Probe-toneS-parameter measurements, IEEE Trans. Microw.
TheoryTech., vol. 50, no. 9, pp. 20762082Sept. 2002.
20. B. Kaehs, Thecrest factor inDVB-T (OFDM) transmitter systemsanditsinuenceonthe
dimensioningof power components, ApplicationNote7TS02, Rohde& Schwarz GmbH&
Co. KG, Munich, Germany, J an. 2007, version2E[Online]. Availableat: www.rohde-schwarz.
com.
21. J. Wolf, Measurementof adjacentchannel power onwidebandCDMA signals,Application
Note1EF40, Rohde&SchwarzGmbH&Co. KG, Munich, Germany, Mar. 1998, version0E
[Online]. Availableat: www.rohde-schwarz.com.
22. R. Minihold: Testing S-paramters on pulsed radar power amplier modules, Application
Note1MA126, Rohde& Schwarz GmbH & Co. KG, Munich, Germany, Feb. 2009, version
0E [Online]. Availableat: www.rohde-schwarz.com.
23. H. T. Friis, Noisegures of radio receivers, Proc IRE, vol. 32, no. 7, pp. 419422, J uly.
1944.
24. L. Escotte, E. Gonneau, C. Chamborn, and J. Graffeuil, Noise behavior of microwave
ampliersoperatingunder nonlinear conditions, IEEE Trans. Microw.TheoryTech., vol. 53,
no. 12, pp. 37043711, Dec. 2005.
25. L. F. Tiemeijer, R. J. Havens, RandydeKort, andA. J. Scholten, ImprovedY-factor methode
for wide-bandon-wafer noise-paramater measurements, IEEE Trans. Microw. Theoryand
Tech., vol. 53, no. 9, pp. 29172925, Sept. 2005.
26. A. C. Davidson, B. W. Leake, and E. Strid, Accuracy improvements in microwave noise
parameter measurements, IEEE Trans. Microw. Theory Tech., vol. 37, no. 12, pp. 1973
1978, Dec. 1989.
About the authors
Mustafa Akkul
Mustafa Akkul achieved BSc, MSc. and Ph.D. degrees in Electrical and Electronics
EngineeringfromMiddleEast Technical University, Ankara-Turkeyin1989, 1991, and
1999, respectively. Currentlyemployedasthemanagerof MicrowaveProductsDivision,
AselsanA.S.-Turkey. MajorinterestareasareMIC/MMICpowerampliersforradarand
EWapplications,T
x
,Rxmodulesforphasedarraysystems,transceiverandmultifunction
moduledesignsfor militaryapplications.
Inder J. Bahl
InderJ. Bahl receivedhisPh.D. degreeinElectrical EngineeringfromtheIndianInstitute
of Technology, Kanpur, Indiain1975. HejoinedtheITT GalliumArsenideTechnology
Center in 1981 and has been working on microwave and millimeter wave GaAs ICs
sincethen. At Cobham(formerly ITT GTC/Tyco Electronics), inhis present capacity
as aDistinguishedFellowof Technology, his interests includedevicemodeling, high-
efciency high-power ampliers (HPAs), broadband HPAs, high-power limiter/LNAs,
compact andlow-lossmultibit phaseshifters, 3D MMICs, anddevelopment of MMIC
productsfor commercial andmilitaryapplications.
Dr.Bahl istheauthor/coauthorof over155researchpapers.Heauthored/coauthored14
booksandholds16patents. HeisanIEEE Fellowandamember of theElectromagnetic
Academy. HeistheEditor of theInternational J ournal of RF andMicrowaveComputer-
aidedEngineering.
Wolfgang B osch
InMarch2010Professor Dr. WolfgangB oschjoinedtheGraz University of Technol-
ogy in Austria to establish a new Institutefor Microwave and Photonic Engineering.
PreviouslyhehasbeentheCTOof theAdvancedDigital InstituteintheUK, anot-for-
prot organizationtopromoteresearchactivitiesintheYorkshire/HumbersideRegion.
Hehas also beentheDirector of Business andTechnology Integrationof RFMD UK.
For morethan nineyears hehas been with Filtronic plc as Chief Technology Ofcer
About the authors 645
of FiltronicIntegratedProductsandDirector of theGlobal Technology Group. Prior to
joiningFiltronic, heheldpositions intheEuropeanSpaceAgency (ESA) workingon
amplier linearizationtechniquesfor spaceapplications, MPR-TeltechinCanadawork-
ingonMMICtechnologyprojectsandin1991hehadjoinedtheCorporateR&Dgroup
of M/A-COM inBostonwhereheworkedonadvancedtopologies for high-efciency
power ampliers. From1996to1999hewaswithDaimlerChrysler AerospaceinGer-
many, workingonT/R Modules for airborneradar. Wolfgangreceivedhis engineering
degreesat theTechnical Universityof ViennaandGraz/Austria. HenalizedhisMBA
with distinction at Bradford University School of Management in 2004. For several
years hewas on theSupervisory Board of theEMRS DefenceTechnology Centrein
theUK, heis aSenior Member of theIEEE, aFellowof theIET andapanel member
of theEPSRC. Hehas publishedmorethan40papers andholds four patents. Hewas
aNon-ExecutiveDirector of Diamond MicrowaveDevices (DMD) and is currently a
Non-ExecutiveDirector of theAdvancedDigital Institute(ADI) andVIPER Company.
Wayne Burger
WayneBurger receivedaPh.D. inElectrical EngineeringfromtheMassachusettsInsti-
tuteof Technologyin1987, withhisthesisworkfocusingonthedepositionandcharac-
terizationof lowtemperaturesiliconepitaxial lms. After workingonfront-endprocess
integration for BiCMOS SRAMs at National Semiconductor for two years, hejoined
MotorolasSemiconductor Product Sector (whichlater becameFreescaleSemiconduc-
tor) in 1990. Early projects at Motorolainclude0.60mCMOS, 0.35mBiCMOS,
andSiGebipolar development. Hehasbeenmanager of theRF-LDMOSDeviceDevel-
opmentteamatFreescaleSemiconductor since1994. Duringthistime, RF-LDMOShas
evolvedintothedominant RF power transistor technologyfor thecellular infrastructure
market, and is now expanding into adjacent RF power markets. Waynehas authored,
coauthored, or presented at numerous conferences, workshops, and technical journals
onthetopic of RF-LDMOS devicetechnology andapplications. HeisaDistinguished
Member of theTechnical Staff at Freescaleandamember of theIEEE.
Steve C. Cripps
Dr SteveC. CrippsobtainedhisPh.D. fromCambridgeUniversity, England. Heworked
for PlesseyResearch(nowGECMM) onGaAsFET hybridcircuitdevelopment. Later he
joinedWatkins-J ohnsonssolidstatedivision, PaloAlto, CA, andhasheldEngineering
andManagement positionsat WJ, Loral, andCeleritek. Duringthisperiod, hedesigned
the industrys rst 28 Ghz and 618 Ghz 1 W solid state ampliers, and in 1983
publishedatechniquefor microwavepower amplier design, whichhasbecomewidely
adoptedintheindustry.
In1990hebecameanindependentconsultantandwasactiveinavarietyof commercial
RF product developments, including the design of several cellular telephone power
646 About the authors
amplierMMICproducts.In1996hereturnedtoEngland,wherehisconsultingactivities
continuetobefocusedintheRF power amplier area.
In 2006, Dr. Cripps published asecond edition of his best-selling book, RF Power
Amplier Design for Wireless Communications (Artech House). Heis currently vice-
chair of theHigh Power Amplier subcommitteeof theTechnical Co-ordination and
Technical ProgramCommitteesof theIEEEMicrowaveTheoryandTechniquesSociety,
andwrites theregular MicrowaveBytes columnintheIEEE MicrowaveMagazine.
Heistherecipient of the2008IEEE MicrowaveApplicationsAward.
Dr. Crippsisaprofessorial researchfellowat Cardiff University, UK. HeisaFellow
of theIEEE.
Rob Davis
RobDavisisaseniormanageratRFMicro-DevicesinCountyDurham, UK. Hisprimary
technical interests lieintheareas of IIIV semiconductor deviceengineering andthe
developmentof compactmodelsfor circuitdesign. HereceivedB.Sc. andPh.D. degrees
inPhysicsfromtheUniversityof Lancaster in1983and1987, respectively. Hebeganhis
career at theRoyal SignalsandRadar Establishment at Malvernin1986wherehewas
engagedindeviceresearchgainingexperienceinavarietyof compoundsemiconductor
FET andbipolar devices. In2000hejoinedFiltronic CompoundSemiconductorsLtd.,
nowRFMD (UK) Ltd. Herehis work has includedthedevelopment of GaAs pHEMT
MMIC processesandhecurrentlyleadsthedeviceandtest engineeringfunctions.
Christopher P. Dragon
Christopher P. Dragon received a bachelors degree in Electrical Engineering from
LouisianaStateUniversity andwent ontoreceiveaMasters of EngineeringinMicro-
electronic EngineeringfromRochester Instituteof Technology. In1991, hebegan his
career inanRF development divisionof MotorolasSemiconductor ProductsSector in
Phoenix, Arizona. It was at this time that the rst RF-LDMOS transistor was devel-
opedandlaunchedintoproduction. Chrishasremainedworkingonthedevelopment of
RF-LDMOSdevicesfor cellular basestationapplicationsthroughouthiscareer uptothe
presentwheretheworkcontinuesatFreescaleSemiconductorinTempe, Arizona. Hehas
beeninvolvedwithandoverseenthedevelopment of eight generationsof RF-LDMOS
devices. Chris is aSenior Member of theTechnical Staff at FreescaleSemiconductor
andamember of theIEEE.
Dominic FitzPatrick
DominicFitzPatrick hasbeeninvolvedwiththedesignof solidstatemicrowaveampli-
ersfor over 25years. HegraduatedfromthePortsmouthPolytechnic, England, in1984
About the authors 647
joiningPascall Electronicsandin1988returnedtoPortsmouthtoundertakeaMastersin
SolidStateMicrowavePhysics. After workingfor asmall consultancy, hejoinedWessex
Electronics, eventually becoming Technical Director. In 1999, he joined Milmega to
head uptheengineering department, andearly in 2004, hewas part of thesuccessful
managementbuy-outteam. Leavingattheendof 2006Dominicreturnedtoacademiato
pursueaPh.D. inNovel WidebandAmplier DesignTechniquesat Cardiff University.
Michael G. Hiebel
Michael G. Hiebel wasborninMunich(Muenchen) Germanyin1970. Hereceivedthe
diplomadegreeinElectrical Engineering fromtheMunich University of Technology.
Since2003heisamember of theInstituteof Electrical andElectronicsEngineersIEEE
andsenior member since2011. Mr. Hiebel isamember of theVDE (GermanElectrical
EngineeringAssociation), aswell. HehasbeenemployedbyRohde&SchwarzGmbH&
Co. KGinMunichsince1999andiscurrentlyasenior development engineer, focusing
onvector network analyzers. Hismaininterestsarevector network analyzer calibration
techniques, nonlinear devicemeasurement (mixers, power ampliers, and oscillators)
and millimeter wave network analysis. Since 2006 he is involved as a teacher in the
companys professional training program. He contributes to a periodic course on RF
engineering at the Carl-Cranz-Gesellschaft in Wessling (Southern Germany). He has
written a number of articles, scientic contributions, white papers, and application
notes. Heisauthor of thebookFundamentalsof Vector NetworkAnalysis(c.420pages),
whichispublishedbyRohde& Schwarz inEnglish, German, Chinese, andRussian.
Stephen Maas
Stephen Maas received BSEE and MSEE degrees in Electrical Engineering fromthe
University of Pennsylvania in 1971 and 1972, respectively, and a Ph.D. in Electrical
EngineeringfromUCLA in1984. HejoinedtheNational RadioAstronomyObservatory
in 1974, where he designed the low-noise receivers for the Very Large Array radio
telescope. Subsequently, at Hughes Aircraft Co. and TRW, he developed low-noise
microwave and millimeter-wave systems and components, primarily FET ampliers
and diodeand FET mixers, for spacecommunication. Hehas also been employed as
a Research Scientist at The Aerospace Corp., where he worked on the optimization
of nonlinear microwavecircuits andthedevelopment of circuit-designsoftwarebased
on harmonic-balance, Volterra-series, and time-domain methods. Dr. Maas joined the
UCLA Electrical Engineering Faculty in 1990, left it in 1992. He is currently Chief
Scientist of AWR Corp. andalsoconsultsindependently.
Dr.Maasistheauthorof MicrowaveMixers(ArtechHouse,1986and1992),Nonlinear
MicrowaveCircuits (Artech House, 1988 and 2003), TheRF and MicrowaveCircuit
DesignCookbook (ArtechHouse, 1998), andNoiseinLinear andNonlinear Circuits
(ArtechHouse, 2005). From1990until 1992hewastheeditor of theIEEE Transactions
648 About the authors
onMicrowaveTheoryandTechniques, andfrom19903was anAdcommember and
PublicationsChairmanof theIEEE MTT Society. HereceivedtheIEEE MTT Societys
MicrowavePrizein1989for hisworkondistortionindiodemixersanditsApplication
Awardin2002for theinventionof theFET resistivemixer. HeisaFellowof theIEEE.
Mali Mahalingam
Mali Mahalingamreceived the Ph.D. degree in Physics fromCarnegie-Mellon Uni-
versity. Currently, heis manager of PackagingOperations, Radio Frequency Division,
FreescaleSemiconductor Inc. focusingonhigh-power RFPAsfor wirelessmarkets. He
has31yearsof experienceinpackaging, assembly, andmanufacturingtechnologies in
thesemiconductor industry of whichtheinitial 25yearswerewithMotorolaInc. Mali
has madenumerous contributions to both technology development and their success-
ful implementationinproducts. His technical accomplishments arespreadto thermal,
mechanical, materials, electrical, simulation/validationandcomputer-aideddesigndis-
ciplines. He has earned world-class recognition for his pioneering work in thermal
technology applied to micro-electronics. Hehas published 70 technical papers and
has threeissuedpatents. Mali has taught professional courses onpackagingandther-
mal technology at universities and electronic manufacturing plants. Hehas mentored
graduatelevel researchat universities. HeisanIEEE Fellow.
Daniel P. Myer
Daniel P. Myer isPresident andFounder of CommunicationPower Corporation(CPC,
www.cpcamps.com). Hereceived his B.S. in Electrical Engineering fromPolytechnic
University in Brooklyn, New York, and an A.A.S. fromS.U.N.Y. Farmingdale, New
York. Mr. Myer is the author of over 15 technical papers, coauthored several books
(Classic Works inRF Engineering, ArtechHouse2006, coeditor/author, Encyclopedia
of Magnetic Resonance, J ohnWiley, coauthor) andhasbeenissuedtwoUS patents. In
1990and1992, heintroducedanewtopological network synthesisprocedurefor equal
delaytransmissionlinetransformersalongwiththefundamental realizationcriteria.
PriortofoundingCPC, Mr. MyerwasanRFdesignengineeratM/A-COMMicrowave
Power Devices (MPD) andComtech/PST wherehecodesignedhigh-power solid-state
RF ampliersfor high-prolemilitaryprogramssuchasRivetRider (CommandoSolo),
employedintheUSAFsAirborneEC-130E based, psychological warfareprograms. In
addition, Mr. Myer codevelopedRF ampliers for useinmarinesearchradar, missile
command/destruct, L-bandairborneradartracking, submarinepositionemergencyradio
beaconandmulticarrierfeed-forwardnetworksforcellularphone/wirelessbase-stations.
Bill Roesch
Bill Roesch has a bachelor of science degree in Electrical and Computer Engineer-
ing from Oregon State University. He started his engineering career at Tektronix;
About the authors 649
scrutinizingpurchasedcomponentreliabilityforveyears. In1985, Bill joinedTriQuint
Semiconductor toexploreaging, stressing, analyzing, andimprovingGaAsdevices. Bill
is a 25-year contributing member of J EDEC and he is also a member of the IEEE.
Bill has numerous publications, tutorials, andconferencepresentations onCompound
Semiconductor reliability andhehasreceivedninedifferent best paper awards. For his
innovativereliability techniques anddevicephysics insight, Bill was recently inducted
intotheOregonStateUniversityAcademyof DistinguishedEngineers. Fortwelveyears,
Roeschguidedthequality andreliability engineeringgroupat theHillsboro, Oregon,
factory. In 2007, he moved frommanagement back onto the technical ladder and in
2009, Bill wasdesignatedaTriQuint Senior FellowinReliability.
Robert J. Trew
RobertJ. TrewistheAltonandMildredLancaster DistinguishedProfessor of Electrical
and Computer Engineering at North Carolina State University, Raleigh. He received
his Ph.D. degreefromtheUniversity of Michiganin1975. Hehas servedas theECE
Department Head at North Carolina State University, Virginia Tech, and Case West-
ernReserveUniversity. Dr. Trewis currently servingas theDirector of theElectrical,
Communications, and Cyber-Systems Division in the Engineering Directorate of the
US National ScienceFoundation. From1997to2001hewas Director of Researchfor
theUS Department of Defense, withmanagement oversight responsibility for the$1.3
billionyearly basic researchprograms of DOD. Dr. Trewservedas Vice-Chair of the
US Government interagency committeethat plannedtheUS National Nanotechnology
Initiative(NNI). Dr. TrewisaLifeFellowof theIEEE, andwasthe2004Presidentof the
IEEE MicrowaveTheoryandTechniquesSociety. Heiscurrentlytheeditor-in-chief of
theIEEE Proceedingsandpreviouslyservedaseditor-in-chief of theIEEE Transactions
onMicrowaveTheoryandTechniquesandwasfoundingcoeditor-in-chief of theIEEE
MicrowaveMagazine. Dr. TrewwastwicebeennamedanMTT SocietyMicrowaveDis-
tinguishedLecturer. Awards receivedby Dr. Trewincludethe2001IEEE-USA Harry
DiamondMemorial Award, anIEEE ThirdMillenniumMedal Award, the1998IEEE
MTT SocietyDistinguishedEducator Award, the1991AlcoaFoundationDistinguished
EngineeringResearchAward, anda1992NCSU DistinguishedScholarlyAchievement
Award. Hereceived an Engineering Alumni Society Merit Award in Electrical Engi-
neeringfromtheUniversity of Michiganin2003. Hehas publishedover 170articles,
21bookchapters, andhasgivenover 390conference/workshoppresentations. Dr. Trew
has10patents.
John Walker
J ohnWalker received his BSc, MSc, andPh.D. degrees fromtheUniversity of Leeds
in 1971, 1972, and 1976, respectively. In 1974 hejoined GEC Hirst Research Centre
where he worked on the design of microwave transistor ampliers before becoming
Group Leader of IMPATT diodes and oscillators and, nally, Chief Engineer of the
650 About the authors
Compound Semiconductor laboratory. In 1984 he joined Thorn-EMI Electronics as
MicrowaveHybrids Manager. In1995hemovedto Semelabas RF DivisionManager
wherehewas responsiblefor all commercial and technical aspects of thecompanys
RF power transistor products. In2011, hebecameEuropeanSalesManager for Integra
Technologies, Inc. Heis theeditor andcoauthor of thebooks High-Power GaAs FET
AmpliersandClassicWorksinRF Engineering, bothpublishedbyArtechHouse. J ohn
isaFellowof theIEE andaSenior Member of IEEE.
Index
AbaqusFEA, 432
accelerationfactors, 446, 458473, 504
current, 462465
current density, 464
determination, 460461
ingalliumarsenideprocesses, 500
highlyacceleratedstresstest, 492
humidity, 488492
seealsoelectriceldaccelerationfactor; RF bias
acceleration; thermal acceleration; voltage
acceleration
acceptancetest procedures(ATPs), 282
ACLR seeadjacent channel leakagepower ratio
(ACLR)
ACPR seeadjacent channel power ratio(ACPR)
activationenergy, 461462
activeharmonicload-pull, 620, 621
activeload-pull schematics, 563564
activesystems, 619620
adapters
de-embedding, 593
insertedafter calibration, 589591
removal techniques, 592
adhesives, electricallyconductive, 285
adjacent channel leakagepower ratio(ACLR),
110111
limits, 522
specications, 517
adjacent channel power ratio(ACPR), 625629
codedivisionmultipleaccess, 629
concept of, 625
determination, 626
measurements, 626
spectrumanalyzers, 627, 628
test results, 629
adjacent channel powers
integrated, 626627
weighted, 626627
admittance(Y) parameters, 189
applications, 206
indatabasemodels, 212
AGC (automaticgaincontrol), 570
air owelds, mobilephones, 414415
ALC (automaticlevel control), 576
ALC (automaticlevel controlled) loops, 283
AlGaAsseealuminiumgalliumarsenide(AlGaAs)
AlGaN/GaN HFETsseealuminiumgallium
nitride/galliumnitrideheterostructureeld
effect transistors(AlGaN/GaN HFETs)
AllegroPackageDesigner, 432
AlN(aluminiumnitride), applications, 316318
Alodine, 294
aluminium
advantages, 452
applications
housings, 294, 311
metallization, 451452
electromigration, 3031
surfacetreatment, 294
thermal conductivity, 311
aluminiumalloy4047, applications, housings, 294
aluminiumalloy6061, applications, housings, 294
aluminiumgalliumarsenide(AlGaAs)
bandgap, 43
crystal structure, 4344
properties, 43
aluminiumgalliumnitride/galliumnitride
heterostructureeldeffect transistor
ampliers, 123125
current waveforms, 139142
draincurrent, 138
efciency, 138
gain, 138
operation, 136137
performance, 123125
RF, 123, 124, 137139
RF draincurrent, 140, 142143
RF drainvoltage, 139142
RF gatecurrent, 139, 143
RF gatevoltage, 139142
RF power
input, 138, 139
output, 123124, 138
sourceresistance, 142, 143
nonlinear, 143
voltagewaveforms, 139142
652 Index
aluminiumgalliumnitride/galliumnitride
heterostructureeldeffect transistors
(AlGaN/GaN HFETs)
advantages, 119120, 123
applications, 105106, 110111
microwavepower ampliers, 105
power ampliers, 111
avalancheionization, 145
background, 108111
channel current, 146, 148
current conductiondegradation, 145146
currentvoltagerelationships
DC, 137
gateanddrain, 150151
development, 110111
draincurrent, 150151
electriceldmagnitudes, 144145
electrontunneling, 144145
fabrication, 108110, 119120
futuretrends, 152153
gatecurrent, 148, 150151
gateedge, electriceldsat, 149
gateelectronleakagepaths, 145
gateleakage, 146
current paths, 149
gatetunnel leakagemodel, 147148, 150
gate-to-sourcecapacitance, 135
materials, parameters, 114
performance, 108110, 124125
polarizationeffects, 110
power output, changes, 472
resistances
drain, 135136
source, 135136
resistivity, 132
RF power, output, 124, 146
saturationelds, 114
space-chargeeffects, 133
structure, 119
suddenreliabilityproblem, 146147
surfacetrap-to-traphoppingconduction, 149,
150
virtual gateeffect, 145
aluminiumnitride(AlN), applications, 316318
AM/AM measurements, 632
AM/PM measurements, 632
ampliers
biasscreening, 505
booster, 597598
burn-in, 505
ClassH, 161
classication
classesA toS, 159186
development, 159
andefciency, 160
historical background, 159
invertedmodes, 179180
issues, 184186
multimodes, 184186
current waveforms, 185
defect-relatedproblems, 447
distributed, 340341
gaindenitions, 599602
humidityaccelerationfactors, 488492
measurements, 570642
reliabilityissues, 447
switchmodes, 159
voltagewaveforms, 185
wear-out, 447
seealsoaluminiumgalliumnitride/gallium
nitrideheterostructureeldeffect transistor
ampliers; ClassA ampliers; ClassAB
ampliers; ClassB ampliers; ClassC
ampliers; ClassE ampliers; ClassF
ampliers; ClassGampliers; ClassJ
ampliers; ClassSampliers; Doherty
ampliers; hybridampliers; power
ampliers(PAs); push-pull ampliers;
4H-siliconcarbidemetal semiconductor eld
effect transistor ampliers; transistor
ampliers
amplitudephaseconversion, nonlinear, 512
AngelovChalmersmodel, 86
ANSYS, 432
ANSYSFLUENT, 432
ANSYSIcepak, 432
antennatowers, 416417
apertures
andgroupdelay, 603604
stepwidth, 603604
Arrheniusequation, 460461
ATPs(acceptancetest procedures), 282
attenuators
diodesensors, 571
high-power, 577
inhigh-power RF measurements,
576579
disadvantages, 578
andpower sensors, 576577
scatteringparameters, 596
self-heating, 578
step, 587588
AutoCAD, 432
automaticdifferentiation, applications, 222
automaticgaincontrol (AGC), 570
automaticlevel control (ALC), 576
automaticlevel controlled(ALC) loops, 283
autotransformers, 553
avalanchebreakdown, 25
concept of, 23
useof term, 18
averageefciency, 278
Index 653
ClassA ampliers, 164
denition, 510
determination, 510511
averagepower, 624
averagepulsemethod, 633634
backsubstitution, 191
backgating, 454
balancedmodeconguration, vs. Doherty
conguration, 529
balancedone-port devices, 610
balancedpower ampliers, 340341, 544550
congurations, 544545
aspower combiners, 546547
balancedtwo-port devices, 610
balancedbalancedtransformers, 556557
ballast resistors, 216
baluns, 552
active, 610611
congurations, 556
Guanella, 554
passive, 610611
structure, 558
two-holecores, 553554
bambooregime, useof term, 3132
bandpasslters, 586, 604
barretters, 571
basestationsseeradiobasestations(RBSs)
bathtubcurves, 412, 455456, 457
regions, 412413, 456457
Beattystandard, 598599
BeO(berylliumoxide), applications, 316318
BER (bit error rate), 635636
berylliumoxide(BeO), applications, 316318
biasdecoupling, 349352
biasnetworks, 350
congurations, 345347
constant impedance, 351
FETs, 249250
GaAsFETs, 250
GaN FETs, 250
inmicrowavehybridpower ampliers,
345353
requirements, 345
transistors, 345, 346
biasscreening, ampliers, 505
biasing
narrowbanddesigns, 349
sequences, 347
vs. RF performance, 348349
bipolar junctiontransistors(BJ Ts), 249
applications, RF power ampliers, 161162, 282
cross-sections, 433
disadvantages, 246247, 255
materials, 106
stablethermal operatingpoints, 216, 217
seealsoheterojunctionbipolar transistors
(HBTs); 4H-siliconcarbidebipolar junction
transistors(4H-SiC BJ Ts)
bit error rate(BER), 635636
BITE (built intest), applications, 353
BJ Tsseebipolar junctiontransistors(BJ Ts)
black-boxmodels, 89
Blacksequation, 3031, 33, 463
generalization, 463464
parameters, 32
bolometers, principles, 571
bondwires
DC fusingcurrent, 220
impedancedetermination, 220
asinductors, 219220
models, 399
inpower amplier models, 219221
booster ampliers, 597598
bootstrapconguration, 555556
boxtruncations, 224
branchlinecouplers, 328331, 349
impedancetransforming, 549
branchlinequadraturehybrids, 547549
characteristicimpedance, 547548
frequencyresponse, 548
output impedances, 548549
performance, 548
breakdowncurves, on-statevs. off-state, 24
breakdownvoltagefrequencyrelationships, 4546,
47
breakdownvoltages, 5758
capacitors, 469, 470
denition, 57
RF power transistors, 246, 248
siliconnitridelms, 466
seealsodrain-to-sourcebreakdownvoltage
(BV
ds
); gate-to-drainbreakdownvoltage
(BV
gd
); gate-to-sourcebreakdownvoltage
(BV
gs
)
breakdownwalkout, useof term, 59
breathing, andmoistureingress, 296
built intest (BITE), applications, 353
burn-in, 457458, 504505
ampliers, 505
useof term, 504505
BV
ds
seedrain-to-sourcebreakdownvoltage
(BV
ds
)
BV
gd
seegate-to-drainbreakdownvoltage(BV
gd
)
BV
gs
seegate-to-sourcebreakdownvoltage(BV
gs
)
CADseecomputer-aideddesign(CAD)
Cadence, 432
calibration
adaptersinsertedafter, 589591
withdifferent connector types, 589593
high-power setups, 596598
654 Index
CAD(cont.)
withPCBs, test xturesandwafer probes,
593596
standards, 590
techniques, properties, 592
throughopenmatch, 598599
seealsounknownopenshortmatch(UOSM)
calibration
capacitors
applications, 318320
breakdownvoltages, 469, 470
chip, 265267
closed-formmodels, 209210
defects, 483
asdistributedcomponents, 324
equivalent circuits, 209
high-Q, 353355
ideal, 320
interdigital, 367, 368369
lifetimes, predictions, 471
losses, 219
lumped, 367
microstrip, 368369
inmicrowavehybridpower ampliers, 318321
inMMICs, classication, 368369
modeling, 315
plateorientationeffects, 318320
qualityfactor, 320
ramptofailuredata, 467
scatteringparameters, 206207
voltageacceleration, 487488
seealsometalinsulatormetal (MIM) capacitors;
multilayer capacitors(MLCs); siliconnitride
capacitors; single-layer capacitors(SLCs)
capillaryaction, andmoistureingress, 296
cascadedtuner method, 620
castings, housings, 303
CCDF (complementarycumulativedistribution
function), 624, 625
CDMA seecodedivisionmultipleaccess(CDMA)
CDPD(cellular digital packet data), 513
C
ds
seedrain-to-sourcecapacitance(C
ds
)
cell interconnections, modeling, inlargedevices,
213214
cell phonesseemobilephones
cellular digital packet data(CDPD), 513
cellular telephonyseemobiletelephony
ceramicpackages
air-cavitysurface-mount, 392393, 394
applications, inMMIC PAs, 391, 392, 393
assembly, 398
ow, 400
conguration, 393
cost factors, 393
design, 391393
issues, 391
development, 390391
low-cost, 394
manufacture, 393394
owprocesses, 396
materials, 391
properties, 391
multi-lead, 392393
properties, 392
substrates, 392393
typesof, 390391
ceramics, applications, packaging, 82, 390394
CFDseecomputational uiddynamics(CFD)
CFsseecrest factors(CFs)
C
gd
seegate-to-drainfeedbackcapacitance(C
gd
)
C
gs
seegate-to-sourcecapacitance(C
gs
)
channel power
measurement, 627
seealsoadjacent channel powers
chemical mechanical polishing(CMP), 453
chromateconversion, 294
circuit analysis
applications, 205
methods, 188205
integration, 205
software
andelectromagneticanalysissoftware, 229
power ampliers, 188
seealsoharmonic-balanceanalysis; linear
analysis; nodal analysis; time-domain
analysis
circuit components, losses, inpower amplier
models, 219
circuit metallizations
losses
calculations, 219
factorsaffecting, 218219
inpower amplier models, 217219
multilayer, 218
circuit simulation
accuracy, andpassivecircuit structures,
205213
seealsononlinear circuit simulation
circulators
functional diagrams, 323
inmicrowavehybridpower ampliers, 322323
ClassA ampliers, 162164
advantages, 164
applications, 161
characteristics, 160
andClassAB amplierscompared, 164165
currentvoltagerelationships, 162
efciency, 163164
average, 164, 511
maximum, 510
under power back-off conditions, 164, 180
output power, 163164
Index 655
waveforms, 163
zero-kneeassumption, 163164
ClassAB ampliers, 164171
applications, 161
andClassA amplierscompared, 164165
design, 171
issues, 165166
earlystudies, 160
efciency, under power back-off conditions, 169
linearity, 170
issues, 169170
operation, 170171
output capacitance, 171
output voltage, 165, 166, 618
supplyvoltages, 524525
topology, 170
waveforms, 165
ClassB ampliers, 161, 164171
efciency, 166168, 186, 510, 561
under power back-off conditions, 168169
invertedmode, 179
operation, 166168
schematics, 559
waveforms, 167, 562
voltage, 173
zero-biascondition, 168
ClassC ampliers, 160, 171173
applications, 173
disadvantages, 172173
efciency, 160
input voltages, excess, 172
operation, 171
RF power reduction, 171172
waveforms, 172
ClassDampliers
audio, 161
useof term, 183
ClassE ampliers, 181183
circuits, 181182
current waveforms, 181182
efciency, 183
operation, 181
research, 183
waveforms, 182
ClassF ampliers, 161, 173176, 186
design, 176
inverted, 179180
clippedvariations, 181
waveforms, 179, 180
kneeregion, 175
operation, 173
output capacitance, 175176
research, 173
topology, 176
voltagewaveforms, 173174
zero-grazing, 174175
waveforms, 175
ClassGampliers, 161
useof term, 180
ClassHampliers, 161
ClassJ ampliers, 176179
advantages, 178179
circuits, 178179
operation, 176177
topology, 178
waveforms, 178
voltage, 177178
ClassSampliers, 161, 183184
concept of, 183
conguration
bogus, 184
viable, 184
designissues, 183184
operation, 183
clipping, 514
closed-formmodels, 208210
applications, 209
capacitors, 209210
characteristics, 208209
planar spiral inductors, 209
striptransmissionlines, 210
CMP (chemical mechanical polishing), 453
CMRR (common-moderejectionratio), 611
CNC machines(computer numericallycontrolled)
machines, applications, housing
construction, 303
coaxial connections, 311312, 554555
interfacingissues, 595596
overview, 589
power handlingcalculations, 312
printedcircuit boards, 312, 313
properties, 593
transitionparameters, 314
typesof, 591, 593
codedivisionmultipleaccess(CDMA), 110111,
331
adjacent channel power ratio, 629
power output control, 511512
seealsoWidebandCodeDivisionMultiple
Access(W-CDMA)
coefcient of thermal expansion(CTE), joining
materialsof different, 425426
cold-sourcemethod, 640
cold-wall, useof term, 311
COM (component object model), 229
combspectra, 634
combining
advantages, 344
applications, 344
inDohertyampliers, 344
inmicrowavehybridpower ampliers, 344
parallel, 334
656 Index
commercial markets, RF power ampliers, 236
common-moderejectionratio(CMRR), 611
common-modesignals, 608610
compensationtechniques, 574
complementarycumulativedistributionfunction
(CCDF), 624, 625
component object model (COM), 229
components
active, 315
integrated, inmicrowavehybridpower ampliers,
322
lumped, equivalent circuits, 324, 325
inmicrowavehybridpower ampliers, 315332
passive, 315
distributed, 323331
lumped, 315323
reliability, andtemperature, 305
seealsocapacitors; diodes; distributed
components; inductors; resistors; transistors;
vacuumtubes
compressionpoints, 615, 616
computational uiddynamics(CFD), 431
applications, 431
computer numericallycontrolled(CNC) machines,
applications, housingconstruction, 303
computer-aideddesign(CAD)
MMIC PAs, 370
power ampliers, 188230
tools, 370
condensation, equipment vulnerabilityto, 294295
conditionnumbers, 227
conduction
steady-state, 420
andthermal performance, RFPAs, 420421
three-dimensional, 420421
seealsoreducedconductionangle
conductors
inprintedcircuit boards, 289
substrateattachment, 289
seealsointerconnects; vias
conformal coatings, 296
conservationof energyequation, 431
conservationof massequation, 431
conservationof momentumequation, 431
constellationdiagrams, 630631, 632
construction, 631
contact printing, inGaAsFET fabrication, 76
contact thermal resistance
concept of, 438439
factorsaffecting, 439
pictorial description, 438
reductionstrategies, 439
continuationmethods
innonlinear circuit simulation, 223
useof term, 223
continuityequations, 128129
convection
heat sinks, 421
andthermal performance, RFPAs, 421422
convectiveheat transfer coefcients, 421422
coplanar waveguide(CPW)
applications, 292
inMMICs, 362363, 365367
attenuationcoefcient, 366
characteristicimpedance, 365, 366
dielectricconstant, 366
disadvantages, 292
discontinuities, 366367
modes, 365366
parameters, 365
copper
applications, conductors, 289
electrodeposition, 289
electromigration, 3031
interdiffusion, 452
metallization, 453
patterndenition, 453
rolled, 289
thermal conductivity, 311
copper bumps, thermal excursions, 478482
correctionfactors, 626627
corrosion, andmetallization, 452
cosimulation, 229
COSMOS, 432
coupled-coil transformers, 553554
equivalent circuits, 553554
impedances, 553
performance, limitations, 553554
windingtopologies, 553
couplers
characteristics, 330
discretequadraturehybrid, 328, 329
asdistributedcomponents, 326331
inmicrowavehybridpower ampliers, 353
rat-race, 559, 560
Wireline, 328, 329
seealsobranchlinecouplers; Langecouplers;
power combining; quadraturecouplers
CPWseecoplanar waveguide(CPW)
crest factors(CFs), 512514, 624
denition, 513
determination, 624
periodicsignals, 624
CTE (coefcient of thermal expansion), joining
materialsof different, 425426
current
denition, 113114
seealsosnapbackcurrent
current acceleration, 462465
current density
accelerationfactors, 464
exponent determination, 465
Index 657
current densityequations, 128129, 131,
132133
current distribution, andmetal losses, 218
current sense, 348
current transport, DMOSFETs, 1011
D1020UK (MOSFET)
datasheet, 257
draincurrent vs. temperature, 257,
258259
gatevoltagevs. temperature, 257258
input/output impedance, 259, 260
thermal trackingcircuit, 258
datarates, andefciency, 520522
databasemodels, 212
datasheets
D1020UK, 257
interpretationissues, 331
RF power transistors, 244246
DCDC converters, 348
DCS1800system, 513
DDE (dynamicdataexchange), 229
de-embedding, adapters, 593
DECT (Digital EnhancedCordless
Telecommunications), 513
defect amplication, 482488
defect-relatedproblems, ampliers, 447
defects
capacitors, 483
liftoff, 483
predicted, 495
typesof, 483
vs. wear-out, 475492
seealsofailures
depletionregion, 1718
GaAsFETs, 61
siliconLDMOSFETs, 5
design
balanced, MHPAs, 334
ceramicpackages, 391393
issues, 391
GaAsFETs, 6374
galliumnitridewidebandgaptransistors,
118125
heat sinking, 308309
HFETs, 6465
hypothetical RFPA subsystemmodules,
252
MESFETs, 6465
MHPAs, 333
MMIC PAs, 370372, 525526
pHEMTs, 6465
plasticpackages, 395
power ampliers
holistic, 526, 528
overview, 523526
parameter trade-offs, 509514, 525, 567568
processes, 525
power FET cells, 6769
for reliability, 495500
RF power transistors, 1
RFPAs, 242
siliconcarbidewidebandgaptransistors,
118125
siliconLDMOSFETs, 2739
siliconVDMOSFETs, 2739
seealsocomputer-aideddesign(CAD); thermal
design
designow
barriersto, 229
innonlinear circuit simulations, 228230
designfor manufacturability(DFM) studies,
282
designers, andfailuremechanisms, 447
devicejunctiontemperature, 428429
devicepitch, issues, 2829
devicesunder test (DUTs)
heat radiation, 429430
power input, 622
power output, issues, 596
radiant energy, 430431
temperaturecontrol, 21
two-port, 581
wavepropagation, 580
dewpoint, 294295
DF (dissipationfactor), 318320
DFM (designfor manufacturability) studies,
282
dieattach
eutectic, 397
materials, 397, 426427
methods, 396397
inMMIC PA packageassembly, 396397
solders, 426427
thermal resistance, 436437
uniform, 401
seealsoip-chipdieattach; goldsilicondie
attach; leadtinsilver dieattach
diebonding, 493494
diethickness, andthermal resistance, 436
dielectricconstants, andtemperature, 287
dielectriclayers, inGaAsFET fabrication,
7980
dielectrics
degradationmechanisms, 453454
failuremechanisms, 453454
gate, 453454
properties, 320
dies, insiliconLDMOSFET construction,
25
differential equations, intime-domainanalysis,
202
658 Index
differential-modesignals, 608610
diffusion
assessment, 455
measurement, 295
andmoistureingress, 295
seealsointerdiffusion
digital basebandpre-distortion(DPD), 514517,
524, 567568
blockdiagrams, 515, 516, 517
disadvantages, 516
feedbackloops, 515, 516517
performanceplots, 517, 518
andpower amplier design, 524
power dissipation, 517518
principles, 515516
requirements, 516
Digital EnhancedCordlessTelecommunications
(DECT), 513
digital-signal processors(DSPs)
applications, 515
power consumption, 517518
diodesensors, 570571
attenuators, 571
circuit diagrams, 571
fast, 571
input power ranges, 572573
optimization, 571
diodes
DC voltages, 194195
reliabilityguidelines, 499
Schottky, 345347
sinusoidal voltages, 196198
Zener, 347
directional elements, 582
directivity, 585
frequencyrange, 585
functions, 582
implementations, 585
test port match, 585
directional power measurements,
576579
directional power meters, applications,
579
directional power sensors
applications, 579
development, 578
directivity, 584, 596597
determination, 583584
directional elements, 585
raw, 597
discontinuities
coplanar waveguide, 366367
microstrip, 205, 206208, 209, 365
simulations, 212
andRF connections, 314
waveguides, 211212
dispersion-freenetworks, phasedelay, 605
dissipationfactor (DF), 318320
distortion
nonlinear, 512513, 611
seealsoharmonicdistortion(HMD);
intermodulationdistortion(IMD); linear
distortion; pre-distortion
distributedampliers, 340341
distributedcomponents, 323331
capacitorsas, 324
couplersas, 326331
denition, 323324
equivalent circuits, 324, 325
resistorsas, 323324
transmissionlinesas, 324
DMOSFETsseedoublediffused
metaloxidesiliconeldeffect transistors
(DMOSFETs)
Dohertyampliers, 1, 514, 524525
combiningin, 344
development, 563
efciency, 564566, 567
for efcient radiobasestations, 527530
futuretrends, 440441
mainandauxiliaryvoltagesandcurrents,
566
peakingstage, 173
power output, 566, 567
principles, 561563
single-stage, 528, 529
Dohertycombining, 523, 527, 559567
efciencyimprovement, 529
representations, 563564, 565
Dohertyconguration, vs. balancedmode
conguration, 529
doublediffusedmetaloxidesiliconeldeffect
transistors(DMOSFETs)
advantages, 12
breakdownvoltage, 1722
current transport, 1011
currentvoltageresponses, 10
development, 12
devicephysics, 1027
heat generation, 3334
historical background, 12
hot carrier injection, 1722
linear regimeon-resistance, 1722
operatingvoltage, issues, 2627
operation, 10
linear region, 19
saturationregion, 19
parasiticelements, behavior, 1217
ruggedness, 2226
snapback, 2226
terminals, 1011
typesof, 2
Index 659
seealsolateral doublediffused
metaloxidesiliconeldeffect transistors
(LDMOSFETs); vertical doublediffused
metaloxidesiliconeldeffect transistors
(VDMOSFETs)
DPDseedigital basebandpre-distortion(DPD)
drainefciency, 33, 110111, 559560
broadband, 279
denition, 3637, 509510, 602
drainengineering
andruggedness, 24
useof term, 17
drainswitching, high-power ampliers, 532533
drainvoltagecontrol, 348
drain-to-sourcebreakdownvoltage(BV
ds
), 25,
5758
DMOSFETs, 1722
high, designingfor, 18
increase, 56
LDMOSFETs, 5
mechanisms, 1718
optimization, 6566
andruggedness, 23
useof term, 17
drain-to-sourcecapacitance(C
ds
), silicon
LDMOSFETs, 5, 1516
DSPsseedigital-signal processors(DSPs)
DUTsseedevicesunder test (DUTs)
dynamicdataexchange(DDE), 229
dynamicloadlines, 618
dynamicrange, 628629
power sensors, 574
RF power ampliers, 237238
vector networkanalyzers, 616
EDseeelectrodeposition(ED)
edgecoupling, 290291
EDGE (EnhancedDataRatesfor Global Evolution),
508509
EEFET3model, 86
EEHEMT model, 86
EER (envelopeeliminationandrestoration)
schemes, 514
efciency
andamplier classication, 160
ClassA ampliers, 511
collector, 509510
anddatarates, 520522
Dohertyampliers, 564566, 567
enhancement, 514
andgain, 3637
instantaneous, 510
measurement, 602603
peak, 511
power ampliers, 508, 524525, 567568
factorsaffecting, 602603
improvements, 620
power conversion, 509510
radar, 508
RF power transistors, 244
RFPAs, 238, 282, 416, 440
seealsoaverageefciency; drainefciency;
maximumefciency; power efciency;
power-addedefciency(PAE)
efciencyfactor, 602603
EIA (ElectronicIndustriesAssociation) (US),
321
EL2(electronlevel 2), denition, 44
electriceldaccelerationfactor, 470
determination, 469
electriceldspreading, 5859
electrical ratings, 496497
electrodeposition(ED)
advantages, 289
applications, inprintedcircuit boardmanufacture,
289
electromagneticanalysissoftware, andcircuit
analysissoftware, 229
electromagneticcompatibility(EMC),
268269
RF power ampliers, 235, 241
electromagneticsimulation
applications, 210
modelsfrom, 210212
electromagneticsimulators, 210212
inMMIC PA design, 372, 373
three-dimensional, 211212
three-dimensional predominantlyplanar, 211
two-dimensional, 210211
seealsoplant simulators
electromigration
denition, 462463
designissues, 3032
HBTs, 464465
integratedcircuits, 463
interconnects, 463464
andlifetimes, 464
mechanisms, 463
andmetallization, 452
metals, responsedifferences, 3031
performanceenhancement, 3132
prevention, 463
requirements, inMMICs, 369370
resistors, 463464
vs. temperature, 33
electrongas
two-dimensional
electronmobility, 114
sheet chargedensity, 114
electronlevel 2(EL2), denition, 44
ElectronicIndustriesAssociation(EIA) (US),
321
660 Index
electronicwarfare(EW)
communicationsjamming, hypothetical RFPA
subsystem, 252282
power ampliersin, 534537
RF power ampliersin, 252
seealsojammers
electrostaticdischarge(ESD)
guidelines, 499
input protectioncircuits, 499
electrothermal equivalent circuits, 214215
element testing, 448449
EMC seeelectromagneticcompatibility(EMC)
emissivity, 422, 430431
denition, 422
encapsulation, MMIC PAs, 400
EnhancedDataRatesfor Global Evolution(EDGE),
508509
ENR seeexcessnoiseratio(ENR)
envelopeanalysis, 201202
computational costs, 202
envelopeeliminationandrestoration(EER)
schemes, 514
envelopetracking, 161
concept of, 524525
environmental testing, plasticpackages, 491492
epitaxial layers, growth, 111
epoxies, andscrewlocking, 304
equalizers, 325326
behavior, 326
lossystub, 327
equivalent circuits
capacitors, 209
coupled-coil transformers, 553554
distributedcomponents, 324, 325
electrothermal, 214215
GaAsFETs, 6061, 85
GaN widebandgaptransistors, 125127
gatenger, 68
gatemanifold, 68
large-signal models, 8586
limitations, 127
lumpedcomponents, 324, 325
reduced, 127
resistors, 318
small-signal models, 8485
two-port networks, 125126
transistors, 125127
seealsotee-equivalent circuits
equivalent generators, andpower sensors,
574576
error models
3-term, 589
7-term, 588, 589, 592
error vector magnitude(EVM), 522, 525, 630631
denition, 630
measurement, 630631
ESDseeelectrostaticdischarge(ESD)
etch-stops, 7778
etching
dry, 78
issues, 290291
mesa, 7677
wet, 78
seealsogateetching
eutecticsystems, for dieattach, 426427
EVM (error vector magnitude), 522, 525
EWseeelectronicwarfare(EW)
excessnoiseratio(ENR), 638640
determination, 638
F seenoisefactor (F)
failurecriteria, 449450, 480
measurement selection, 450
specicationthresholds, 449450
failuredistributions, 446, 455458
HBTs, 465
separation, 455
thermal excursions, 477
failuremechanismanalysis(FMA), 478479
failuremechanisms, 446, 451455
bulksubstratematerials, 454
denition, 451
designersand, 447
dielectric, 453454
ingalliumarsenideprocesses, 500
metallization, 451453
SchottkygateFETs, 454455
semiconductors, humidity, 490
failuremodeandeffectsanalysis(FMEA), 282,
478479
failuremodes, 450451
denition, 450451
failurerates, 447
denition, 475
semiconductors, 455456, 458
seealsomeantimebetweenfailure(MTBF);
meantimetofailure(MTTF)
failures
denition, 449
early, 504505
evaluationprocedures, 473474
infant, 504505
probabilities, 446
seealsodefects
failuresintime(FIT), 475
fans, reliabilityissues, 311
FaradaysLaw, 128129
fast Fourier transforms(FFTs), 204
FDD(frequency-divisionduplexing),
519520
FDMA (frequencydivisionmultipleaccess),
511512
Index 661
feedback
inmicrowavehybridpower ampliers, 335, 336
issues, 335336
resistive-inductive-capacitivenetworks, 251, 274,
315
inRF power ampliers, 251, 267268
seealsogate-to-drainfeedbackcapacitance(C
gd
)
FEM seeniteelement method(FEM)
FETsseeeldeffect transistors(FETs)
FFTs(fast Fourier transforms), 204
FIB (focusedionbeam), applications, 459
berglass, applications, substrates, 285286
eldeffect transistors(FETs)
applications, RF power ampliers, 161162
biasnetworks, 249250
characteristics, idealized, 162
currentvoltagerelationships, 162
degradation, 455
failuremechanisms, 454
gate-to-sourcecapacitance, 134135
lifetesting, 460, 461
lifetimes, temperatureeffects, 498
metalinsulatorsemiconductor, 110
nonlinear sourceresistance, equivalent circuits,
134135
power devicemodels, 213
reliabilityguidelines, 497498
RF power output, 124
sinkinggates, 458
sourceresistance, 133134
tee-equivalent circuits
large-signal, 128
small-signal, 126
transconductance, 134135
seealsogalliumarsenideeldeffect transistors
(GaAsFETs); heterostructureeldeffect
transistors(HFETs); metal semiconductor
eldeffect transistors(MESFETs);
metaloxidesiliconeldeffect transistors
(MOSFETs)
eldplates
concept of, 1819
effects, 8
inGaAsFETs, 6667
in4H-SiC MESFETs, 108
insiliconLDMOSFETs, 78, 1819
niteelement method(FEM), 431
applications, 431432
niteimpulseresponse(FIR) models, 201
nitevolumemethod(FVM), 431
FIR (niteimpulseresponse) models, 201
FIT (failuresintime), 475
ares, 29, 30
ashing, 289
ip-chipdieattach
failurecross-sections, 481482
failuremechanisms, 478479
technology, 479
test structure, 479
thermal excursiontesting, 478
FloTHERM, 432
uidows, simulations, 431
FMA (failuremechanismanalysis), 478479
f
max
seemaximumoscillationfrequency(f
max
)
FMEA (failuremodeandeffectsanalysis), 282,
478479
focal planearray(FPA) detectors, 429430
focusedionbeam(FIB), applications, 459
forcedair, andheat sinking, 310311
Fourier transforms, 196198, 204, 227
fast, 204
FPA (focal planearray) detectors, 429430
frequency
andgain, 37
seealsomaximumoscillationfrequency(f
max
);
transitionfrequency(f
T
)
frequencydivisionmultipleaccess(FDMA),
511512
frequencymodulationschemes, analog, 508509
frequencysets
boxtruncations, 224
innonlinear circuit simulation, 224225
rectangular truncations, 224
triangular truncations, 224
useof term, 224
frequency-divisionduplexing(FDD), 519520
frequency-domainmodels, 204
frequency-shift keying(FSK), efciency, 512513
FSK (frequency-shift keying), efciency, 512513
f
T
seetransitionfrequency(f
T
)
fuses, 347
FVM (nitevolumemethod), 431
2.5G(mobilephonestandard), 508509
3G(mobilephonestandard), 508509, 519520
power ampliers, 509
4G(mobilephonestandard), 508509
power ampliers, 509
GaAsseegalliumarsenide(GaAs)
GaAsFETsseegalliumarsenideeldeffect
transistors(GaAsFETs)
GaAsHBTs(galliumarsenideheterojunction
bipolar transistors), development, 42
GaAsMESFETsseegalliumarsenidemetal
semiconductor eldeffect transistors(GaAs
MESFETs)
gain
denitions, 599602
andefciency, 3637
atness, 237, 253
andfrequency, 37
GaAsFETs, 6163
662 Index
gain(cont.)
hypothetical RFPA subsystemmodules,
253
independent factors, 600601
insertion, 601602
linearity, 237, 253, 254
RF power ampliers, 237
RF power transistors, 244
temperaturestability, 237
transducer, 599600
seealsounilateralizedgain(U)
gaincircles, constant, 601
gaincompression, 622
gallium, interdiffusion, 454455
galliumarsenide(GaAs)
bandgap, 43
chargecarrier mobility, 113114
crystal structure, 4344
disadvantages, 4445
andhydrogenpoisoning, 295
processes
accelerationfactors, 500
failuremechanisms, 500
properties, 4345
resistivity, 44
thermal conductivity, 436
wafers, 357
seealsoaluminiumgalliumarsenide(AlGaAs);
indiumgalliumarsenide(InGaAs)
galliumarsenideeldeffect transistors(GaAs
FETs), 4291, 249
applications, 161162
inRF power ampliers, 282
banddiagrams, 50
biasnetworks, 250
breakdown, 5758, 66
mechanisms, 5859
optimization, 5859, 6566
ratings, 5960
RF vs. DC, 60
capacitances
gate-to-drain, 60
gate-to-source, 60
parasitic, 60
channel temperature, 7273
characteristics, 332
currentvoltagecharacteristics, 5152
detailedbehavior, 5152
dynamic, 5354
idealized, 51, 52
practical, 53
pulsed, 5354, 87
real devices, 52
depletionregion, 61
design, 6374
epi-layer, 6465
FET channel andrecess, 6367
gate-recess, 6566
power devices, 63
power FET cells, 6769
thermal, 7274
development, 42
dopingproles, 64
drainlag, 55
efciency, 331
equivalent circuits, 6061, 85
fabrication, 7484
backsideprocessing, 80
deviceisolation, 7677
dielectriclayers, 7980
gateetching, 7778
gate-shrinkapproaches, 81
interconnect metals, 80
liftoff, 76
lithography, 7576, 81
low-cost, 81
ohmiccontacts, 77
overview, 7475
packaging, 8184
processmonitoring, 80
processes, 7581
Schottkygateelectrodes, 7879
eldplates, 6667
guresof merit, 6163
gain, 6163
gatelag, 55
gatesinking, 72
historical background, 42
junctiontemperature, 72, 74
loadlines, 5152
classA, 52
classB, 52
materials, properties, 43
models, 8489
device, 84
gate-charge, 8687
large-signal, 56, 8589
load-pull, 89
small-signal, 8485
packaging, 8184
physics, 5163
pulsedoperation, 332
resistances, parasitic, 60
thermal resistance, 74
thermal simulation, 7374
trappingeffects, 5354
consequences, 5457
minimization, 54
typesof, 4651
galliumarsenideheterojunctionbipolar transistors
(GaAsHBTs), development, 42
galliumarsenidemetal semiconductor eldeffect
transistors(GaAsMESFETs)
applications, 357358
Index 663
dynamiccharacteristics, 115117
failuremechanisms, 454
galliumarsenidetechnology, advantages, 42
galliumnitride(GaN)
applications, 105106, 161162
chargecarrier mobility, 113114
holemobilities, 115
galliumnitrideeldeffect transistors(GaNFETs),
249
applications, inRF power ampliers, 282
biasnetworks, 250
characteristics, 332
development, 42
efciency, 331
galliumnitrideRF power ampliers(GaN RFPAs)
applications, 441442
dieattach, 437
galliumnitridewidebandgaptransistors,
103153
breakdown, 112113
development, 104105
devicedesign, 118125
futuretrends, 152153
large-signal effects, 130152
gateleakage, 144146
nonlinear sourceanddrainresistances,
133143
reliabilityandtime-dependent performance
degradation, 146152
space-chargelimitedcurrent transport,
130133
material parameters, 111115
models, 125130
equivalent circuits, 125127
physics-basedlarge-signal, 128
properties, 112
RF performance, 118125
thermal conductance, 112113
GaN seegalliumnitride(GaN)
GaN FETsseegalliumnitrideeldeffect transistors
(GaNFETs)
GaN RFPAsseegalliumnitrideRF power ampliers
(GaNRFPAs)
gasket sealing, 298, 299
approaches, 297
disadvantages, 297298
gatecapacitance, chargeconservation, 8687
gatedielectrics, degradation, 453454
gateetching
approaches, 78
inGaAsFET fabrication, 7778
gatenger arrays, 27, 28
gateresistance, 347
gatetaps, 28
concept of, 2728
gatevoltagecontrol, 348
gate-to-drainbreakdownvoltage(BV
gd
)
denition, 5758
optimization, 6566
astwo-terminal test, 5758
gate-to-drainfeedbackcapacitance(C
gd
)
GaAsFETs, 60
siliconLDMOSFETs, 16
siliconVDMOSFETs, 16
gate-to-sourcebreakdownvoltage(BV
gs
)
denition, 5758
astwo-terminal test, 5758
gate-to-sourcecapacitance(C
gs
)
AlGaN/GaN HFETs, 135
FETs, 134135
GaAsFETs, 60
siliconLDMOSFETs, 16
gaugerepeatabilityandreproducibility(GR&R),
282, 450
Gaussianminimum-shift keying(GMSK),
applications, 513
g
ds
(output conductance), dispersion, 55
generalizedminimumresidual (GMRES), 193,
199200
Global Systemfor MobileCommunications(GSM),
508509, 513
standards, 519
g
m
seetransconductance(g
m
)
Gmaxcurves, 62, 9091
GMRES(generalizedminimumresidual), 193,
199200
GMSK (Gaussianminimum-shift keying),
applications, 513
gold
applications, metallization, 452
electromigration, 3031
interdiffusion, 452, 454455
goldsilicondieattach
applications, 437
thermal resistance, 436437
goodness, measures, 447
3GPPsee3
rd
GenerationPartnershipProject(3GPP)
GR&R (gaugerepeatabilityandreproducibility)
studies, 282, 450
grounding, printedcircuit boards, issues, 285, 291
groupdelay
andapertures, 603604
calculation, 603604
denition, 603
measurements, 603605
GSM (Global Systemfor MobileCommunications),
508509, 513
Guanellabaluns, 554
Gysel combiners, 533534, 542544
advantages, 542544
frequencyresponse, 544
layouts, 542544
modes, 544
andWilkinsonpower combinerscompared, 545
664 Index
hparameters, 90
h
21
, determination, 90
Hall mobilitymeasurements, 114
HALTs(highlyacceleratedlifetests), 270, 271
handset ampliers, simulations, 219, 220
hardsubstrates, 285
dimensional issues, 289
mounting, 292
harmonicdistortion(HMD), 239, 611615
measurement, 641
harmonicload-pull
active, 620, 621
cascadedtuner method, 620
triplexer method, 620
harmonicmatching, 185186
harmonicsuppression, determination, 614615
harmonic-balanceanalysis, 193202
applications, 205
largecircuits, 196198
convergencefailure, 195
convergencetesting, 195196
development, 193
improvements, 199202
envelopeanalysis, 201202
error equationweighting, 200
Krylovsubspacemethods, 199200
multitoneexcitations, 200201
normreduction, 199
Semanskii iteration, 199
multidimensional problems, 196198
performance, 195
procedures, 194195
scatteringparameter modelsin, 207208
SPICE modelsin, 226
HASL (hot air solder leveling), 289
HASTsseehighlyacceleratedstresstests(HASTs)
HBTsseeheterojunctionbipolar transistors
(HBTs)
HCI seehot carrier injection(HCI)
heat sinking
designissues, 308309
andforcedair, 310311
housings, 293, 305311
heat sinks
construction, 309310
convection, 421
nalignment, 310311
RFPAs, 417
thermal resistance, 439440
typesof, 309
heat transfer
efciency, 421422
mobilephones, 414415
PCBs, 414415
simulations, 431
andthermal performance, inRFPAs, 419423
seealsoconduction; convection; phasechange
cooling; radiation
heat transfer coefcient, 421422
heatpipes, 311
HEMTsseehigh-electronmobilitytransistors
(HEMTs)
hermeticsealing, 295, 296297
approaches, 297
MMIC PAs, 400
heterojunctionbipolar transistors(HBTs)
applications, 161162
inMMICs, 361362, 386
development, 358
electromigration, 464465
failuredistributions, 465
lifetesting, 464
thermal stability, 216
heterostructureeldeffect transistors(HFETs), 47
banddiagrams, 50
gatebiaseffects, 51
currentvoltagerelationships, DC, 137
depletionmode, 135
design, epi-layer, 6465
developments, 48
fabrication, 7475
gateleakage, 144
materials, 104105
models, large-signal, 147
resistances
drain, 136
source, 136
structure, 4648
seealsoaluminiumgalliumnitride/gallium
nitrideheterostructureeldeffect transistors
(AlGaN/GaN HFETs); high-electron
mobilitytransistors(HEMTs)
HFETsseeheterostructureeldeffect transistors
(HFETs)
high-electronmobilitytransistors(HEMTs), 47
applications, inMMICs, 361362
banddiagrams, 4950
channel mobilities, 4849
development, 161162, 358
dopingproles, 6465
fabrication, 7475
failuremechanisms, 454
indiumgalliumarsenide-based, RF performance,
103104
modulationdoping, 4849
structure, 48
voltageacceleration, 471472
seealsopseudomorphichigh-electronmobility
transistors(pHEMTs)
high-frequencydevices, 105106
high-power ampliers(HPAs), 374375, 388
applications, 532
Index 665
drainswitching, 532533
multi-octave, 377380
single-stage, 385386
thermal management, 400401
high-power attenuators, 577
high-power RF measurements, 576579
high-temperaturelifetesting, 475
high-voltageintegratedcircuits(HVICs), 3739
development, 37
performance, 3839
two-stage, 3738
high-voltagepregion(PHV) implants
siliconLDMOSFETs, 67
siliconVDMOSFETs, 19
high-voltagezero-power moisture(HVZPM), 489
highlyacceleratedlifetests(HALTs), 270, 271
highlyacceleratedstresstests(HASTs), 8384,
489490
accelerationfactors, 492
applications, 488
HMDseeharmonicdistortion(HMD)
holecurrent density, simulations, 25
hot air solder leveling(HASL), 289
hot carrier injection(HCI)
characterization, 19
stresstesting, 21
degradationmechanisms, 453454
DMOSFETs, 1722
LDMOSFETs, 25
levels, 78
mitigation, 2122
occurrence, 1921
andRF biasacceleration, 473
sensitivity, 2122
housings
connections, 293
construction, 299305
constructionmethods, 303304
castings, 303
machining, 303
pieceparts, 304
cost reductions, 303304
heat sinking, 293, 305311
hermeticity, 294299
interferenceissues, 293
internal corners, 303
materials, 294, 311
microwavehybridpower ampliers, 293315
mountings, 293
protection, 293, 294295
factorsaffecting, 295
standards, 295
RF connections, 311315
screwlocking, 304
sealing, 294299
thermal issues, 305311
thermal performance, 306
water cooling, 311
seealsopackaging
HPAsseehigh-power ampliers(HPAs)
humidity
semiconductor failuremechanisms, 490
andtemperature, 488489
humidityaccelerationfactors, 488492
humidityactivationenergy, 488492
humiditytestingbias, 488489
HVICsseehigh-voltageintegratedcircuits(HVICs)
HVZPM (high-voltagezero-power moisture), 489
hybrid, denition, 284
hybridampliers
markets, 284
substrates, parameters, 286
useof term, 284
seealsomicrowavehybridpower ampliers
(MHPAs)
hybridparameters, h
21
, 62, 63
hydrogenpoisoning, 295
hypothetical RFPA subsystemmodules, 252282
altitude, 253, 254
antennaload, 253
bandwidth, 252, 253
breadboards, 271, 272
capacitors
chip, 265267
coupling, 265267
feedback, 274
design
preventativemeasures, 273
processes, 252
efciency, 278, 279
feedbacknetworks, 267268
frequencyresponse, 253
gain, 253
atness, 253
linearity, 253, 254, 274278
gaskets, 273
gatebias/temperaturetracking/compensation
networks, 257259
hardware, design/realization, 254255
input/output impedancematchingnetworks,
259267
input/output RF/DC coupling/decoupling
networks, 259
components, 259
meantimetofailure, 253, 254, 280, 281
overview, 252
phaselinearity, 254, 274278
physical construction, 271273
power output, 252, 253, 255
radiatedemissions, 253, 254
RF transistor selection, 255257
schematics, 273274
666 Index
hypothetical RFPA subsystemmodules(cont.)
shock/vibration, 253, 254
specications
qualitativedelineation, 252253
quantication, 253254
stability, 253, 279
susceptibility, 253, 254
systemblockandwirediagrams, 254255
temperaturerange, 253, 254
temperaturestresstests, 279280
test data, 281282
test results, 273280
test setupconguration/analysis, 268270
blockdiagrams, 268269, 270
transformationratios, 260261
transformers, output, 272
two-port small-signal responses, 274, 275
vibrationtests, 279280
voltagestandingwaveratios, load, 253, 278279
ICsseeintegratedcircuits(ICs)
IEDsseeimprovisedexplosivedevices(IEDs)
IIR (inniteimpulseresponse) models, 201
IMDseeintermodulationdistortion(IMD)
IMFETsseeinternallymatchedeldeffect
transistors(IMFETs)
impact ionization, 5859
impedancematching
hypothetical RFPA subsystemmodules, 259267
microwavehybridpower ampliers, 337
power eldeffect transistor cells, 71
RF power transistors, 250251, 259267, 283
HF, 250
UHF, 250
VHF, 250
stubs, 338
transistor ampliers, 117
impedancerange, andsubstratematerials, 289
impedancestandardsubstrates(ISSs), calibration
standards, 595596
improvement cycles, mobilephones, 502
improvisedexplosivedevices(IEDs)
countermeasures, power ampliersin, 538
jammers, 538
seealsoradio-controlledimprovisedexplosive
devices(RCIEDs)
incident power, 581
incident waves, 580
magnitude, 582
indeniteadmittancematrices, 190
indiumgalliumarsenide(InGaAs)
bandgap, 43
crystal structure, 4344
inHEMTs, RF performance, 103104
inpHEMTs, 4849
properties, 43
inductance, general expression, coefcients, 368
inductors
air-cored, advantages, 322
bondwiresas, 219220
congurations, 367
losses, 219
lumped, 367
inmicrowavehybridpower ampliers, 321322
modeling, 315
planar spiral, closed-formmodels, 209
industrial markets, RF power ampliers, 235
inexact Newtonmethods, 199200
inniteimpulseresponse(IIR) models, 201
infrared(IR) microscopes, 429, 430
infrared(IR) reowthermal proles, 476
infrared(IR) thermometry, 428429
InGaAsseeindiumgalliumarsenide(InGaAs)
insertiongain, 601602
insertionloss
minimization, 321
transmissionlinetransformers, 264265, 266
instability, power ampliers, 605
integratedcircuits(ICs)
design, reliabilityissues, 496
electromigration, 463
fabrication, reliabilityissues, 496
materials, limitations, 446447
microwave, 357358
inmicrowavehybridpower ampliers, 322
seealsohigh-voltageintegratedcircuits(HVICs);
monolithicmicrowaveintegratedcircuits
(MMICs); radiofrequencyintegrated
circuits(RFICs)
intercept points, 611613
interconnects
electromigration, 463464
metallizationfailures, 451, 484
metals, 80
reliabilityguidelines, 498499
seealsovias
interdiffusion
copper, 452
gallium, 454455
gold, 452, 454455
interferences
common-mode, 608610
transmissionlines, 608610
intermodulationdistortion(IMD), 235, 611615
measurement, 641
minimization, 236
RF power ampliers, 239
intermodulationmeasurements, 614
intermodulationsuppression, 611613
internallymatchedeldeffect transistors(IMFETs)
characteristics, 71
topology, 73
Index 667
ionimplantation, inGaAsFET fabrication, 77
IR (infrared) microscopes, 429, 430
IR (infrared) reowthermal proles, 476
IR (infrared) thermometry, 428429
Iridite, 294
isolators
issues, 323
inmicrowavehybridpower ampliers,
322323
performance, 343
ISSs(impedancestandardsubstrates), calibration
standards, 595596
J acobianmatrices, 197198, 226
poor conditioning, 227228
jammers
inelectronicwarfare, hypothetical RFPA
subsystem, 252282
I/J band, 537, 538
power ampliers, 534
broadband, 538
prototypes, 535, 536, 537
for radio-controlledimprovisedexplosivedevices,
538
RF power ampliers, 234
junctiontemperature, reduction, 311
junction-to-casethermal resistance(R
jc
)
denition, 427
determination, 427
K factors, 482488
determination, 486
kinkeffect, 5657
mechanisms, 5657
Kirchhoffscurrent law, 194195, 196198
kneeregions, negligibleimpacts, 162
Kovar
applications
inceramicpackages, 391
housings, 294
hermeticsealing, 297
properties, 294
Krylovsubspacemethods, 193, 199200
parameter, 131
Lambert Wfunction, 132
landgridarrays(LGAs), 413414
landmobiles
applications, 3435
markets, 3435
Langecouplers, 287288, 330, 547,
549550
advantages, 328331
applications, 549
characteristicimpedance, 550
development, 549
frequencyrange, 550
frequencyresponse, 549550
limitations, 550
performance, 550, 551
Laplacetransforms, 204
largedevices, cell interconnections, modeling,
213214
large-signal models
attributes, 86
black-box, 89
compact, 87
comparisons, 86
development, 87, 129130
direct large-signal measurements, 8889
equivalent circuits, 8586
limitations, 127
for GaAsFETs, 8589
HFETs, 147
for largeperipherydevices, 88
nonlinear, 127
physics-based, 128
table-based, 88
andtrappingeffects, 8788
large-signal networkanalysis, 616618
calibration, 617618
large-signal networkanalyzers(LSNAs), 616,
617
set up, 622
lateral doublediffusedmetaloxidesiliconeld
effect transistors(LDMOSFETs), 249
cross-sections, 434
seealsosiliconlateral doublediffused
metaloxidesiliconeldeffect transistors
(siliconLDMOSFETs)
LDO(lowdropout) voltageregulators, 348
leadframes(LFs)
materials, 395
inplasticpackages, 395, 402
typesof, 395
leadtinsilver dieattach, 426427
applications, 437
thermal resistance, 437
LFsseeleadframes(LFs)
LGAs(landgridarrays), 413414
lifetesting
FETs, 460, 461
HBTs, 464
high-temperature, 475
highlyaccelerated, 270, 271
MESFETs, 459
transistors, 459462
lifetimes
capacitors, predictions, 471
andelectromigration, 464
FETs, temperatureeffects, 498
vs. temperature, 462
668 Index
liftoff, 453
defects, 483
inGaAsFET fabrication, 76
metallizations, 483
processes, 483
LINC systemsseelinear amplicationusing
nonlinear components(LINC) systems
linear amplicationusingnonlinear components
(LINC) systems, 160, 514
applications, 161
linear analysis, 188193
early, 188
nodal incidencematrices, 188
two-port, 125126
seealsonodal analysis
linear distortion
measurement, 603605
two-port networks, 603
linear measurements, 599611
linear stabilityissues, 605608
linear regimeon-resistance(R
DSon
)
DMOSFETs, 1722
factorsaffecting, 19
minimization, 19
siliconLDMOSFETs, 5
linear two-port circuit analysis, 125126
linearity
ClassAB ampliers, 169170
enhancement, 514
gain, 237, 253, 254
limits, 525
phase, 237, 254, 274278
power ampliers, 512514
measurement, 512
linearizationtechniques
overview, 514
power efciencyimpacts, 517519
systemlevel, 514519
seealsodigital basebandpre-distortion(DPD);
memoryeffect compensation
liquidcrystals, operatingtemperaturemeasurement,
428429
lithography
electron-beam, 76
inGaAsFET fabrication, 7576
optical steppers, 76, 81
stepper-based, 79, 81
typesof, 76
loadlines, dynamic, 618
load-pull measurements, 619622
activeharmonic, 620, 621
set up, 621
seealsoharmonicload-pull
local oscillators(LOs), 587
LongTermEvolution(LTE)
bandwidths, 517
standards, 520
LOs(local oscillators), 587
lowdropout (LDO) voltageregulators, 348
lowtemperatureco-redceramics(LTCCs), 315
developments, 322
LSNAs(large-signal networkanalyzers), 616
LTCCsseelowtemperatureco-redceramics
(LTCCs)
LTE seeLongTermEvolution(LTE)
LU decomposition, 191, 199
computational loading, 191
lumpedelements
advantages, 367368
denition, 367
microstrip, 367
inMMICs, 367369
lumped-element models
development, 368
vs. scatteringparameter models, 208
machining, housings, 303
MAGcurves(maximumavailablegain) curves,
62
magneticresonanceimaging(MRI), RF power
ampliers, 235
magneticresonancespectroscopy(MRS), RF power
ampliers, 235
Masonsinvariant gainseeunilateralizedgain(U)
matching
harmonic, 185186
seealsoimpedancematching; transformer
matching
materials
AlGaN/GaN HFETs, 114
bipolar junctiontransistors, 106
ceramicpackages, 391
properties, 391
dieattach, 397, 426427
GaAsFETs, 43
HFETs, 104105
housings, 294, 311
leadframes, 395
limitations, 446447
metallization, 451452
microwave, 286
pHEMTs, 4849
radioabsorbent, 304305
RFPAs, thermo-physical properties, 423427
substrates, 289
soft, 285286
thermal conductivity, 423424
at roomtemperature, 425
behavior, 424425
widebandgap, 284
seealsothermoset materials
matingsurfaceatness, RFPAs, 439
Index 669
matrices
indeniteadmittance, 190
port, 191
processing, 192193
sparse, 192193
storageissues, 192193
seealsoJ acobianmatrices; LU decomposition;
nodal matrices
maximumavailablegain(MAG) curves, 62
maximumefciency
ClassA ampliers, 510
power ampliers, 510
maximumnorms, 227
maximumoscillationfrequency(f
max
), 63
denition, 6263
determination, 90
limitations, 63
maximumratings, 497
maximumstablegain(MSG) curves, 62
MBE seemolecular beamepitaxy(MBE)
meantimebetweenfailure(MTBF)
applications, 474475
andbathtubcurves, 412413
temperatureand, 412
meantimetofailure(MTTF)
andelectromigration, 3031
hypothetical RFPA subsystemmodules, 253, 254,
280, 281
microwavehybridpower ampliers, 305
RF power ampliers, 241
measurements
AM/AM, 632
AM/PM, 632
ampliers, 570642
directional power, 576579
groupdelay, 603605
Hall mobility, 114
high-power RF, 576579
of interest, selectioncriteria, 449
intermodulation, 614
nonlinear, 611623
pulsed, 633635
requirements, 641
scalar reection, 582
transmission, 586
uncertainties, 598599
sourcesof, 574576
seealsolinear measurements; load-pull
measurements; modulatedmeasurements;
noisemeasurements; power measurements;
scattering(S) parameter measurements;
source-pull measurements
median, andstandarddeviationcompared, 468
medianlives(MLs), 459460
determination, 461, 463464
transistors, 461
mediantimetofailureseemeantimetofailure
(MTTF)
medical heating, RF power ampliers, 235
medical markets, RF power ampliers, 235
memoryeffect compensation, 517, 567568
memoryeffects, 56, 259, 630
modulatedmeasurements, 632633
Mentor Graphics, 432
mesaetching, inGaAsFET fabrication, 7677
MESFETsseemetal semiconductor eldeffect
transistors(MESFETs)
metal alloys, development, 285
metal organicchemical vapor deposition
(MOCVD), applications, 75
metal semiconductor eldeffect transistors
(MESFETs), 45, 47
applications, inMMICs, 361362
banddiagrams, 4950
gatebiaseffects, 51
channel mobilities, 4849
degradation, 458459
electrical characteristics, 458
design, epi-layer, 6465
dopingproles, 64
fabrication, 7475, 107108, 360
ionimplantation, 77
failuremechanisms, 454
lifetests, 459
structure, 4648
seealsogalliumarsenidemetal semiconductor
eldeffect transistors(GaAsMESFETs);
4H-siliconcarbidemetal semiconductor eld
effect transistors(4H-SiC MESFETs)
metalinsulatormetal (MIM) capacitors, 367,
368369
dielectrics, 453
reliabilityguidelines, 499
structure, 369
time-dependent dielectricbreakdown, 466471
voltageacceleration, 465466
voltageramping, 466471
seealsosiliconnitridecapacitors
metalinsulatorsemiconductor eldeffect
transistors(MISFETs), 110
metaloxidesiliconeldeffect transistors
(MOSFETs), 249
advantages, 246247, 257
applications, RF power ampliers, 282
availability, 282
seealsodoublediffusedmetaloxidesiliconeld
effect transistors(DMOSFETs)
metallization, 451453
andcorrosion, 452
andelectromigration, 452
interconnects, 484
liftoff, 483
670 Index
metallization(cont.)
materials, 451452
patterndenition, 453
andreliability, 494495
semiconductors, 488
metals
imperfections, 218
oxidation, 218
resistivity, 218219
bulk, 289
surfaceroughness, 218
MGRS(migratedgoldresistiveshorts), 490
MHPAsseemicrowavehybridpower ampliers
(MHPAs)
Microsoft Windows
component object model, 229
dynamicdataexchange, 229
microstrip, 80, 218
alternativesto, 292
attenuationconstant, 364
incalibration, 593594
characteristicimpedance, 363, 364, 392393
data, 363
designissues, 325
dielectricconstant, 364
discontinuities, 205, 206208, 209, 365
simulations, 212
lossmodels, 218
lumpedelements, 367
inMMICs, 359, 362365
operatingfrequency, 364365
parameters, 363
rat-racecouplers, 559
teejunctions, 229
transmission-linemodels, 229
wavelength, 363
microwaveabsorbers, 304305
microwavedevices, 105106
microwavehybridpower ampliers(MHPAs),
284355
applications, 284
balanceddesign, 334
disadvantages, 334335
biasing, andcontrol, 345353
broadbandmatchingstrategies, 340341
cavities
orthogonal, 300
resonant frequencies, 304305
components, 315332
congurations, 299300
H-section, 300, 301
orthogonal cavities, 300, 301
planar, 301303
side-by-side, 302
split section, 301
wraparound, 300, 301, 302
construction, 299305
control
andbiasing, 345353
andinterfacing, 352353
couplers, 353
design, 333
balanced, 334
combining, 344
internallymatcheddeviceampliers, 343344
issues, 284
matching, 336343
modulesize, 344
number of stages, 333
riskreduction, 344
stability, 336343
systemintegration, 344
topologies, 333336
fault nding, 344
feedback, 335, 336
issues, 335336
housings, 293315
impedancematching, 337, 339341
interfacing
andcontrol, 352353
thermal resistancereduction, 307308
meantimetofailure, 305
modules, congurations, 299300
operatingtemperatures, 299
reduction, 307
printedcircuit boards, 285, 293
substrates, properties, 287
thermal resistance, 305
tuningtechniques, 353355
seealsocomponents; housings; printedcircuit
boards(PCBs)
microwaveintegratedcircuits(MICs), development,
357358
microwavematerials, properties, 286
microwavepower ampliers
developments, 357
RF power performance, 105
seealsomonolithicmicrowaveintegratedcircuit
power ampliers(MMIC PAs)
microwavepower eldeffect transistors
power-frequencylimit, 4546
thermal resistance, 7374
microwavesystems
solid-statetransistorsin, 103
vacuumtubesin, 103
MICs(microwaveintegratedcircuits), development,
357358
migratedgoldresistiveshorts(MGRS), 490
MIL-STDs(militarystandards) (US), 295
militarymarkets
power ampliers, 530538, 567568
RF power ampliers, 234235
Index 671
militarystandards(MIL-STDs) (US), 295
MIM capacitorsseemetalinsulatormetal (MIM)
capacitors
MIMIC program(US), 42
MIMO(multiple-inputmultiple-output), 520
MISFETs(metalinsulatorsemiconductor eld
effect transistors), 110
mixer sets, 224
MLCsseemultilayer capacitors(MLCs)
MLsseemedianlives(MLs)
MMIC PAsseemonolithicmicrowaveintegrated
circuit power ampliers(MMIC PAs)
mobilephones
air owelds, 414415
blockdiagrams, 413414
explodedviews, 413414
heat transfer, 414415
improvement cycles, 502
sealing, 414
talktime, 508
temperatureeldpatterns, 414415, 416
thermal behavior, 414415
thermal design, 413415
mobileradiocommunications
efciencytrends, 520522
networks, operatingcosts, 523
power ampliers, 519522
design, 523524
standards, 519
mobiletelephony
applications, 35
basestations, RF power ampliers, 236
historical background, 508509
power ampliers, 509
MOCVD(metal organicchemical vapor
deposition), applications, 75
modal decomposition, 608610
MODFETsseehigh-electronmobilitytransistors
(HEMTs)
modulatedmeasurements, 623636
memoryeffects, 632633
modulatedsignals, properties, 573
modulationdopedeldeffect transistors
(MODFETs) seehigh-electronmobility
transistors(HEMTs)
modulationenvelope, useof term, 623
moistureingress
andbreathing, 296
capillaryaction, 296
diffusion, 295
andsealing, 295296
moisturesensitivitylevel (MSL), 491492
moisturevapor transmissionrate(MVTR), 295
molecular beamepitaxy(MBE)
applications, 75
development, 161162
monolithicmicrowaveintegratedcircuit power
ampliers(MMIC PAs), 357406
advantages, 358359
broadband, 372373, 376377
2WC-band, 376, 377
10WX-band, 377, 378
performance, 373
characterization, 357, 401406
power, 404405
procedures, 401403
test xtures, 403404, 405, 406
tests, 403406
design, 370, 525526
CADtools, 370
electromagneticsimulators, 372, 373
owcharts, 371
issues, 370
methodology, 370372
procedures, 371372
developments, 358
evaluation, 401
examples, 372389
high-power, 372373, 381386
14Wwith60%PAE, 384386
15WC-band, 385386
20WX-band, 383, 384
50WS-band, 383
design, 381383
power output, 385386
high-power-addedefciency, 372373
high-voltage, 372373, 374, 387389
10WGaAsHV FET, 388, 389, 390
GaNHEMT-based, 388389, 391
limitations, 387388
operation, 388
historical background, 357358
millimeter wave2.4W, 386, 387
narrowband, 372373, 374375
7WKu-band, 374375
performance, 373
operatingrange, 358359
overview, 357359
packaging, 389401
assembly, 396401
ceramic, 390394
plastic, 394396
requirements, 389390
selectioncriteria, 389
power dissipation, 401
RF parameters, 405406
summary, 372389
technology, 359370
three-dimensional views, 359
ultra-broadband, 376377
218GHz distributed, 380, 382
8W28GHz, 379380, 381
672 Index
monolithicmicrowaveintegrated(cont.)
15WL- toS-band, 378379, 380
power output, 379
wireless3W, 386, 387
design, 386
measuredperformance, 386, 388
performancespecications, 386
stages, 386
monolithicmicrowaveintegratedcircuits
(MMICs)
activedevices, 359, 361362
conductors
current-carryingcapacity, 369370
dimensions, 369
coplanar waveguides, 362363, 365367
electromigrationrequirements, 369370
fabrication, 360361
processes, 360
recessed-gateprocess, 360
frequencylimits, 362
literature, 361
lumpedelements, 367369
matchingelements, 362370
microstrip, 359, 362365
performance, 362
andradiofrequencyintegratedcircuitscompared,
357
substrates, 359, 361
technology, 359370
transmissionlinesfor, 362
mortalityrates, 456
MOSFETsseemetaloxidesiliconeldeffect
transistors(MOSFETs)
MPCPA (multiplepulseschirpedpulse
amplication), 624
MRI (magneticresonanceimaging), RF power
ampliers, 235
MRS(magneticresonancespectroscopy), RF power
ampliers, 235
MSC Sinda, 432
MSGcurves(maximumstablegain) curves,
62
MSL (moisturesensitivitylevel), 491492
MTBF seemeantimebetweenfailure(MTBF)
MTTF seemeantimetofailure(MTTF)
multilayer capacitors(MLCs)
applications, 320321
useof term, 318320
multipledevices, advantages, 307
multiplepulseschirpedpulseamplication
(MPCPA), 624
multiple-inputmultiple-output (MIMO), 520
multitoneanalysis, 204205
multitoneexcitations, 200201, 625
MVTR (moisturevapor transmissionrate),
295
National Instituteof StandardsandTechnology
(NIST) (US), 268
NBTI (negativebiastemperatureinstability),
453454
negativebiastemperatureinstability(NBTI),
453454
networkanalyzers
for Sparameter measurements, 641
seealsolarge-signal networkanalyzers(LSNAs);
scalar networkanalyzers; vector network
analyzers(VNAs)
Newtonsmethod, 194195
inexact, 199200
issues, 221222
modied, 197198
rules, 221
NFsseenoisegures(NFs)
NIST (National Instituteof Standardsand
Technology) (US), 268
NMR (nuclear magneticresonance) spectroscopy,
RF power ampliers, 235
nodal analysis, 188
advantages, 188189
disadvantages, 189
procedures, 189191
nodal matrices, 190191
factoring, 191
singular, 191
noise
sourcesof, 636
thermal, 636
noisefactor (F), 637
minimum, 640
noisegures(NFs), 637
assumptions, 640
measurement, 637640
spectrumanalyzers, 628
typical, 639
noiseoor, 628
noisemeasurements, 636640
direct, 637638
noiseparameters, 640
measurement, 641
noisepower, 637
values, 638
noisereduction, 348
noisetemperature
input, 639
values, 638
noisepower ratio(NPR), 630
noninsertabledevices, 589
nonlinear circuit simulation
analysischaracteristics, 223226
continuationmethods, 223
convergenceimprovement, 223
designow, 228230
Index 673
frequencysets, 224225
model characteristics, 221223
numerical issues, 227228
practical issues, 221230
problemsizeminimization, 226227
solutionoptimization, 226227
terminationcriteria, 225226
nonlinear distortion, 512513, 611
nonlinear measurements, 611623
normreduction, 199
NPR (noisepower ratio), 630
nuclear magneticresonance(NMR) spectroscopy,
RF power ampliers, 235
numerical derivatives, applications, 222223
O-rings, rubber, sealingperformance, 296
OFDM (orthogonal frequency-division
multiplexing), 508509, 513514, 520
ohmiccontacts
degradation, 454455
inGaAsFETs, 77
on-wafer tests, 401403, 404
opencircuits, 490
operational analysis, reliabilitypredictions, 474
orthogonal frequency-divisionmultiplexing
(OFDM), 508509, 513514, 520
oscillations, minimization, 352
output conductance(g
ds
), dispersion, 55
packageassembly
dieattach, 396397
inMMIC PAs, 396401
thermal issues, 400401
wirebonding, 396, 397398
packaging
ceramics, 82, 390394
environmental protection, 8384
GaAsFETs, 8184
MMIC PAs, 389401
hermeticsealingandencapsulation, 400
plastics, 8283, 394396
siliconVDMOSFETs, 9
thermal models, 400401
typesof, 81
seealsoceramicpackages; housings; plastic
packages; surfacemount leadlesspackages
(SMLPs)
PAE seepower-addedefciency(PAE)
pallet ampliers, 343344
PAPR seepeak-to-averagepower ratio(PAPR)
parameters
hybrid, 62, 63
monitoring, 449
most rapidlydegrading, 449450
seealsonoiseparameters; scattering(S)
parameters
parasiticbipolar effect (PBE), 5859
parasiticcrosstalk, 596597
parasiticelements, behavior, 1217
parasiticextraction, 212213
software, 212
ParkerSkellernmodel, 86
PAsseepower ampliers(PAs)
passivecircuits, structures, andsimulationaccuracy,
205213
passiveintermodulation(PIM), 613614
passivetuners, 620
patterndenition, metallization, 453
PBE (parasiticbipolar effect), 5859
PBOseepower back-off (PBO)
PCBsseeprintedcircuit boards(PCBs)
PCDE (peakcodedomainerror), 522
PCMs(processcontrol monitors), 80
PCS1900system, 513
PDF (probabilitydensityfunction), 510511, 512
peakcodedomainerror (PCDE), 522
peakenvelopepower (PEP), importanceof, 513
peakpower, 627628
peak-to-averagepower ratio(PAPR), 513514, 624
denition, 513
reduction, 514
PECVD(plasmaenhancedchemical vapor
deposition), applications, 453
PEP (peakenvelopepower), importanceof, 513
performance
power ampliers, 567568
andreliability, 448
andsemiconductor properties, 111
vs. temperature, 411412
seealsothermal performance
periodicsignals, crest factors, 624
Pf
2
(power-frequency) limit, 4546
phase, measurement, 603605
phasechangecooling, andthermal performance,
RFPAs, 423
phasedelay
denition, 604
determination, 604605
indispersion-freenetworks, 605
phase-shift keying(PSK), 513514
efciency, 512513
seealsoquadraturephase-shift keying(QPSK)
phasedarrayantennas, 533, 534
PHD(poly-harmonicdistortion) models, 8889
pHEMTsseepseudomorphichigh-electronmobility
transistors(pHEMTs)
photoresists, 483, 493
PHV implantsseehigh-voltagepregion(PHV)
implants
physical amplication, 504
pieceparts, inhousingconstruction, 304
PIM (passiveintermodulation), 613614
674 Index
plant simulators, 211
advantages, 211
openvs. closedformulations, 211
plasmaenhancedchemical vapor deposition
(PECVD), applications, 453
plasticpackages, 398
applications, MMIC PAs, 394
assembly, 398400
ow, 402
design, 395
developments, 394
environmental testing, 491492
leadframes, 395, 402
molding, 394395
tests, 405
plastics, applications, packaging, 8283, 394396
plated-throughholes(PTHs), 291
PMMA (polymethyl methacrylate), applications,
resists, 7879
point-in-pulsemethod, 633
trigger delays, 634
Poissonsequation, 130131
solutions, 129130
poly-harmonicdistortion(PHD) models, 8889
polymethyl methacrylate(PMMA), applications,
resists, 7879
polytetrauoroethylene(PTFE)
applications, substrates, 285286
dielectricconstant, 286287
disadvantages, 286287, 291
port matrices, 191
power ampliers(PAs)
applications, 508568
anti-improvisedexplosivedevice, 538, 539
electronicwarfare, 534537
jammers, 534
military, 530538, 567568
mobiletelephony, 509
wirelesscommunications, 519530
broadband, 538
circuit-analysissoftware, 188
computer-aideddesign, 188230
crest factors, 512514
design
holistic, 526, 528
issues, 619
overview, 523526
parameter trade-offs, 509514, 525, 567568
processes, 525
devices, 105106
efciency, 508, 524525, 567568
factorsaffecting, 602603
improvements, 620
power conversion, 509510
functions, 508
instability, 605
linearity, 512514
limits, 525
measurement, 512
linearizationtechniques
memoryeffect compensation, 517
overview, 514
power efciencyimpacts, 517519
systemlevel, 514519
models
bondwires, 219221
circuit component losses, 219
circuit metallizationloss, 217219
ideal, 162
special issues, 216221
modulationschemes, 512514
nonlinearity, 508
output powerefciencytrade-off, 509512
performance, 567568
power combining
anti-phase, 552559
Doherty, 559567
in-phase, 538544
quadrature-phase, 544550
power output
average, 509, 522523
control, 511512
denition, 509
prototypes, 535
reliability, 504, 523
reliabilitygoals, 448
requirements, 522523
trends, 508509
responses, nonlinear, 524
solid-state, applications, 284
intelecommunicationssystems, 508
seealsobalancedpower ampliers; high-power
ampliers(HPAs); microwavehybridpower
ampliers(MHPAs); microwavepower
ampliers; push-pull ampliers; RF power
ampliers(RFPAs)
power back-off (PBO)
ClassA ampliers, 164, 180
ClassAB ampliers, 169
ClassB ampliers, 168169
power combining
anti-phase, 552559
balancedpower ampliersin, 546547
Doherty, 559567
in-phase, 538544
seealsocouplers; Gysel combiners;
quadrature-phasepower combining;
Wilkinsonpower combiners; Wilkinson
splitters
power consumption, low, 602
power conversion, efciencyimprovements, 411
power cycling, thermal excursions, 480481
Index 675
power density
denition, 25
siliconLDMOSFETs, 441
trends, 441
power devicemodels, 213
thermal effects, 214216
seealsoself-heatingmodels
power efciency
impacts, 518519
linearizationeffects, 517519
power eldeffect transistor cells
combination, 71
common-leadinductance, 6869
design, 6769
modeling, 69
dies, 71
gatenger equivalent circuits, 68
gatemanifoldequivalent circuits, 68
gatewidth, 67
images, 72
impedancematching, 71
layouts, 69, 71
manifoldissues, 6768
performance, 70
power eldeffect transistors
eldplates, 6667
packages, 82
Schottkygateelectrodes, 7879
topology, 6869, 73
seealsomicrowavepower eldeffect transistors
power ow, 600
diagrams, 599
power sensors, 575
power measurements, 570580
directional, 576579
high-power RF, 576579
spectrumanalyzersin, 579580
uncertainties, sourcesof, 574576
power quadat nolead(PQFN) packagessee
surfacemount leadlesspackages(SMLPs)
power sensors
advantages, 571
applications, 570
modulatedsignal verication, 571
attenuators, 576577
comparisons, 573
compensationtechniques, 574
disadvantages, 571
dynamicrange, 574
andequivalent generators, 574576
impedance, 574576
limitations, 570
power ow, 575
principles, 570574
sideeffects, 574
seealsodirectional power sensors
power supplydeviceresistance, 352
power-addedefciency(PAE), 509510
denition, 3637, 602
inMMIC PAs, 384386
power-frequency(Pf
2
) limit, 4546
PQFN packagesseesurfacemount leadless
packages(SMLPs)
pre-distortion
concept of, 514515
schemes, 514
seealsodigital basebandpre-distortion(DPD)
preconditioners, 199200
preconditioning, 491492
printedcircuit boards(PCBs)
alignment withhousing, 292293
calibrationwith, 593596
guidelines, 594595
coaxial connections, 312, 313
conductors, 289
dielectriclosses, 288289
etching, issues, 290291
ange-mountedcomponents, 292293
groundpatheffects, 292293
groundingissues, 285, 291
heat transfer, 414415
lamination, 285286
manufacturingissues, 290291
inmicrowavehybridpower ampliers, 285,
293
mounting, 291292
solder, 292
patterning, 285
resistivelosses, 288289
resistors, integration, 285
RF connections, 312, 314315
substrates, mountingissues, 285
thermal conductivity, 288289
through-holes, 291
typesof, 285
seealsosubstrates
Pro-E, 432
probabilitydensityfunction(PDF), 510511,
512
processcontrol monitors(PCMs), 80
processeffects, 492495
production-linetesting, 570
prototypes
jammers, 535, 536, 537
optimization, 570
power ampliers, 535
pseudomorphichigh-electronmobilitytransistors
(pHEMTs), 47
applications, inMMICs, 361362
banddiagrams, 49, 5051
currentvoltagecharacteristics, pulsed, 53
design, epi-layer, 6465
676 Index
pseudomorphichigh-electronmobility(cont.)
development, 358
gain, 6162
humidityaccelerationfactors, 488492
materials, 4849
galliumarsenidevs. galliumnitride,
534535
structure, 4849
PSK seephase-shift keying(PSK)
Psy-Ops(psychological warfareoperations), RF
power ampliers, 235
psychological warfareoperations(Psy-Ops), RF
power ampliers, 235
PTFE seepolytetrauoroethylene(PTFE)
PTHs(plated-throughholes), 291
pulsechopping, 635
pulseprolemethod, 634
transistors, 634635
pulsewidthmodulation(PWM), andClassS
ampliers, 183
pulsedmeasurements, 633635
pulsedoperation, principles, 633
push-pull ampliers, 552559
advantages, 552
baluns, 552
disadvantages, 552
operation, 552
schematics, 552
seealsoRF/microwavepush-pull ampliers
PWM (pulsewidthmodulation), andClassS
ampliers, 183
pyrolyticgraphite, 427
Qseequalityfactor (Q)
QFN (QuadFlat Noleads) packagesseesurface
mount leadlesspackages(SMLPs)
QPSK seequadraturephase-shift keying(QPSK)
QuadFlat Noleads(QFN) packagesseesurface
mount leadlesspackages(SMLPs)
quadraturecouplers, 328331
frequencyresponse, 546, 547
structure, 547
quadraturephase-shift keying(QPSK),
513514
offset, 513
quadrature-phasepower combining, 544550
branchlinehybrid, 547549
qualicationtesting, 496
quality
criteria, 641642
andreliability, 447448, 486
vs. spacing, 485, 494
vs. voltage, 486487
seealsoreliability
qualityfactor (Q), 318320
load, 337338
radar
duplexers, functions, 530531
efciency, 508
limiters, 531
phasedarray, 530
RF power ampliers, 235
transmitter/receiver modules, 530534
blockdiagrams, 530, 531
performancerequirement, 533
phasedarrayantennas, 533
specications, 533534
variablephaseshifters, 531
radiation, andthermal performance, RFPAs,
422423
radiativeenergy, 422
radiativeheat transfer, determination, 422423
radiativeheat transfer coefcient, 422423
radioabsorbent materials(RAMs), 304305
radiobasestations(RBSs), 417
bandwidth, 522
carrier capacity, 522
controllers, 417
efciency, 440
improvements, 441
efcient, Dohertyampliersfor, 527530
energyconsumption, 411
power classication, 523
power output, 416
measurement, 576577
RFPAsfor, 411412
runningcosts, 508
technologies, 523524
thermal design, 416419
thermal management, 411
wasteheat, 411
removal, 416417
radiofrequencyintegratedcircuits(RFICs)
applications, 357
andmonolithicmicrowaveintegratedcircuits
compared, 357
radio-controlledimprovisedexplosivedevices
(RCIEDs)
construction, 538
jammers, 538
rampedvoltagetesting, 466, 470
advantages, 468469
disadvantages, 468469
seealsovoltageramping
RAMs(radioabsorbent materials), 304305
rat-racecouplers, 559, 560
ratings, maximum, 497
RBSsseeradiobasestations(RBSs)
RCIEDsseeradio-controlledimprovisedexplosive
devices(RCIEDs)
R
DSon
seelinear regimeon-resistance(R
DSon
)
realizableratio, useof term, 260
Index 677
recessed-gateprocess, 360
reconstructionlters, useof term, 183
rectangular truncations, 224
reducedconductionangle
concept of, 171
inRF power ampliers, 164, 166, 167
reducedsurfaceelds(RESURF), 18
concept of, 1921
LDMOSFETs, 25
reectedpower, 581
reectedwaves, 580
reectioncoefcients, 337, 574576, 596597,
605606, 610
complexsource, 640
hot, 622
load, 600601
optimumcomplex, 640
reectionmeasurement, values, 586
reectionnormalization, 582583
reectiontracking, 582583, 596597
relativegaspermittivity, 295, 296
relativehumiditytesting, 491492
reliability, 446504
ampliers, 447
analysis, 496
denitions, 447449
issues, 447448
designfor, 495500
design-controlled, 496497
anddeviceapplication, 496
estimation, 486487
fans, 311
futuretrends, 504
galliumnitridewidebandgaptransistors,
146152
goals, 448
components, 448
goodnessmeasures, 447
historical trends, 501502
importanceof, 446
andmetallization, 494495
models, 496
optimization, 499500
overview, 446, 503504
andperformance, 448
power ampliers, 504, 523
andquality, 447448, 486
semiconductors, 446, 502503
strategies, 448449
siliconcarbidewidebandgaptransistors,
146152
suddenreliabilityproblem, 146147
technologycomparisons, 501502
terminology, 447449
vs. temperature, 412
components, 305
seealsoquality; ruggedness
reliabilitybudgeting, 500
reliabilitypredictions, 473475, 503504
evaluationprocedures, 473474
operational analysis, 474
thermal analysis, 474
reliabilitytests, methodologies, 446
remoteradioheads(RRHs), 441
residual errors, 598599
resistive-inductive-capacitive(RLC) feedback
networks, 251, 274, 315
resistivity
AlGaN/GaN HFETs, 132
bulk, metals, 289
galliumarsenide, 44
metals, 218219
substrates, 44
resistors
ballast, 216
chip, 316
asdistributedcomponents, 323324
electromigration, 463464
equivalent circuits, 318
lead-lengthinductance, 316
lumped, 367
inmicrowavehybridpower ampliers, 316318
modeling, 315
inprintedcircuit boards, 285
scatteringparameters, 318
insiliconLDMOSFETs, 3637
insiliconVDMOSFETs, 3637
surfacemount, 316318
thick-lm, 318
thin-lm, 498
resists, 7879
photoresists, 483, 493
Restrictionof HazardousSubstances(RoHS), 294
RESURF seereducedsurfaceelds(RESURF)
returnloss(RL), 336337
RF biasacceleration, 472473
applications, 472473
andhot carrier injection, 473
RF connections
current maximization, 314
anddiscontinuities, 314
housings, 311315
printedcircuit boards, 312, 314315
RF performance, vs. biasing, 348349
RF power, denitions, 599
RF power ampliers(RFPAs)
altitude, 240
AMAM distortion, 237
AMPM distortion, 237
applications, 1, 233
commercial, 236
industrial, 235
678 Index
RF power ampliers(RFPAs) (cont.)
medical, 235
military, 234235
radiobasestations, 411412
scientic, 235
basicelements, 242243
buildingblocks, 526
capacitors, input/output coupling/decoupling,
250, 259
classes
A toS, 159186
activedevicemodels, 161162
invertedmodes, 179180
multimodes, 184186
variances, 186
concurrent power, 247
conducted/radiatedemissions, 241
conducted/radiatedsusceptibility, 241
design
futuretrends, 282283
hypothetical, 252282
issues, 1
procedures, 242
redundancy, 247
systemlevel overview, 242252
thermal, 411442
distortion
harmonic, 239
intermodulation, 239
dynamicrange, 237238
efciency, 238, 282, 416, 440
trade-offs, 527
equipment, 416417
fall time, 238
feedbacknetworks, 251, 267268
frequencyresponse, 236237
gain, 237
gainatness, 237
gainlinearity, 237
gaintemperaturestability, 237
hardware, realization, 234, 241242, 254255
heat ows, 419
heat sinks, 417
historical background, 160
inductors, coupling/decoupling, 250, 259
input/output coupling/decouplingnetworks, 250,
259
IR images, 435
linear, 160
linearity, trade-offs, 527
markets, 1, 232233
materials, thermo-physical properties,
423427
matingsurfaceatness, 439
maximumpower transfer, 282, 283
meantimetofailure, 241
modules
blockdiagrams, 243, 244
designoverview, 243246
hypothetical, 252282
multilevel breakdown, 256
physical construction, 271273
test results, 273280
multistage, 527
noiseoor, 239
operatingtemperaturerange, 240
pallets, 417, 418
thermal stack-up, 417418, 438
phaselinearity, 237
physical construction, 418419
power output, 236
practical realization, 232283
overview, 232
processes, 233242
RF power transistor selection, 243244
processes, 243
pulsedroop, 238
pulseovershoot, 238
rack-mounted, 417
reducedconductionangle, 164, 166, 167
ringing/settlingtime, 238
risetime, 238
shock/vibration, 240241
specications
qualitativedelineation, 233236, 252253
quantication, 234, 236241, 253254
realization, 241242
stability, 239240
systemblockandwirediagrams, 242243, 254
temperatureproles, 430431
thermal design, 411442
advanced, 432440
basics, 413423
futuretrends, 440442
importanceof, 411413
inportableproducts, 413415
thermal management, 251252, 432440
thermal performance
characterizationandpredictiontools, 427432
conductionand, 420421
convectionand, 421422
andheat transfer, 419423
phasechangecoolingand, 423
radiationand, 422423
topology, 525
voltagestandingwaveratios
input, 239
load, 239
seealsogalliumnitrideRF power ampliers
(GaNRFPAs); hypothetical RFPA subsystem
modules; microwavehybridpower ampliers
(MHPAs)
Index 679
RF power transistors
absolutemaximumratings, 244, 247
applications, 39, 244, 247
bias/thermal trackingnetworks, 249250
breakdownvoltages, 246, 248
capacitances
input, 246, 249
output, 246, 249
reversetransfer, 246, 249
classes, 249, 255257
datasheets, 244246
designissues, 1
efciency, 244, 248
electrical characteristics, 244
frequencyrange, 244, 247248
futuretrends, 282283
gain, 244, 248
asideal current sinks, 165
impedance
input, 248249
output, 248249
impedancematching, 250251, 259267,
283
HF, 250
UHF, 250
VHF, 250
joiningprocesses, 426427
largesignal impedance, 246
loadmismatchtolerance, 246
power output, 244, 247, 255
scatteringparameters, 246, 249
selection, 243244, 255257
applicationsand, 246
class, 246
processguidelines, 246249
thermal performance, 32
thermal resistance, 244, 248
thresholdvoltages, 246, 248
transfer functionplots, 249
typical, 246
RF testing, 430
accurate, 570
automatic, 370
on-wafer, 80
RF/microwavepush-pull ampliers, 557559
advantages, 558
balunstructures, 558
blockdiagrams, 557558
disadvantages, 559
RFICsseeradiofrequencyintegratedcircuits
(RFICs)
RFPAsseeRF power ampliers(RFPAs)
R
jc
seejunction-to-casethermal resistance(R
jc
)
RL (returnloss), 336337
RLC (resistive-inductive-capacitive) feedback
networks, 251, 274
RoHS(Restrictionof HazardousSubstances),
294
Root model, 88
RRHs(remoteradioheads), 441
ruggedness, 2226
anddrainengineering, 24
factorsaffecting, 23
measurement, 2223
Ruthroff unbalancedunbalancedtransformers, 555,
556, 557
Sparameter measurementsseescattering(S)
parameter measurements
Sparametersseescattering(S) parameters
safetyregulations, 570
SAGsseeself-alignedgates(SAGs)
satellitedownconverters, gasket-sealed, 299
saturationeffect, 615
scalar networkanalyzers
limitations, 582586
measuredvalues, determination, 582583
parasiticeffects, 583584
scalar reectionmeasurements, 582
scalar transmissionmeasurements, 585
scattering(S) parameter measurements, 580599
requirements, 641
uncertainties, 598599
scattering(S) parameters, 8889
applications, 206
attenuators, 596
capacitors, 206207
concept of, 580581
indatabasemodels, 212
DC, 208
denition, 581
dummy, 207208
hot, 622623
limitations, 641
magnitudes, measurement, 586587
mixed-mode, 608611
models, 206208
inharmonic-balanceanalysis, 207208
interpolation, 206
issues, 206208
vs. lumped-element models, 208
phase, measurement, 586587
resistors, 318
RF power transistors, 246, 249
SCDs(sourcecontrol drawings), 248
Schottkybarrier gate, 45
Schottkydiodes, 345347
Schottkygateelectrodes, 7879
Schottkygateeldeffect transistors, 45
failuremechanisms, 454455
materials, 45
scienticmarkets, RF power ampliers, 235
680 Index
screwlocking
epoxiesand, 304
housings, 304
torques, 308
sealing
housings, 294299
issues, 294
mobilephones, 414
andmoistureingress, 295296
seealsogasket sealing; hermeticsealing
second-order intercept (SOI) point, 611613
self-alignedgates(SAGs)
multifunction, 360
process, 360
self-heatingmodels, 214215
disadvantages, 215216
self-resonant frequencies(SRFs), 320321
SEM (spectrumemissionmask), 522, 525
Semanskii iteration, 199
semiconductor equations, 128129
semiconductors
electronvelocityvs. electriceldtransport
characteristics, 113
failuremechanisms, humidity, 490
failurerates, 455456, 458
manufacture, processes, 492493
metallization, 488
operatingtemperature, measurement,
428429
properties, 112
andperformance, 111
reliability, 446, 502503
strategies, 448449
RF output power vs. frequency, 104
seealsodiodes; transistors
sensors
temperature, 258, 429
thermistor, 571
seealsodiodesensors; power sensors; thermal
sensors
sequencingcircuits, 348
SER (symbol error rate), 635636
shapeparameter, denition, 459460
shootingmethods, 203204
short standards, 582583
short-termsignal level scorecards, 281
ShubnikovDeHaasmobilitymeasurements,
114
SiC seesiliconcarbide(SiC)
4H-SiC BJ Tssee4H-siliconcarbidebipolar
junctiontransistors(4H-SiC BJ Ts)
4H-SiC MESFETssee4H-siliconcarbidemetal
semiconductor eldeffect transistors
(4H-SiC MESFETs)
sidegating, 454
sigma(shapeparameter), 459460
signal owcharts, stability, 606
signal-to-noiseratio(SNR), 637
signicancetesting, 449450
silicon
thermal conductivity, 425
wafers, 357
siliconbipolar transistors
historical background, 1
limitations, 12
siliconcarbide(SiC)
applications, 105106
chargecarrier mobility, 113114
holemobilities, 115
4H-siliconcarbidebipolar junctiontransistors
(4H-SiC BJ Ts)
fabrication, 106
gain, 106107
high-power, 106107
performance, 106
4H-siliconcarbidemetal semiconductor eldeffect
transistor ampliers, 120122
current gain, DC, 121
performance, 122
RF, 121, 122
power gain, DC, 121
power gains, 121122
small-signal current gains, 121122
4H-siliconcarbidemetal semiconductor eldeffect
transistors(4H-SiC MESFETs)
advantages, 118119
applications, microwavepower ampliers, 105
background, 106108
currentvoltagerelationships, DC, 120
development, 107108
fabrication, 107108, 118119
eldplates, 108
futuretrends, 152153
limitations, 108
performance, 107108, 120
structure, 119
-siliconcarbidenanowires, applications, 108
siliconcarbidetransistors, background, 106108
siliconcarbidewidebandgaptransistors,
103153
breakdown, 112113
development, 104105
devicedesign, 118125
futuretrends, 152153
large-signal effects, 130152
gateleakage, 144146
nonlinear sourceanddrainresistances,
133143
reliabilityandtime-dependent performance
degradation, 146152
space-chargelimitedcurrent transport,
130133
Index 681
material parameters, 111115
models, 125130
equivalent circuits, 125127
physics-basedlarge-signal, 128
properties, 112
RF performance, 118125
thermal conductance, 112113
siliconlateral doublediffusedmetaloxidesilicon
eldeffect transistors(silicon
LDMOSFETs), 139
advantages, 2, 432433
applications, 39, 433
50V andhigher, 3536
landmobiles, 3435
mobiletelephony, 35
radiobasestations, 523524
bondpadmanifolds, 29, 32
breakdownvoltages, 5, 1722
capacitances, 1516
drain-to-source, 5, 13, 1516
gate-to-drainfeedback, 16
gate-to-source, 16
parasitic, 1215
channel dopingproles, 19
construction, 28
dies, 25
cross-sections, 4, 23, 32, 433
current ows, 11, 31
current paths, 11
current transport, 1011
currentvoltagecharacteristics, 20
depletionregionboundaries, 5
design, 2739
metal, 3032
thermal, 3234
devicephysics, 1027
disadvantages, 2
dopingproles, 6, 1415
drainmetallization, 78
drainresistance, 1415
drainstructureoptimization, 5
drain-to-sourcepitch, 2829
efciency, 34
electrodes, 433
electromigration, 3032
enhancement mode, 135
eldplates, 78, 1819
effects, 8
frequencyissues, 3637
frequencylimits, 42
frequencyoptimization, 37
frequencyresponses, 1214
gateconstruction, 56
gatenger arrays, 27, 28
gatelength, 56, 3637
gateoxides, 56
gateresistance, 56, 1415
gatewidth, 27, 3637
limitations, 37
heat dissipation, 433
historical background, 12
hot carrier injection, 25, 1722
levels, 78
infraredscans, 34
layout, 3, 2739
top-downnger, 2729
linear regimeon-resistance, 5, 1722
market domination, 39
multipleheat sourcengers, 433, 434
n-drift region, 25, 78
andeldplates, 1819
lengthchange, 2526
long, 2627
operatingvoltage, issues, 2627, 3436
overview, 12
P

sinker, 7, 2829
p-epi region, 5, 2627
packaging, 8283
parasiticdrainresistance, 78
parasiticelements, behavior, 1217
PHV implants, 67
power density, 441
power levels, 34
power output, 27
quiescent current degradation, 22
reducedsurfaceelds, 25
regions, 67
resistances, parasitic, 1215, 3334
resistors, 3637
ruggedness, 2226
snapback, 2226
source, 7
terminals, 1011, 29
thermal design, 432440
thermal ux, 441
thermal performance, 3233
thermal resistance, 433
spreading, 433436
thermal scans, 35
siliconLDMOSFETsseesiliconlateral double
diffusedmetaloxidesiliconeldeffect
transistors(siliconLDMOSFETs)
siliconnitride, applications, dielectrics, 453
siliconnitridecapacitors
structure, 466
voltageacceleration, 466471
siliconnitridelms
breakdownvoltages, 466
characterization, 466
siliconVDMOSFETsseesiliconvertical double
diffusedmetaloxidesiliconeldeffect
transistors(siliconVDMOSFETs)
682 Index
siliconvertical doublediffusedmetaloxidesilicon
eldeffect transistors(silicon
VDMOSFETs), 139
advantages, 2
applications, 39
50V andhigher, 3536
bodies/substrates, 89
bondpadmanifolds, 29
breakdownvoltages, 1722
capacitances
gate-to-drainfeedback, 16
gate-to-source, 16
parasitic, 1214, 16
construction, 89
cross-sections, 9
current ows, 12
current paths, 11
current transport, 1011
design, 2739
metal, 3032
thermal, 3234
devicephysics, 1027
disadvantages, 2, 9
drain, 89
drainvoltage, 89
drift regiondesign, 9
efciency, 34
electromigration, 3032
frequencyissues, 3637
frequencyoptimization, 37
frequencyresponses, 1214
gatenger arrays, 27
gatelength, 3637
gatewidth, 27, 3637
limitations, 37
historical background, 12
hot carrier injection, 1722
layout, 2739
top-downnger, 2729
linear regimeon-resistance, 1722
market domination, 39
ndrift, 89
n-drift region, lengthchange, 26
operatingvoltage, issues, 2627, 3436
operation, highvoltage, 26
overview, 12
packagingissues, 9
parasiticelements, behavior, 1217
PHV implants, 19
power levels, 34
power output, 27
resistances, parasitic, 89, 1214, 16, 3334
resistors, 3637
ruggedness, 2226
snapback, 2226
source-to-gatepitch, 2829
terminals, 1011
thermal performance, 3233
simulators
three-dimensional, 211212
seealsoelectromagneticsimulators; plant
simulators
single-layer capacitors(SLCs)
applications, 318321
structure, 318320
sinkinggates
FETs, 458
mechanisms, 459462
skindepth
determination, 288289
useof term, 288289
skineffects, andmetal losses, 218
SLCsseesingle-layer capacitors(SLCs)
small-signal models
equivalent circuits, 8485
for GaAsFETs, 8485
Smithcharts, 337338, 586587, 600601,
606607, 640
SMLPsseesurfacemount leadlesspackages
(SMLPs)
snapback, 2226, 59
effects, 5859, 60
prevention, 24
useof term, 2324
snapbackcurrent
characterization, 2526
increase, 24
andruggedness, 23
snapbackvoltage, 2324
characterization, 2526
SNR (signal-to-noiseratio), 637
soft substrates, 285
dimensional issues, 289
materials, 285286
moistureabsorption, 293
useof term, 285286
SOI (second-order intercept) point, 611613
solders, for dieattach, 426427
solid-statedevices
models, 213216
thermal effectsin, 214216
solid-statepower ampliers(SSPAs), applications,
284
solid-statetransistors
applications, microwavesystems, 103
upper frequencylimits, 103104
andvacuumtubescompared, 103
sourcecontrol drawings(SCDs), 248
sourcestepping, useof term, 223
source-pull measurements, 619622
set up, 621
spacing, vs. quality, 485, 494
Index 683
sparsematrices, 192193
specicationthresholds, asfailurecriteria, 449450
spectrumanalyzers, 268
adjacent channel power ratio, 627, 628
applications, 586
power measurements, 579580
implementation, 580
intermodulationproducts, 612
noisegure, 628
properties, 579580
spectrumemissionmask(SEM), 522, 525
SPICE models, 222, 223
development, 205
inharmonic-balanceanalysis, 226
SRFs(self-resonant frequencies), 320321
SSPAs(solid-statepower ampliers), applications,
284
stability
linear, issues, 605608
signal owcharts, 606
stabilitycircles, 606607
stabilityfactors, 341343
denitions, 607608
standarddeviation, andmediancompared, 468
staticinductiontransistors(SITs)
applications, 107
fabrication, 107
operation, 107
StefanBoltzmannconstant, 422
stepattenuators, 587588
stubs
distributed, 324
impedancematching, 338
lossy, 325326, 327
open-circuit, 325326, 354
short-circuited, 324, 326, 349, 354
substrates
backings, 291
metal, 291
ceramicpackages, 392393
dielectricconstant, 287288
factorsaffecting, 293
dielectriclosses, 288289
impedancestandard, calibrationstandards,
595596
isotropy, 287288
materials, failuremechanisms, 454
materialsselection, andimpedancerange, 289
MMICs, 359, 361
comparisons, 361
mounting, 292
parameters, for hybridampliers, 286
properties, 287
resistivity, 44
andtest xtures, 595
thermal resistance, 437438
thickness, 289
issues, 289
tracedimensions, 290
typesof, 285
seealsohardsubstrates; soft substrates
success, probabilitiesof, 447
suddenreliabilityproblem, 146147
surfacemount devices, heat transfer, 307
surfacemount leadlesspackages(SMLPs), 83,
395396, 399
construction, 8283
development, 394
typesof, 394
sweet spot, useof term, 261262
symbol error rate(SER), 635636
systemerror correction
3-termerror model, 589
7-termerror model, 589, 592
overview, 588589
invector networkanalyzers, 588
T-check, 598599
TACS(Total AccessCommunicationSystem),
508509
Taguchi technique, 377
talktime, mobilephones, 508
tantalumnitride, applications, 316
Taylor series, 201, 611, 614615, 625, 632633
TDDB seetime-dependent dielectricbreakdown
(TDDB)
TDMA seetimedivisionmultipleaccess(TDMA)
technologycomparisons
over time, 501502, 503
of reliability, 501502
tee-equivalent circuits, 126127
large-signal, 128
small-signal, 126
telecommunicationssystems, power ampliersin,
508
temperature
andcomponent reliability, 305
anddielectricconstants, 287
andhumidity, 488489
andmeantimebetweenfailure, 412
vs. electromigration, 33
vs. lifetimes, 462
vs. performance, 411412
vs. reliability, 412
seealsonoisetemperature
temperatureaccelerationeffects, onFET lifetimes,
498
temperatureeldpatterns, mobilephones, 414415,
416
temperaturemonitors, 479480
temperatureproles, RFPAs, 430431
temperaturesensors, 258, 429
684 Index
temperature-humidity-bias(THB) test, 8384
terminationspace, multidimensional, 185186
test xtures
calibrationwith, 593596
andsubstrates, 595
test port match, 583584
directional elements, 585
testing
element, 448449
environmental, plasticpackages, 491492
inaccurate, 570
on-wafer, 401403, 404
production-line, 570
qualication, 496
relativehumidity, 491492
signicance, 449450
three-terminal, 5758
two-terminal, 5758
verication, 496
wafer acceptance, 81
seealsodevicesunder test (DUTs); highly
acceleratedstresstests(HASTs); lifetesting;
rampedvoltagetesting; RF testing
TFE (thermioniceldemission), 5859
Tgon, 427
THB (temperature-humidity-bias) test, 8384
THD(total harmonicdistortion), 614615
thermal acceleration, 458462
factors, determination, 462
thermal analysis, reliabilitypredictions, 474
thermal characterization, experimental, 427428
thermal conductivity, 420, 423
galliumarsenide, 436
homogeneous, 420421
materials, 423424
at roomtemperature, 425
behavior, 424425
seealsoconduction
thermal cycling, 477478
thermal design
mobilephones, 413415
radiobasestations, 416419
RF power ampliers, 411442
siliconLDMOSFETs, 432440
thermal diffusivity, 420, 423
thermal droop, 52, 53
thermal effects, insolid-statedevicemodels,
214216
thermal excursions
copper bumps, 478482
failurecriteria, 480
failuredistributions, 477
interconnect vias, 475478
power cycling, 480481
proledata, 477
standards, 476
testing, 476
thermal ux, trends, 441
thermal greases, 427
thermal inertia(TI), 305307
thermal load, spreading, 307
thermal management
cost overheads, 411
futuretrends, 442
radiobasestations, 411
RFPAs, 251252, 432440
seealsoheat sinking; heat transfer
thermal modeling, computer-aided, 427428
thermal noise, 636
thermal pads, 427
thermal performance
andheat transfer, inRFPAs, 419423
housings, 306
LDMOSFETs, 3234
measurement, 428431
modeling, 431432
RF power transistors, 32
RFPAs
characterizationandpredictiontools, 427432
conduction, 420421
convection, 421422
phasechangecooling, 423
radiation, 422423
simulation, 431432
VDMOSFETs, 3234
thermal ratings, 496497
thermal resistance(TR)
determination, 305307
dieattach, 436437
anddiethickness, 436
factorsaffecting, 436
heat sinks, 439440
leadtinsilver dieattach, 437
microwavehybridpower ampliers, 305
microwavepower eldeffect transistors, 7374
reduction, 307308, 425
RF power transistors, 244, 248
siliconLDMOSFETs, 433
spreading, 433436
substrates, 437438
vias, 307
seealsocontact thermal resistance;
junction-to-casethermal resistance(R
jc
)
thermal scaling, 214215
thermal sensors, 571, 572
applications, 571
thermal shock, 477478
thermal test chips, 429
thermal vias, 414
thermioniceldemission(TFE), 5859
thermistor power meters, 571
principles, 572
Index 685
thermistor sensors, 571
thermocouplethermometers, 428
thermographicphosphors, inoperatingtemperature
measurement, 428429
thermoset materials
advantages, 286287
dielectricconstant, 286287
thick-lmresistors, 318
thin-lmresistors, reliabilityguidelines, 498
third-order intercept (TOI) point, 611613
3
rd
GenerationPartnershipProject (3GPP), 519520
power classes, 522
specications, 518519
three-dimensional simulators, 211212
three-terminal tests, 5758
thresholdmalfunction, 490
throughopenmatch(TOM) calibration, 598599
TI (thermal inertia), 305307
timedivisionmultipleaccess(TDMA), 511512
measurement, 627
timeinoperation, wirelesssensor networks, 508
time-dependent dielectricbreakdown(TDDB),
453454
measurement, 465466
MIM capacitors, 466471
time-domainanalysis, 202203
applications, 616
computational costs, 203
differential equations, 202
procedures, 202203
variants, 203205
frequency-domainmodels, 204
multitoneanalysis, 204205
shootingmethods, 203204
TLPGs(transmissionlinepulsegenerators),
applications, 2526
TMAs(tower mount ampliers), 441
TOI (third-order intercept) point, 611613
TOM (throughopenmatch) calibration, 598599
toroids, 553554
Total AccessCommunicationSystem(TACS),
508509
total harmonicdistortion(THD), 614615
tower mount ampliers(TMAs), 441
TR seethermal resistance(TR)
trackdimensions, 290291
transconductance(g
m
), 60, 353
compression, 53
dispersion, 55
FETs, 134135
transducer gain, 599600
determination, 621622
maximum, 600601
transformer matching, 339341
capacitor-loaded, 342
doubleshort, 341
transformers
autotransformers, 553
balancedbalanced, 556557
input, 265
output, 272
quarter-wave, bandwidth, 339
seealsocoupled-coil transformers; transmission
linetransformers; unbalancedunbalanced
transformers
transistor ampliers
conguration, 115
two-port network, 115
currentvoltagerelationships
DC, 115117
RF, 117
efciency, 117118
impedancematching, 117
operatingprinciples, 115118
power delivery, 115117
RF performance, 117
transistors, 331332
biasnetworks, 345, 346
channel current degradation, 460
degradation, 461
lifetesting, 459462
medianlives, 461
models, 125130
equivalent circuits, 125127
physics-basedlarge-signal, 128
out-of-bandperformance, 349
performance, falseclaims, 331
properties, 112
pulseprolemethod, 634635
reliabilityguidelines, 497498
screwxingissues, 308
selectioncriteria, 332
siliconcarbide, background, 106108
timetofailurevs. voltage, 472
seealsobipolar junctiontransistors(BJ Ts); eld
effect transistors(FETs); high-electron
mobilitytransistors(HEMTs); RF power
transistors; solid-statetransistors; static
inductiontransistors(SITs); widebandgap
transistors
transitionfrequency(f
T
)
denition, 6263
determination, 90
limitations, 63
transmissioncoefcient, 585, 603604
transmissionlinepulsegenerators(TLPGs),
applications, 2526
transmissionlinetransformers, 250251, 259261,
262265, 554557
buildingblocks, 554
coaxial quarterwave, 555
input insertionloss, 264265, 266
686 Index
transmissionlinetransformers(cont.)
maximumpower transfer, 556
mutuallycoupledinductances, 263, 264
parasiticmodels, 262
topological synthesis, 261
transmissionlines
cascaded, 620
asdistributedcomponents, 324
inhigh-power RF measurements, 578
interferences, 608610
for MMICs, 362
parameters, 355
selectioncriteria, 554555
single-ended, 608
strip, closed-formmodels, 210
symmetrical, 608
thickness, factorsaffecting, 288289
asunbalancedtransformers, 555556
seealsomicrostrip; stubs; waveguides
transmissionloss, 337
transmissionmeasurements, 586
transmissionnormalization, 585
transmittedpower, 581
transmittedwaves, 580
trappingeffects, 5354
consequences, 5457
breakdownvoltageincrease, 56
drainlag, 5556
gatelag, 5556
kinkeffect, 5657
large-signal model inaccuracies, 56
memoryeffects, 56
output conductancedispersion, 55
power output reduction, 5455
transconductancedispersion, 55
andlarge-signal models, 8788
minimization, 54
traveling-wavetubeampliers(TWTAs),
534
triangular truncations, 224
trigger signals, 627
triplexer method, 620
Triquint TOM models, 8687
tuners, passive, 620
tuningdisks, 355
tunneling, 5859
two-dimensional simulators, 210211
two-port networks, linear distortion, 603
two-terminal tests, 5758
two-tonesignals, 613614
generation, 613614
measurement issues, 613614
TWTAs(traveling-wavetubeampliers), 534
U seeunilateralizedgain(U)
UGWseeunit gatewidth(UGW)
UMTSseeUniversal MobileTelecommunications
System(UMTS)
unbalancedunbalancedtransformers, 555556
Ruthroff, 555, 556, 557
uncertainties
measurements, 598599
sourcesof, 574576
typesof, 598
unilateralizedgain(U)
curves, 62, 9091
denition, 62
unit gatewidth(UGW)
denition, 27
large, 2728
unitycircles, 606607
Universal MobileTelecommunicationsSystem
(UMTS)
bandwidth, 522
basestationpower classication, 523
standards, 519520
Unix, pipes, 229
unknownopenshortmatch(UOSM) calibration
and7-termerror model, 592
withmixedtest port types, 591592
UOSM calibrationseeunknownopenshortmatch
(UOSM) calibration
vacuumtubes
applications, microwavesystems, 103
RF output power vs. frequency, 104
inRF power ampliers, 160
andsolid-statetransistorscompared, 103
valvesseevacuumtubes
VCVSs(voltage-controlledvoltagesources),
191192
vector networkanalyzers(VNAs), 264265,
586588, 604
applications, 268, 586
scatteringparameter measurements, 599
architecture, 587588
buildingblocks, 587, 602603, 631
calibration, 622
dynamicrange, 616
effectivesystemdata, 589, 591
rawsystemdata, 589, 591, 597
sweeps, 603
systemerror correction, 588
two-port, 587
velocity-eldcurves, nonlinear, 131
vericationtesting, 496
VerilogA, 222
vertical doublediffusedmetaloxidesiliconeld
effect transistors(VDMOSFETs), 249
seealsosiliconvertical doublediffused
metaloxidesiliconeldeffect transistors
(siliconVDMOSFETs)
Index 687
vias
manufacture, 291
thermal, 414
thermal excursions, 475478
thermal resistance, 307
seealsointerconnects
virtual gateeffect, 145
VNAsseevector networkanalyzers(VNAs)
voltage
vs. quality, 486487
seealsobreakdownvoltages; snapbackvoltage
voltageacceleration, 484
capacitors, 487488
factors, 465472
HEMTs, 471472
MIM capacitors, 465466
siliconnitridecapacitors, 466471
voltageramping, 468
MIM capacitors, 466471
seealsorampedvoltagetesting
voltageregulators, 348
lowdropout, 348
voltagestandingwaveratios(VSWRs), 337
RF power ampliers
input, 239
load, 239
inruggednesstesting, 2223, 2526
voltagewaves, 580
voltage-controlledvoltagesources(VCVSs),
191192
VSWRsseevoltagestandingwaveratios(VSWRs)
W-CDMA seeWidebandCodeDivisionMultiple
Access(W-CDMA)
wafer acceptancetest (WAT), 81
wafer probes, calibrationwith, 593596
wasteheat
disposal, 411, 427
radiobasestations, 411, 416417
WAT (wafer acceptancetest), 81
water cooling, housings, 311
water vapor
andsealing, 295
thresholds, 490
wavepropagation, devicesunder test, 580
waveformbalanceapproach, 201
waveguideanges, 589
waveguides, 299, 311312
discontinuities, 211212
seealsocoplanar waveguide(CPW); stubs;
transmissionlines
wear-out, 457
ampliers, 447
mechanisms, 462463
vs. defects, 475492
widebandgapmaterials, applications, 284
widebandgapsemiconductors, 372373
widebandgaptransistors
advantages, 111, 118
applications, 105
electronsaturationvelocities, 118
properties, 112
seealsogalliumnitridewidebandgaptransistors;
siliconcarbidewidebandgaptransistors
WidebandCodeDivisionMultipleAccess
(W-CDMA), 349352, 508509
handset amplier simulations, 219, 220
output spectra, 529530
Wiebull analysis, 282
Wilkinsonpower combiners, 334, 533534,
538541
amplitudeimbalance, 540
applications, 538540
frequencybandwidthperformance, 541, 542
andGysel combinerscompared, 545
phaseimbalance, 540541
single-section, 540
Wilkinsonsplitters, 328331, 547, 552
design, 541, 542
layouts, 541, 542
performance, 543
WiMAX seeWorldwideInteroperabilityMicrowave
Access(WiMAX)
wirebonding
methods, 397
inMMIC PA packageassembly, 396, 397398
modeling, 397398
procedures, 397
wirelesscommunications
power ampliers, 519530
design, 523526
requirements, 522523
requirements, systemlevel, 522523
wirelesssensor networks, timeinoperation,
508
Wirelines, 328, 329
WorldwideInteroperabilityMicrowaveAccess
(WiMAX), 3839
frequencybands, 520, 521
standards, 520522
X-parameters, 8889
Y parametersseeadmittance(Y) parameters
Y-factor method, 638640
setup, 638
yield, measurement, 484
Zener diodes, 347
zeroing, 574

You might also like