You are on page 1of 5

A Novel Simple Linear Pulse Width Modulation in Two-Level Voltage Source Inverter

N.V. Nho', M.J.Youn2


'Department of Electrical Engineering, The HoChiMinh City University of Technology, Vietnam 'Department of Electrical, Electronics and Computer Science, KAIST, Korea

Absirm-

A novel

technique for studying carrier wave

produce output phase voltage with fundamental voltage ,Vllllm.


1.

based Pulse Width Moduldtion inverters in the overmodulation range is presented. The continuous transition from zero modulation index to unity value o f sixstep mode may be obtained. The method is demonstrated by simulation,
Keywords-

Pulse width modulation, overmodulation

Fig. I: Two-level Voltage Source Inverter- circuit diagram and voltage space vector diagram
Similarly, suppose that the second defined limit modulating signals vrd, vrb2 and vK?, produce output phase voltage with fundamental component V,,,,,. Besides, these signals, for instance vral,vd, will be , selected so that their fundamental components v , ~ ( ~ ) V ~ { I have ) zero phase difference. Suppose that VI,,,,< V',jlm. Now for a given reference output fundamental voltage VlIl,, we recall the definition of modulation index in two-level VSI as follows

1. INTRODUCTION Overmodulation in two-level Voltage Source Inverter (VSI) has been studied by many authors and their researches resulted in different methods for its implementation. Lots of overmodulation techniques are described in space vector pulse width modulation (SVPWM) formula [2],[3],[5],[10]. Look-up table, where the off-line calculated data are stored is often used as a method to compensate nonlinearity. The control principle between limit trajectories has been introduced in [I] as one of the methods to obtain linear overmodulation by using analytical expression. PWM techniques using camer wave and modulating signals have been so far 3 ] , [ 4 ] , [ 7 ] .Problem of nonlinear in overmodulation range [ optimal overmodulation to ensure linearity and low level of harmonic distortion has not been considered. The new proposed method which uses carrier wave based PWM technique is an another form of the previous principle to get linear overmodulation. The limit modulating signals will be used instead of the limit trajectories. It is shown that there exists several different modulating signals to fulfill linear modulation, from which some useful can he selected. So as further works, there will be the only problem to study remaining unsolved characteristics such as harmonics optimum and minimum switching losses: 11. PROPOSED LMEAR PWM METHOD Let us consider the two-level VSI. Its dc source voltage is Vd in fig.1. Let vral,vh, and vrCIbe the given modulating signals, which are in the range (-l;l) and defined as the limit modulating signals in PWM. Triangular camer waves are supposed to vary between peak values -I and 1 (see Fig. 3d). Suppose that the modulating signals

where V, is dc source voltage of voltage source inverter. From the two defined previous cases, we can deduce

To obtain the output fundamental voltage V,,,, with its modulation index m in the range (m,;m'), we form new reference modulating signals as follows

(3)

From (3). it is easy to deduce fundamental component V,,),,)as follows

0-7803-7885-7/03/$17.00 02003 IEEE.

1241

Fig 2: Description of fonnulated principle for two different cases

The parameter 7 would be calculated to obtain linear PWM modulation or 2 V,,,, = m.-.V,
ii

'
CA

''

TIIS

(5)

Figure 3: Three phase limit modulating sigrialr corresponding to lypical modulation indexes m4.907: 0,9556 and 1and the carrier wave.

From (I), (2). (4) and ( 5 ) we obtain

urcIo= -cos(6

4 5

- 47113)

The proposed carrier based linear PWM using limit modulating signals will be formed on the hasis of the formulas (3) and (6).
111.

This case corresponds to space vector trajectoly as maximum circle touched inside space vector hexagon. 3. Modulating signals for m=m,=0,95661 may be selected as follows (see Fig.3b)

LIMIT

MODULATING

SIGNALS

FOR
I I , ~ ?=

CONTINUOUS LINEAR MODULATION TO SIX STEP MODE

To realize continuous modulation, some simple limit modulating signals can be used. I. Moduloting signuls for m=ma=0 can be selected and expressed in formula (7)
llrt,n = u,bo = u o ,

for
for

unT20 for

-I

id,x2n > I -1 Sumzn5 I ;x=a,b,c u,,,,<-I

(9)

where
UmZo

= 2 cos 8 ;

qblo = 2.cos(6 - 2 4 ) ; =0

(7)

= 2.cos(8 - 47r/3) The waveform of average output phase to pole voltage is drawn in Fig4 and from which, output fundamental phase voltage can be calculated by using Fourier analysis as following:
2n

2. Modulating signalsfor m=mi=0,907 may be selected as follows (see Fig.3a)


llmi

=U

d O

- u",q,rr

(8)

Udl

= U,blO - %Jfid

1lrci =urctn -Uu,y,a

U(,), =

~uoo cos . B.d6 IT"

(11)

where
unil0 =--cos6;

Js

1242

v, .cos$
Uao = *

for for for

77 2x e E (-.-I;(-;-) 3 ' 3 77

471 Sir 3 3
51r

"1
(12)

"<I

6~(@-);(-;21r)
3 3 277 41r @E(-;-) 3 3

_-'d
& 2

Fig 5: Output phase to pole voltage corresponding to modulation index m=l.

Fig 4: Output phase IO pole voltage corresponding to modulation index m=0.9556.

The amplitude of output fundamental voltage can be determined by using Fourier analysis. Its known value
'd -corresponds
77

The result of previous integral will be

to unity modulation index m3=l

[:-+-

.-v,

From the definition of modulation index, it is determined corresponding to limit modulation index m?:

Limit modulating signals in the formulas (7),(8),(9),(10) and (15) form basic signals for continuous camer wave based PWM modulation in two-level VSI. The advantage of these selected modulating signals is that they are easily deduced from sinusoidal fundamental signals by some simple functions as multiplication, sign and limitation. The schema for generating limit modulation signals by software PSIM is drawn in fig.6.

4. Modulating signals /or m=mj=l mode (see Fig.3~)


uru3= sign(cos6') ;

are of six-step

u , = ~sign(cos(8-277/3)); ~
urc, = sign(cos(8-477/3))
As a result of PWM technique, the output phase to pole voltage is in the form shown in fig.5:

Fig 6.PSIM schema for generating limit modulating


signals

Definition o/parameter

1243

The parameter q is described as a linear function of modulation index variable m. The related coefficients in this function are different, depending on the operating range of modulation index m and be determined as following. For mE(0;0,907)
m-m, 7 1 =---m

ml - m o

0,907

- 1,1025.m

Form E (0,907;0,95661)
7: = m-m,
-

Figure 7: Reference modulating signals for aiovermodulation mode I with q2 = 0;0.5;0.8;1 c/


=20,157m-l8,282(18)
avermodulation mode 2 with

m2 - m ,

0,95661-0,907

m-0'907

=0;0.5;0.8;1

and b/-

enlarged graphs

For mE(0.9566I;I)
Harmonic content: m-m2

" ==-

m-0.95661

1-0.95661

= 23,046.m - 22,046

( I 9)

Rrference modulating signals : Reference modulating signals will be formed by using ( 3 ) . Regarding limit modulating signals and their modulation indices respectively, we divide it into three ranges of modulation index as follows. Case of m E (0;0,907) (it's linear PWM range)

Harmonic content of the fifth, seventh, eleventh and thirteenth orders are calculated and drawn for both overmodulation modes in fig.8 (mode 1) and fig.9 (mode 2). By calculation, the harmonic content is found to be higher than the case described in [I].

Case of mE (0,907;0,95661) (it's overmodulation -mode


1)
Fig. 8: Harmonic content in avermodulation mode I

vir* - 0.25r
0 $5,

2.Vd 0.m-

Case of m E (0,95661;l) (it's overmodulation - mode 2 )


ll,', llrb
U,' =(I-'Ij).U',z

0.10-

oas+'1,.~m3; +'71.urb3 +r13.um1

(22)
OD-

= (l-'I3).u,hl

=(I-n).u,<?

The graphs of reference modulating signals in overmodulation are drawn for mode I (fig.7a) with qz = 0;0,5;0,8;1 and for respective panmeter values mode 2 (fig.7~)with parameter values qI = 0;0,5;0,8;1 and their enlarged graphs (fig.7b) at areas A and B.

I244

electromagnetic torque are depicted in steady state for The overmodulation mode I with index m=0,95. following graphs in fig.11 are depicted for overmodulation mode 2 with index m=0,98. The transient process in open loop control of the drive through two modes, while the modulation index continuously varies from m=0,9 to unity, is described in fig.12. V. CONCLUSIONS A new proposed method of linear P W M technique has been introduced. The method has the advantage of simplicity, purely linearity and continuous control in the whole range of modulation. Off-line calculated data stored in the form of look-up table can be avoided.

.. . -. . , . ... ..____ . . ...................... . . . . . . . . . . . . .. . . . .. -.: ..................... , , .. ....... . . . . . . . . . . . . . . . .......................

,.). . . . . . . . .

._ . ... . . .. . . . . . . . . . . . . . . . . . . . . . . .. . . . ... . . .. .

Figure 12: Transient phenomena through two overmodulation modes in open loop speed control of VSI fed induction motor drive-Graphs of modulation index m. stator current, electromagnetic torque mid output phase voltage

: :
om

m.0.95

REFERENCES
[ I]N.V.Nho. M.J.Youn: Two-mode overmodulation in WO-level voltage sourcc invcncr using principle control bctwcen limit trajectories. Proceedings of The 5lh International IEEE Powjer Electronics and Drives Conferencr. in pmrr, Singapore 2003

. . . . . . . . . . . . . . . . . . . . . . . . . . . . . .

,........

.am
..Om

........... 1 ............

Figure IO: Graphs of stator current. voltage and torque in overmodulation mode 1.

[2] JLO. Krah, I. Hole: High performancc currcnt rcgulatian for low inductance S C N O motor IEEE 1998 [3] Vikram Kaura. Vladimir Blasko: A methode to improve lincariry of a sinusoibl PWM in the ovcrmodulation rcgion conf PEDES-96 New Dclhi India p.325-330 [4].Vikram: A new method to lincarizc any triangle compvrision bascd PWM by reshaping thc modulation command. IEEE Transactions on Industry Applications, va1.34, "0.2, p.274-380, 1998 [5]J.Holtz.w. Lotzkat and Khambadkone: On continuous control of PWM invcncm in the overmodulation nngc including the six stcp mode. IEEE Tmns. Power Electronics, vo1.8, pp.663-673, 1993 [6] A.M. Tliynadlawski. S . Legowski:Minimum-Loss vector PWM stratcgy for three-phasc inverters. IEEE Tronr. Power Electronics. v d Y . no./. pp. 2 6 3 4 , 1994 [7] A.M. H a w , S.K. SUI, R.J. Kcrkman,T.A. Lipo:Dynamic overmodulation charactcristics of triangle intcrscction PWM mcthods IEEE Tram. Indusp
~

Appliealions. vol.3j.no.4,pp. 8Y6-Yfl7. IY9Y [8] S . Bolognani, M. Zigliotto: Novel digital control of SVM inverrcrs in the overmodulation nnpc. IEEE Trans.
fndlrs@Al

[9] S R B c

0-7803-7885-7/03/$17.00 02003 IEEE.


IEEE
Electronics.
vol.44. no.j,pp. 670-

vcetor modulation and regular sampled PWM.

Trans. Industrid
Figure l l : Graphs of stator current. voltage and lorque in overmodulation mode 2.
678,1997 [ I O ] D.C.

LCC, G.M. Lee: now1 overmodulation techniquc for space vector PWM. Proceeding5 oJlEEE C o n f e n c e . pp./O14-/0IY. IYY7

1245

You might also like