You are on page 1of 7

Lenguajes de Descripcin de Hardware

Los lenguajes de descripcin de Hardware (HDLS) son utilizados para describir la arquitectura y comportamiento de un sistema electrnico.

VHDL
VHDL, viene de VHSIC (Very High Speed Integrated Circuit) Hardware Description Language. VHDL es un lenguaje de descripcin y modelado diseado para describir la funcionalidad y la organizacin de sistemas hardware digitales, placas de circuitos, y componentes. VHDL fue desarrollado como un lenguaje para el modelado y simulacin lgica dirigida por eventos de sistemas digitales, y actualmente se lo utiliza tambin para la sntesis automtica de circuitos.

Ventajas del uso de VHDL


VHDL permite disear, modelar, y comprobar un sistema desde un alto nivel de abstraccin bajando hasta el nivel de definicin estructural de puertas. Circuitos descritos utilizando VHDL, siguiendo unas guas para sntesis, pueden ser utilizados por herramientas de sntesis para crear implementaciones de diseos a nivel de puertas. Al estar basado en un estndar (IEEE Std 1076-1987) los ingenieros de toda la industria de diseo pueden usar este lenguaje para minimizar errores de comunicacin y problemas de compatibilidad. VHDL permite diseo Top-Down, esto es, permite describir (modelado) el comportamiento de los bloques de alto nivel, analizndolos (simulacin), y refinar la funcionalidad de alto nivel requerida antes de llegar a niveles mas bajos de abstraccin de la implementacin del diseo. Modularidad: VHDL permite dividir o descomponer un diseo hardware y su descripcin VHDL en unidades mas pequeas.

Formas de Describir un Circuito


Existen dos formas de describir un circuito. Por un lado se puede describir un circuito indicando los diferentes componentes que lo forman y su interconexin, de esta manera tenemos especificado un circuito y sabemos como funciona; esta es la forma habitual en que se han venido describiendo circuitos. La segunda forma consiste en describir un circuito indicando lo que hace o como funciona, es decir, describiendo su comportamiento. Naturalmente esta forma de describir un circuito es mucho mejor para un diseador puesto que lo que realmente lo que interesa es el funcionamiento del circuito mas que sus componentes.

Formas de Describir un Circuito


El VHDL va a ser interesante puesto que va a permitir los dos tipos de descripciones: Estructura: VHDL puede ser usado como un lenguaje de Netlist normal y corriente donde se especifican por un lado los componentes del sistema y por otro sus interconexiones. Comportamiento: VHDL tambin se puede utilizar para la descripcin comportamental o funcional de un circuito. Esto es lo que lo distingue de un lenguaje de Netlist. Sin necesidad de conocer la estructura interna de un circuito es posible describirlo explicando su funcionalidad. Esto es especialmente til en simulacin ya que permite simular un sistema sin conocer su estructura interna.

Metodologa de Diseo Utilizando VHDL


1. Definicin de los requisitos del sistema Tener idea clara de los objetivos requerimientos y limitaciones del diseo 2. Descripcin del Circuito de VHDL Elegir metodologa de diseo (Top-Down, Bottom-Down o Flat) despus describir el circuito de acuerdo con lo que se haba establecido. La clave para decribir y sintetizar fcilmente circuitos digitales con VHDL es pensar en trminos de compuertas y registros y no en funcin de variables y subrutinas. 3. Simulacin de la descripcin en VHDL. La simulacin del cdigo nos permite detectar y corregir errores antes de implementar en el dispositivo.

Metodologa de Diseo Utilizando VHDL


4. Sntesis Es el proceso mediante el cual la descripcin es convertida en un listado de conexiones en un dispositivo lgico programable, con la finalidad de optimizar el diseo. 5. Simulacin del cdigo sintetizado. Verificar la funcionalidad del diseo despus de sintetizarlo. 6. Programacin del dispositivo. Generar el archivo que nos permite implementar fsicamente el diseo en un dispositivo programable.

You might also like