You are on page 1of 16

UNIVERSIDADE FEDERAL DO RIO GRANDE DO NORTE

CENTRO DE TECNOLOGIA

DEPARTAMENTO DE ENGENHARIA ELTRICA

ModelSim
Criando um projeto
Emanoel Raimundo Queiroz Chaves Junior

O que o ModelSim?

ModelSim um software desenvolvido por uma empresa de projeto e desenvolvimento de dispositivos eletrnicos para automao chamada Mentor Graphics. O ModelSim um software que possibilita o usurio simular o funcionamento de circuitos descritos em HDL Hardware Description Language que, como o significado da sigla j diz, so linguagens de descrio de hardware. As linguagens suportadas so a VHDL, Verilog e SystemC.
A linguagem VHDL foi desenvolvida pelo Departamento de Defesa dos Estados

Unidos da Amrica para servir de principal forma de documentao de um determinado circuito e sua sigla significa Very High Speed Integrated Circuit Hardware
Description Language.

Nas pginas seguintes ser apresentado um tutorial sobre a criao de um projeto e a sua simulao.

Criando um projeto passo a passo:

Tela inicial do ModelSim:

Para comearmos a criar um projeto, execute o caminho como mostra a figura ao lado:

Coloque aqui o nome do projeto E aqui o nome da biblioteca

Clique aqui para criar um arquivo

E aqui, coloque o nome do arquivo (ENTITY)

Certifique-se que a linguagem usada ser VHDL

O arquivo ser criado, mas este estar em branco

Prximo passo ser abrir esse arquivo

O arquivo em branco

O arquivo editado. Foi descrito um circuito inversor de sinal.

Agora salve o arquivo

E, em seguida, clique em compile all

O programa detectou a presena de um erro no cdigo. O ModelSim muito rgido quanto a erros. Clique na frase em vermelho

Corrija o cdigo, salve e clique para compilar novamente. Se tudo estiver correto, a mensagem de sucesso ser mostrada.

esperado um ; perto do termo END que est da linha 8. Analisando o cdigo, podemos perceber que falta um ; no final da linha 7.

Agora, vamos para a etapa da simulao

Para simular o funcionamento do circuito, clique aqui.

Localize o nome da biblioteca na lista

Em seguida, localize o nome do arquivo e clique em OK

Certifique-se que a janela Objects esteja aberta. Caso no, repita estes passos:

Abra a janela Wave

Configurao resultante:

Agora, adicione os objetos onda

Os objetos aparecero na janela Wave. A direita est o valor do nvel correspondente a cada objeto. No caso, o valor de ambos est como sendo 0. Para alterar, faa o seguinte comando:

Neste caso, o valor da entrada a foi alterado para o nvel 1

Por fim, clique em Run.

Um pulso de onda gerado funcionando de acordo com a descrio do circuito. Fora novas entradas e repita o procedimento anterior para gerar mais pulsos de onda.

Aps cinco repeties:

Note que a sada possui nveis opostos aos da entrada que a caracterstica de um circuito inversor.

EXEMPLOS
Flip-Flop D

Simulao do Flip-Flop D

Flip-Flop JK

Simulao do Flip-Flop JK

Registrador Deslocamento

Simulao do Registrador Deslocamento

Contador Assncrono

Simulao do Contador Assncrono

Contador Sncrono
Este contador comea a contar a partir do estado zero e entra e um ciclo passando a contar apenas os nmeros mpares de 3 bits em seqncia.

Simulao do Contador Sncrono

Bibliografia
Verso:

ModelSim PE Student Edition 6.5a

Livros interessantes

TOCCI, R. J., WIDMER, N. S., MOSS, G. L.; "Sistemas Digitais - princpios e aplicaes. 10 Edio, Editora Pearson, 2007. VAHID, F.; Sistemas Digitais Projeto Otimizao e HDLs., Editora Bookman, 2008.

Na internet
http://model.com Site onde voc acha o ModelSim para Download. http://www.vhdl-online.de/tutorial - Tutorial de VHDL

You might also like