You are on page 1of 11

Diseo Lgico I Facultad de Ciencias Exactas y Tecnologa UNT.

MSI en HDL

Diseo Lgico I Facultad de Ciencias Exactas y Tecnologa UNT.

Libreras
Libres : OpenCore Primitivas Macrofunciones Megafunciones De valor agregado MegaCore IP: Bloques funcionales que se pueden probar antes de comprar Ejemplos: UART, FFT, PCI http://www.altera.com/products/ip/ip-index.jsp AMPP: Altera Megafunction Partners Program Bloques funcionales que se compran para poder usarlos Ejemplos: ucontrollers, DSP, PCI http://www.altera.com/products/ip/ampp/ampp1.html

Diseo Lgico I Facultad de Ciencias Exactas y Tecnologa UNT.

Libreras:
Primitivas:
Buffer Primitives: Flipflop & Latch Primitives

Bloques funcionales bsicos


TRI DFF DFFE JKFF JKFFE LATCH SRFF SRFFE TFF TFFE

Input & Output

BIDIR or INOUT INPUT or IN OUTPUT or OUT AND OR NOT VCC XNOR BAND BOR NAND NOR BNAND BNOR

Logic Primitives

GND XOR

Diseo Lgico I Facultad de Ciencias Exactas y Tecnologa UNT.

Libreras:
Macrofunciones:
ADDERS ARITHMETIC LOGIC UNITS Buffers COMPARATORS Converters Counters DECODERS Digital Filters EDAC Encoders Frequency Dividers

Bloques funcionales de la serie 74XX


Latches Multipliers MULTIPLEXERS PARITY GENERATORS/CHECKERS Rate Multipliers Registers Shift Registers Storage Registers SSI FUNCTIONS True/Complement I/O Elements

Diseo Lgico I Facultad de Ciencias Exactas y Tecnologa UNT.

Qu es una Megafunciones : lpm_add_sub

Diseo Lgico I Facultad de Ciencias Exactas y Tecnologa UNT.

Libreras:
Megafunciones:
Gates lpm_and lpm_bustri lpm_clshift lpm_constant lpm_decode busmux
lpm_inv lpm_mux lpm_or lpm_xor mux

Bloques funcionales complejos de alto nivel que implementan funciones parametrizadas


Storage Components Altdpram csfifo dcfifo scfifo csdpram lpm_ff lpm_fifo lpm_fifo_dc

Arithmetic Components divide* lpm_compare lpm_abs lpm_counter lpm_add_sub lpm_divide lpm_mult

lpm_latch lpm_shiftreg lpm_ram_dp lpm_ram_dq lpm_ram_io lpm_rom lpm_dff lpm_tff

Diseo Lgico I Facultad de Ciencias Exactas y Tecnologa UNT.

Uso de libreras primitivas. VHDL

VHDL. Declaracin del componente

Diseo Lgico I Facultad de Ciencias Exactas y Tecnologa UNT.

Uso de libreras. Instanciacin VHDL

Todos los componentes de las primitivas se encuentran en . \libraries\vhdl\altera

Diseo Lgico I Facultad de Ciencias Exactas y Tecnologa UNT.

Uso de libreras. Instanciacin Componentes VHDL Sintaxis


En sector declarativo de la arquitectura

En el cuerpo de la arquitectura

Diseo Lgico I Facultad de Ciencias Exactas y Tecnologa UNT.

Uso de Macrofunciones en VHDL

Todos los componentes de las macrofunciones se encuentran en . \libraries\vhdl\altera En macrofunciones no es necesario declarar el componente. Slo hay que agregar la librera:

Diseo Lgico I Facultad de Ciencias Exactas y Tecnologa UNT.

Uso de Megafunciones : lpm_add_sub en VHDL


Todos los componentes de las megafunciones se encuentran en . \libraries\vhdl\lpm En megafunciones no es necesario declarar el componente. Slo hay que agregar la librera:

You might also like