You are on page 1of 130

SCIENTIFIC AND TECHNICAL REPORT 2012

CSEM Centre Suisse dElectronique et de Microtechnique SA


CSEM is a private Swiss research and technology organization which delivers advanced technologies and unique R&D services to industry. Targeting emerging, strategic, high-impact technologies, CSEM helps bring new products to market and creates new ventures. A 400-strong workforce with an industrial background, mostly top-level engineers and holders of PhDs, dedicates its passion to this mission. What makes CSEM special is its high level of expertise in integration and industrialization, and its multidisciplinary, system-oriented approach, operating through four strategic programs microsystems, systems, ultra-low-power integrated systems, and surface engineering corresponding to domains in which the center has acquired, over the years, a national and international reputation. CSEMs technologies are able to address the needs of a wide range of markets, from healthcare, watchmaking, aerospace, and security, to consumer electronics, and the demands of emerging markets such as cleantech (including energy production and management) and environmental monitoring (in transportation or agriculture.) The process of innovation and diffusion requires greater and greater interaction between the world of science and technology and the marketplace. In 2012, more than 130 businesses, the majority of them Swiss, tapped into the skills of our 295 engineers and researchers to develop new products and solutions based on our technological platforms. And 56 CSEM projects were carried out in close collaboration with academia, predominantly with Swiss universities. We hope you enjoy reading this report and that doing so gives you a real sense of how CSEM engineers can, by using their creativity and innovation, solve problems and help industry and society to prepare for the future.

CONTENTS
PREFACE MULTIDISCIPLINARY INTEGRATED PROJECTS MIPS
FLATCAM Design and Fabrication of a New Extra-flat and Semi-transparent Illumination System 3DPOSITION Wireless Sensing Systems for Harsh Environment BACKLIGHT Advanced X-ray Imaging of Polymer and Composite Materials and Parts IPOSIM Integrated Porous Silicon Membranes PV-HEALTH Health Monitoring for Silicon-based PV Installations and Design Exploration of Organic PV Modules SILFLEX Hybrid Integration of Microfabricated Components SMAC The Integrated Swiss Miniature Atomic Clock TUNALED MEMS Technology for Widely Tunable Light Sources and Wavemeters PULSEMON Advances in Wrist Sensors Measuring Heart Rate and Heart-rate Variability BIOCOP Integrated Optical Low-cost Platform for Diagnostic Applications DISPATCH Inexpensive Optical Gas Sensors with Compact Readers for Applications in Bioprocess Monitoring, Food Packaging and Health Care COOLSLEEP Contactless Heart-rate and Breathingrate Monitoring with a Near Infrared Camera

5 7
9 10 11 12 13 15 16 17 18 19 20 21

Air-liquid Mixer with Integrated Degassing SelfSys Microfluidic System for Assisted Selfassembly of Mesoscale Objects

36 37

SURFACE ENGINEERING
Detection of Nanoparticles in Complex Matrices Novel in-/out-coupling Structures for Efficient Light Management New Protection and Barrier Coatings Deposited by Molecular Vapor Deposition Functional Nanostructured Plastic Components Manufactured Using Replication Techniques Talbot Photolithography Channel-based Continuous Flow Assay Mimicking Cancer Invasion Body-on-the-Plate an Integrated Ready-to-use Platform for Investigating Multi-organ Toxicity Miniaturized and Automated Platform for On-line Preparation of Polluted Water Samples FEM-based Method for the Simulation of Dielectric Waveguide Grating Biosensors Lab-in-a-pipette Tip for Rapid Contaminant Screening Electrochemical Microsensors for Monitoring the Metabolism of Liver Tissue Models in a Microfluidic System Disposable Sensors for On-line Monitoring in Disposable Bioreactors Optical Fibre Functionalization for Measurement of pH and Matrix Metalloproteinases (MMPs) in Wound Dressing Chemical Detection of Molecules Using Structured Metallic Membranes A Bioreactor to Test Bone Scaffolds Bioindenter CO2 Sensor for Demand-controlled Ventilation New Fabric-based Electrodes for Flexible Large-area Organic Light-emitting Diodes Novel Printed Optoelectronics Components Thin-film Silicon Solar Cells with Higher Conversion Efficiencies PV-center, a New R&D Platform for Photovoltaic Products and Applications

39
40 41 42 43 44 45 46 47 48 49 50 51 52 53 54 55 56 57 58 59 60

MICROSYSTEMS TECHNOLOGY
Radiation-tolerant Structural Materials for Microsystems in Space Novel X-ray Detectors Quality Control for Gold Nanoparticles by TEM & SAXS High Temperature XRD Studies of the Oxidation Process of Al-Cr Intermetallic Coatings for the Design of Novel Protective Thermal Barriers for Automotive Applications Fusion Bonded Micro-fluidic Devices for High Pressure Cooling of Particle Detectors Transient Liquid Phase Bonding for Hermetic Sealing Applications Integration of Microfluidics and Optics into a Lab on Chip System Electronics Integration for Smart Textiles Hermetic Sealing of Electronic Packages Using Laser Welding and Laser Soldering Ultrashort Pulse Laser Micromachining Optical Absorbance Flow Cell with Minimal Fluid Volume

23
25 26 27

28 29 30 31 32 33 34 35

SYSTEMS
A Table-top Femto-laser Printer for the Micromachining of 3D Small Scale Opto-mechanical Components Configurable Slit Mask Contributions to KECKMOSFIRE First Light Spare Parts for the NASA-DLR SOFIA Telescope Secondary Mirror Mechanism Miniaturized 3D Imaging Device for Space Applications

61
63 64 65 66 3

Ultrafast Monolithic Blue Laser Diode for Biomedical Applications Full Stabilization of Mode-locked Femtosecond Laser Automated Fault Detection Using Deep Belief Networks for Quality Inspection Lightweight Photovoltaic Cleaning Robot Concept Liquid Bridge Control with Image Processing A Customizable Pressure Strip for Rapid and in-situ Aerodynamics Testing A Cost-effective Approach to Real-time Liquid Arrival Check in 96-well Microliter Plates Real-time Plastic Welding Process Monitoring Based on Dielectric Spectroscopy Energy Flux Optimization in Future Smart Buildings Monitoring in Harsh Conditions for Predictive Maintenance Load Profile Segmentation of Domestic Electricity Consumption Energy Management System at Domestic and District Level Development of a Multi-parameter Probe for Monitoring of Neonates Wound Healing Monitoring System Integrating Plastic Optical Fibre Sensors Continuous Non-occlusive Estimation of Blood Pressure via a Chest Sensor Novel Wrist-worn Device for Sleep Analysis Reflective Thoracic Pulse Oximetry via Textileintegrated Flexible Sensors

67 68 69 70 71 72 73 74 75 76 77 78 79 80 81 82 83

An Ultra-high Speed 4-line Optical Sensor Optical Flow Correlator Implementation CMOS-compatible Imager for FLIM and TOF Applications HDR Sensor for Smart Vision Systems

101 102 103 104

ANNEXES
Publications Proceedings Conferences and Workshops Research Projects Swiss Commission for Technology and Innovation (CTI) European Commission Projects European Space Agency (ESA), European Southern Observatory (ESO) and Astrophysical Instrument Projects Industrial Property Collaboration with Research Institutes and Universities Teaching Theses Commissions and Committees Prizes and Awards

105
105 106 108 111 112 115 118 118 119 123 124 126 129

ULTRA-LOW-POWER INTEGRATED SYSTEMS


Temperature-aware Standard Cell Design GENEPY Heterogeneous Multiprocessor Platform Low Power CMOS Sensor Interface for PoC Biosensing IcyHeart, an ECG Sensor Interface in a SoC Modeling (RF) Wave Propagation for Communications in Large Premises An Autonomous Strain Sensor for Avionics Applications Distance Wireless Powering Demonstrator at RF Frequencies A Versatile Timing Microsystem Based on Wafer-level Packaged XTAL/BAW Resonators with Sub-W RTC Mode and Programmable HF Clocks A 2.4 GHz MEMS-based PLL-free Multi-Channel Receiver with Channel Filtering at RF A 1-1.5 mW Tx-Rx 2.45 GHz 200 kbit/s System-inPackage in Less than 13 mm3 An Ultra-low-power Bluetooth Smart Integrated Solution An Automated Logo Reading Technology High Precision Sun Tracker ROIC for High Performance Low Cost Monolithic Uncooled THz fPA 4

85
87 88 89 90 91 92 93 94 95 96 97 98 99 100

PREFACE
Dear Reader, As always, were pleased to share the latest information about the work weve done and the successes weve enjoyed over the last year. In this report, youll see numerous examples of how were continuing to expand as a preeminent research and technology organization, helping to transform groundbreaking science into new products. Our exclusive focus on fields in which we have worldrenowned expertise is just one of the things that make us so productive. This concentration on specialisms, and the process of comparing and consolidating our internal vision with that of our scientific advisory board, allow us to efficiently use our resources to develop innovative technology platforms poised to meet industys future needs in the fields of electronics, aerospace, security, watchmaking, medical, or cleantech. While our close connection to industry helps us anticipate the trends of tomorrows markets, our tight links with researchers in Switzerland and abroad keep us up-to-date with cuttingedge academic research. Our technological expertise and scientific network are together instrumental in allowing us to maximize the transfer of academic research to the economy. I thank our partners and my colleagues for their dedication and efforts in this joint endeavour.

Mario El-Khoury CEO, CSEM SA

MULTIDISCIPLINARY INTEGRATED PROJECTS MIPS


Alex Dommann and Georges Kotrotsios A MIP is a multidisciplinary integrated project combining several fields of expertise within CSEM, based mainly on existing technologies, and leading to a demonstrator with a high market-potential in the timeframe of around two years. Globally, the goal of our MIPs program is to develop the skills needed to combine different technology platforms to address current and future industrial needs in integrated systems. A quarter of CSEMs annual R&D budget is spent on MIPs adapting different technology platforms to more specific applications. To further enhance our capability as an innovation partner, a series of MIPs are launched each year. Each demonstrator is built on several existing CSEM technologies and is intended to serve as a promoter for innovative industrial applications. Industries today are looking for complete solutions. Innovative products, in particular; exhibit a technological complexity which can seldom be handled by a single technology provider. One of CSEMs strengths, therefore, is that it offers this wide spectrum of technologies under one roof. MIPs enable CSEM to offer its industrial clients a rich portfolio of technologies and sound know-how in how to apply and realize innovative products based on micro- and nanotechnologies. The Swiss federal- and cantonal governments provide the funding necessary to maintain this portfolio in a healthy state. MIPs are selected based on CSEMs market-oriented research strategy. FLATCAM Design and Fabrication of a New Extra-flat and Semi-transparent Illumination System Light management is used in many industrial fields and is mostly relying on refractive and reflective optics. New possibilities are opened by surfaces micro and nanopatterning. Non classical optical behaviors can be engineered to benefit from diffractive optics, polarization optics and the enhanced light-matter interactions at the nanoscale, especially plasmonic interactions with metals. Potential applications are various and range from machine vision, optical inspection and microscopy to integrated sensing and new security devices. 3DPOSITION Wireless Sensing Systems for Harsh Environments 3DPosition consists of the development and integration of System In Packages (SiP) incorporating mixed MEMS and wireless-based 3D position control systems for harsh environment applications such as structural health monitoring in aeronautics, or monitoring of flexible pipes and floating platforms in the energy market segment. The required expertise covers the fields of harsh-environment packaging, low-temperature co-fired ceramic (LTCC) processing, ultralow-power wireless communication, electronics and system integration, signal processing, and intelligent data-pattern recognition. BACKLIGHT Advanced X-ray Imaging of Polymer and Composite Materials and Parts Advanced polymers and composite materials are used increasingly in safety-critical devices such as those usedin aeronautics, medical device technology, or automotive industries. The quality requirements are becoming more and more demanding and are challenging standard quality control techniques. For this reason, CSEM has developed an advanced X-ray imaging method which enables nondestructive detection of microscopic defects in large samples. New technical advances are now helping to address the implementation of this technology in a commercial product. IPOSIM Integrated Porous Silicon Membranes Ultrathin micro- and nano-porous silicon nitride membranes have been developed at CSEM and integrated into functional devices dedicated to several biotechnology applications. These membranes are manufactured at wafer scale in various sizes and arrangements and exhibit unique properties such as an excellent mechanical and chemical stability. They are also fully compatible with cell culture. Finally, these membranes offer superior performance in comparison to state-of-the-art polymer sieving materials. An extremely high flux and low sample retention as well as a very narrow pore size distribution makes them very attractive as selective filters or as support for in vitro models of biological barriers. PV-HEALTH Health monitoring for Silicon-based PV Installations and Design Exploration of Organic PV modules The average annual growth rate of the solar industry about 40% which is sustained by the ever increasing number of photovoltaic installations all over the world, is accompanied by the continuing quest for cost reductions, and by the increasing efficiency of photovoltaic solar cells and panels. Besides the new technologies and production techniques that are required to achieve the above-mentioned goals, health-monitoring and power optimization during the lifetime of existing installations is becoming increasingly important. The global objective of this project is to strengthen CSEMs offering to support the solar industry by establishing the state-of-the-art in healthmonitoring techniques for photovoltaic installations, and by developing and producing highly efficient organic photovoltaic (OPV) cells and modules at a low cost. SILFLEX Hybrid Integration of Microfabricated Components The hybridization of silicon parts with other materials is one of the promising trends in the design of high performance microsystems. Its investigation at wafer level has been carried out for the realization of new, improved-functionality, micromechanical, silicon-based components for the watch industry.

The machining of macroscopic compliant mechanisms in monocrystalline silicon leads to decisive advantages: ideal elastic properties of the material, absence of fatigue, machining accuracy, possible integration of sensors and actuators inside the articulated structures themselves, and batch production on wafers. SMAC The Integrated Swiss Miniature Atomic Clock The trend to miniaturize atomic clocks is essentially motivated by the availability of low-cost and low-power stable-reference frequency standards. Main applications are currently to be found in telecoms (synchronization, secure communications, etc.) Other applications, such as those in portable devices (GPS/Galileo receivers), will also profit from such technology. CSEM, by the unique combination of its broad competencies, can greatly impact this field. Engineering at the system level using opto-micromechanical design, MEMS parts fabrication, packaging technologies, and low-power RF electronics has lead to a high-end demonstrator of a miniature, low-power atomic clock. TUNALED MEMS Technology for Widely Tunable Light Sources and Wavemeters Optical distributed networks based on fiber Bragg gratings (FBG) are relevant in a number of domains including aerospace, transport, energy, and construction. Accurate wavelength determination is the key aspect in these sensor networks. CSEM has demonstrated an FBG analyzer based on MEMS tunable grating technology, the main advantages of which are compactness and high measurement accuracy. The same MEMS technology is used to implement widely tunable optical sources. PULSMON Advances in Wrist Sensors Measuring Heart Rate and Heart-rate Variability PulseMon consists of the realization of an ultra-low-power ASIC dedicated to CSEMs wearable biomedical sensing devices in order to reduce their size and power consumption. This opens new innovative perspectives to enhance further CSEMs proprietary photoplethysmography technology on the wrist by improving aspects such as miniaturization, integration, comfort, and signal quality.

BIOCOP Integrated Optical Low-cost Platform for Diagnostic Applications The trend toward personalized medicine requires cheaper and easier-to-handle diagnostics. For example: a blood sample for an immunoassay should be handled quickly by a local clinic instead of by a specialized lab. CSEMs concept for a costeffective point-of-care immunoassay platform with disposable chips combines mechanical, optical, and fluid-handling capabilities into a single compact device. DISPATCH Inexpensive Optical Gas Sensors with Compact Readers for Applications in Bioprocess Monitoring, Food Packaging and Health Care Colorimetric sensing solutions have been developed for the detection of oxygen and carbon dioxide. Using a multidisciplinary approach, inexpensive patches have been fabricated and dedicated compact reader devices developed in parallel. These solutions are cost-effective and highly customizable. They will find applications in various fields, to monitor gas concentration for example in fermentation processes, modified atmosphere packaging, or human breath. COOLSLEEP Contactless Heart-rate and Breathing-rate Monitoring with a Near-infrared Camera Coolsleep consists of the development of an imaging platform along with advanced imaging signal-processing algorithms to extract human vital-sign parameters, such as heart rate (HR) and breathing rate (BR), from a near-IR-illuminated person at rest.

FLATCAM Design and Fabrication of a New Extra-flat and Semi-transparent Illumination System
G. Basset, F. Ltolf, A. Luu-Dinh, D. Pires
Light management systems using refractive or reflective optics are present in most industrialized goods. New techniques to easily pattern micro and nanostructures on surfaces, with cost effective processes, enable new advanced ways of managing light. Using diffraction optics designs, combined with polarization optics and the plasmonic interactions of metals with light, new spectacular optical properties can be engineered.

New sub-wavelength nanostructures have been simulated, designed and produced by CSEM to be highly diffractive for the visible light and polarization sensitive. These structures are easily transferred to transparent materials such as plastics, or here as an example, the surface of a 1mm thick glass plate:

Using advanced metal coatings, on such sub-wavelength resonant grating creates new intriguing properties. In this second device, a glass plate is used as a transparent and extra-flat lamp, through which lighted objects can be observed:

Figure 3: Schematic of a proximity vision system Observation through the light source Figure 1: Example of a 1mm thick glass plate engineered for light incoupling and out-coupling on selected surfaces. The plate acts as a total internal reflection waveguide for light transportation

The patterned structure is made of a simple binary grating, easy to produce in large scale with for example roll-to-toll embossing, and covered with an optical coating. Such surface engineering transforms a simple glass into a total internal reflection waveguide, with well-designed in and out-coupling surfaces. The obtained spectacular optical properties are demonstrated to result in a highly asymmetric light outcoupling behavior:

Using an asymmetric illumination, similar to the one reported before, and benefiting from the added degree of freedom from polarization dependent diffraction, the engineered slab stays semi-transparent. It is then possible to light objects and simultaneously observe them through the working lamp without blinding the imaging system. A millimeter-sized plastic cog-wheel is photographed with a standard camera in this configuration as an example: in Figure 4.

Figure 4: Example of image acquired through the illumination system: Photography (zoom-in) of a milllimetric plastic Cog Wheel Figure 2: Photos of the light out-coupled from the glass plate: frontside (left) and back-side (right) illumination intensities differ by about one order of magnitude

Additional measurements demonstrated that more than 90% of the out-coupled light is directed selectively towards one face of the glass plate. This allows the fabrication of a logo, built in a transparent device, visible only from one of its sides, as can be seen in Figure 2. Another possible use would be to pair two of these structures to exhibit different logos or texts on each side of, as for example, shop-windows.

Light management is used in many industrial fields and mostly relies on refractive and reflective optics. New possibilities are opened by surfaces micro and nano patterning. Non classical optical behaviors can be engineered to benefit from diffractive optics, polarization optics and the enhanced light-matter interactions at the nanoscale, especially plasmonic interactions with metals. Potential applications are various and range from machine vision, optical inspection and microscopy to integrated sensing and new security devices.

3DPOSITION Wireless Sensing Systems for Harsh Environment


G. Spinola Durante, E. Gyrvary, M. Snclauze, C. Verjus, J.-M. Koller, M. Correvon, M. Ltzelschwab, C. Bosshard, H. Birol
In this work autonomous MEMS-based multi-sensing solutions for harsh environment industries are demonstrated. The expertise required in this multidisciplinary project is the following: harsh environment packaging, low temperature co-fired ceramic (LTCC) processing (CSEM Brasil), ultralow power wireless communication, electronics and system integration, signal processing and intelligent data pattern recognition.

The purpose of this development is to address the needs of harsh environment markets such as structural health monitoring, aeronautics and the growing demand in the energy market segment with a special focus on emerging markets like Brasil. For example mixed MEMS and wirelessbased 3D position control systems for harsh environment are often required in location monitoring of flexible pipes and floating platforms by oil industry companies. In avionics and aerospace domains wireless structural health monitoring (S,H,M) is a topic of particular interest and also requires 3D vibration remote measurement units. Miniaturization is also a key issue to reduce payload and makes it possible to transport many units targeting a distributed measurement network. A system demonstrator prototype including dedicated PCB and housing (Figure 1) has been defined. It includes MEMS sensors, signal processing capabilities and wireless communication electronics.

can be embedded directly on the ceramic cover, leaving more freedom to the PCB and housing design.

Figure 2: a) Metal housing with feedthroughs; b): Alumina cover bonded on a metal frame

The existing R&D competing demonstrators are the following: Wireless sensor network for structural health monitoring with guided ultrasonic wave propagation [1]. Aeronautics structural health monitoring with a smart integrated system the size of a credit card [1]. The main advancements above research-grade prototypes (Figure 3) are (i) compactness, (ii) customized packaging standing harsh environment, (iii) low-power consumption due to optimized wireless data transmission.

Figure 1: Demonstrator PCB in the metal housing (opened)

The demonstrator uses the following components: Low-power MEMS 3D accelerometer MEMS 3D magnetometer Low-power 3D MEMS-gyroscope Absolute pressure sensor Radio ISM-868MHz Processor dedicated to radio software Processor for sensor and storage Micro-SD card for data storage I2C interface for optional external sensors Power supply from Lithium-Polymer accumulator To package the electronic components for overcoming harsh environment, a few enabling technologies are necessary. The first required technology is the laser welding of hermetic feedthroughs (Figure 2a). The second technology is the hermetic sealing of the housing metal frame with an Alumina and/or LTCC cover (Figure 2b). The ceramic cover allows wireless communication since the full-metal housing would otherwise isolate the antenna in a Faraday cage. This technology is currently under development at CSEM. In the future a miniaturized packaging solution is planned to be made-up of only LTCC already embedding miniature and hermetic feedthroughs. The packaging solution with LTCC is prone to miniaturization since the feedthroughs 10
Figure 3: Innovative above state-of-the-art approach

CSEM is in the position to develop new multi-sensing applications for harsh environments. The most interesting features of CSEM technologies are: flexible sensor data fusion and intelligent data pattern recognition, ultra-low power wireless link, autonomous system, harsh environment housing and miniaturization. Sensor data intelligent pattern recognition and eventually CSEM in-house MEMS sensors tailored to the application provide the customization required in applications, where a technical solution is still missing. Furthermore, CSEM has expertise in developing cost-effective solutions based on carefully selected COTS components combined with resource efficient algorithms.
[1]

CSEM Brasil V. Rouet, et al., Aeronautics structural health monitoring with a smart integrated system the size of a credit card, Smart Systems Integration 2012, Zurich, 21-23 March, 2012

BACKLIGHT Advanced X-ray Imaging of Polymer and Composite Materials and Parts
C. Kottler, V. Revol, R. Kaufmann, A. Neels, A. Dommann
Advanced polymers and composite materials are used increasingly in safety critical devices such as in aeronautics, medical device technology or automotive industries. The quality requirements are becoming more and more demanding and challenge the standard quality control techniques. For this purpose, CSEM developed an advanced X-ray imaging method which enables non-destructive detection of microscopic defects in large samples. New technical advances are now addressing the implementation of this technology into a commercial product.

Mechanical engineering nowadays often uses polymers and fiber composite materials as a replacement for metallic parts in various industries. Indeed, advanced composites offer excellent mechanical properties for a lower weight and are even biocompatible, which make them attractive for lightweight construction and for implants and medical devices. Therefore, reliable and efficient tools for the non-destructive inspection, characterization and testing of these parts are needed. It was recently shown that X-ray Phase Contrast Imaging (XPCI) and Scatter Dark Field Imaging (SDFI) two new imaging technologies developed at CSEM [1],[2],[3] have great potential in becoming versatile tools for the inspection of these materials.

inspection tools. While, for instance, the medical device industry asks for inspection tools that automatically identify defective parts in-line with the fabrication, the aeronautic industry demands robust instruments that are able to test large areas. CSEM collaborates with the application experts in developing and finding solutions that meet their needs. This is done, on the one hand, on the basis of private mandates, for instance architecture studies on customer samples are performed in which the capabilities of the imaging technology are assessed. On the other hand, specific challenges in the implementation of the technology are being addressed within publicly funded projects. Examples are: A prototype system that is capable of inspecting devices with dimensions of several square meters [6]. A robust grating interferometer system that can be operated even under adverse conditions [7]. New algorithms for automated defect detection and image fusion as shown by the example in Figure 3.

Figure 1: A CFRP laminate is being imaged using the Talbot-Laue grating interferometer

Within the framework of a project funded by CCMX [ 4 ] a systematic experimental survey was performed in which relevant samples were measured at the X-ray grating interferometer set-up at CSEM (see Figure 1). Different types of defects were investigated, such as porosity, fibre wrinkles, impact damage, etc. It could be observed that these defects can be detected by means of SDFI in a sensitive and efficient way. Moreover, as illustrated for impact damage in Figure 2, the imaging technology even delivers quantitative information on a specific type of defects.

Figure 3: Four different scatter dark field images are evaluated using CSEM Tileye inspection technology [ 5 ] C. Kottler, et al., Dual energy phase contrast x-ray imaging with Talbot-Lau interferometer, J. Appl. Phys. 108, (2010) 114906 V. Revol, et al., Sub-pixel porosity revealed by x-ray scatter dark field imaging, J. Appl. Phys. 110, (2011) 044912 V. Revol, et al., Orientation-selective X-ray dark field imaging of ordered systems, J. Appl. Phys. 112, (2012) 114903 CCMX, NMMC Analytical Platform, Failure and defect analysis of fibre composite materials by means of X-ray interferometry R. Wyss, et al., Bio-inspired Quality Inspection, CSEM Scientific and Technical Report, (2009)102 European project EVITA, www.evita-project.eu CCMX, NMMC Analytical Platform, Gantry-based X-ray phase contrast scanner for microCT applications

[1]

[2]

[3]

[4]

[5]

[6] [7]

Figure 2: The diagnosis value obtained using CSEM Tileye bioinspired algorithm [ 5 ] is well correlated with the impact energy as shown on this plot. Different laminate thicknesses were investigated

However, as diverse the industries involved in composite materials are, as diverse are the requirements for the 11

IPOSIM Integrated Porous Silicon Membranes


R. Pugin, S. Ahmed, G. Andreatta, S. Angeloni, A. Bojko, M. Dadras, D. Fengels, G. Franc, M. Liley, F. Montagne, P. Niedermann, N. Schmid
Ultrathin micro- and nano-porous silicon nitride membranes have been developed at CSEM and integrated into functional devices dedicated to several biotechnology applications. Today these membranes are manufactured at wafer scale in various sizes and arrangements and exhibit unique properties such as an excellent mechanical and chemical stability. They are also fully compatible with cell culture. Finally these membranes offer superior performance in comparison to state of the art polymer sieving materials these include an extremely high flux and low sample retention as well as a very narrow pore size distribution that makes them very attractive as selective filters or as support for in-vitro models of biological barriers.

The estimated market for separation technologies in 2011 exceeded 5 billion euros with an annual growth rate of 15%. Membrane filtration represents 18% of this market in sectors such as biomedical, medical devices, food and beverage, water and energy. Among the main applications addressed by membrane technology are vaccine and antibiotic recovery from fermentation broth, protein fractionation and purification, dialysis and blood treatment, and beverage clarification or disinfection. Membranes are also considered for many additional niche applications. One example is the recent use of membrane as a support for the growth of cell layers that are used as normal models of biological barriers (lung, skin, intestines and blood-brain barrier). Although very specific, their improved relevance is of a growing interest to screen the ability of potential pharmaceuticals and toxins to enter the human body. In this context novel ultrathin submicron- and nano-porous membranes have been fabricated at CSEM using standard photolithography as well as emerging self-assembly based nanofabrication techniques. Using block-copolymer or nanosphere lithography ultrathin nanoporous membranes (NSiMs) with pore diameter from 10 nm up to 500 nm have been produced respectively. The mechanical stability of the resulting membranes has been studied by the bulge method which demonstrated the ability of membranes to withstand differential pressures up to 1000 mbars for a 200 nm thick, 800 m wide porous SiN layer presenting a mean pore diameter of about 90 nm and a pore density of 5x109 pores per cm2. Permeation experiments revealed that the molecular transport rate across NSiMs is up to 16-fold faster than that of commercial PCTE and PES membranes. Using dextran molecules of various molecular weights, size-based separation has been achieved with a very good selectivity [1].

and further treated by Low Chemical Pressure Vapour Deposition (LCPVD) of silicon nitride (low stress SixNy) in order to reduce the diameter of the pores as defined by mask assisted batch process. The pore diameter obtained by the conformal deposition was 0.5 m, with good wafer level accuracy and uniformity. According to the postmicrofabrication deposited silicon nitride layer, the resulting thickness of the membrane may vary according to the desired pore diameter. The batch process results in chips displaying an array of 23 porous windows 0.5 m thick with an area of 1 mm2 and pore sizes of between 1 and 1.5 m in diameter. The thickness and the pore size for the permeable supports for cell growth can be finely tuned in the submicron range. The overall surface available for cell growth is roughly 1 cm2 and becomes easy to handle when the chips are accommodated in SIMPLI-well inserts and ILT standalone bioreactors.

Figure 2: (left) Critical Point Drying SEM image of top side of a sMSiM accommodating a well differentiated monolayer of intestinal epithelium; (right) Bottom side of the same membrane showing 0.5 m pore diameter. Despite the reduced thickness, only cytoplasmic material is observed, then the model barrier polarity is maintained

The presented approaches pave the way to the wafer scale production of submicron- and nano-porous membranes (500 nm down to 80 nm pore diameter). Efforts are currently focused on the elaboration of integrated systems for filtration/separation purposes as well as normal drug absorption monitoring for Pharma and Toxicology investigations. CSEM gratefully acknowledge the financial support from the FP7 EU project SELFMEM under the grant agreement NMP3SL-2009-22865 and the Nano-Tera projects ISyPeM and LiveSense. CSEM also thanks Mr. B. Haenni and the Microscopy Imaging Center, University of Berne, for the support in CPD-SEM imaging.
[1]

Figure 1: (left) SEM image of NSiMs; (right) NSiMs integrated into an eppendorf tube for centrifugation assisted filtration

Present investigations are currently studying the integration of NSiMs into a small device for centrifugation assisted filtration (Figure 1). Submicron permeable silicon nitride membranes (sMSiMs) were fabricated using standard photolithography techniques 12

F. Montagne, N. Blondiaux, A. Bojko, R. Pugin, Molecular transport through nano silicon nitride menbranes produced from self-assembling block copolymers, Nanoscale 2012, 4, 5880

PV-HEALTH Health Monitoring for Silicon-based PV Installations and Design Exploration of Organic PV Modules
T. Offermans, A. Hutter, M. Chrapa, D. Ferrario, R. Ferrini, V. Neuman, G. Nisato, E. Onillon, F. Trif Schmitt, J. Schleuniger, Y. Stauffer, M. Zinggeler
In this multi-disciplinary project two different aspects of photovoltaic (PV) systems were investigated. On the one hand the monitoring of PV installations was addressed and on the other hand different production processes for the creation of organic photovoltaic (OPV) cells and modules. The project contributed to fostering the cooperation and exchange between the technology-oriented groups working on the production aspects and the teams working on the system integration aspects so that the competitive advantage of CSEM in this field is further reinforced.

The objective of the monitoring of PV installations was to identify means and methods that allow the continuous monitoring of the health status of PV installations. The identification of failures or damage to individual panels of the installation provides a valuable feedback on the long-term evolution of the performance of the entire PV installation. The second topic of the project addressed different production processes for the creation of organic photovoltaic (OPV) cells and modules. OPV is an interesting technology due to its promise for cheap and flexible solar cells and panels, which moves rapidly forward towards large-scale market introduction. Within this activity, the first complete operational OPV modules have been realized, which constitutes an internal milestone in the development of manufacturing processes for printed electronics and forms the base for further development of fully printed tandem solar modules. The approach for the identification of failures of or damage to individual panels of the installation is illustrated in Figure 1 below. The idea is to monitor the electrical characteristics of all panels that are attached to an inverter, thus either right in front of the inverter or directly in the inverter, e.g. via a monitoring device that is integrated by the inverter manufacturer. Alternatively individual strings, e.g. a number of PV panels connected in series, could be monitored by means of a dedicated monitoring device, which is a well-suited solution for retro-fitting such as a monitoring solution to already existing PV installations.

In a second step the focus was put on methods which analyse the power and energy characteristics of the PV installation since this information is easily available. In order to evaluate different approaches a simulation environment was created, which was built around the solar cell library from Matlab. The latter proved to be efficient in terms of computational speed as well as for the accurate modeling of the temperature dependencies. The usefulness of the simulation tool is illustrated by means of the following example. The comparison of the simulation results with real measurement from the PV test site with 24 panels that is available at CSEM in Neuchtel allowed an accurate model of the illumination and shading effects that effect the PV-based energy production at this site (see also illustration in Figure 2).

Figure 2: Illustration of simulation results comparing the temperature dependent SimScape model with the look-up table based simulation to the measured results from the so-called CSEM Villa test site

In a third step different detection approaches have been identified and implemented in the simulation environment, where the following two aspects have been addressed: first, the identification of failures of or damage to individual panels of the installation and second, the analysis of the evolution of the long-term performance of the entire installation. An illustration of the results obtained for the latter is shown in Figure 3 below.

Figure 1: Illustration of PV health monitoring approach

In a first step an analysis of the available literature on the subject revealed that several different approaches have already been addressed, like e.g. the detailed analysis of the voltage-current characteristics at panel or string level, the analysis of the produced power and energy or, finally, the application of reflectometry at string level. It is worth mentioning that the thesis of Bun [1] outlines a comprehensive overview of different approaches and provides a good description of the different failure modes and effects.

Figure 3: Illustration of results for long-term aging

For this example the ageing of polycrystalline silicon panels, which exhibit a performance loss of 20% with respect to the 13

produced power after a lifetime of 20 years, was simulated via an accelerated aging over 100 days. From Figure 3 it can be seen that this trend is very well captured with the selected detection approach. As such, this information could be a valuable feedback for the owner of the PV installation in order to track the long-term evolution of his investment. The production process activity was dedicated to the investigation of organic photovoltaic (OPV) modules, which promise to be fabricated at low cost in mass production using solution printing processes. Low-cost and flexible OPV cells have come a long way in the last few years with cell efficiencies now at more than 12% in the lab. As a consequence first portable chargers have been launched that use these cells to generate electricity. There are still a number of challenges that OPV needs to overcome before it can become a mainstream solar technology. In this project CSEM addressed important challenges that arise as materials and processes are transferred from the small laboratory scale to a larger production scale for all the individual components that are needed to manufacture OPV. CSEM set out to investigate the production technologies that are required to fabricate fully printed solar modules using deposition methods compatible to industrial mass production processes. The main focus of attention was on the solution processing of different photoactive organic layers and (metallo-)organic interlayers within the OPV (see Figure 4). These layers were deposited using blade coating on an ITO coated flexible PET substrate. The photoactive materials or inks were selected that have desirable properties concerning solubility, viscosity, stability and band gap.

roll-to-roll compatible printing techniques. First deposition trials of silver ink to form the top grid electrode for OPV modules were successfully done using the screen printing method. These grids will be used in the future to fabricate semi-transparent OPV modules. Figure 5 shows one of the modules fabricated at CSEM. The module with dimensions 10x10 cm2 consists of 13 cells connected in series. From its current densityvoltage (JV) characteristics an open circuit voltage (Voc) of 8 V is extracted, which shows that the series connection of the individual cells operates correctly. In conclusion, very first operational modules have been fabricated at CSEM. High quality organic layers with thicknesses in the order of ~50 nm have been deposited using methods compatible with large scale production. Progress has been made in the development of the processes required for fabricating fully printed solar modules at large scale.

Figure 5: Photograph of the 10x10 cm2 OPV module

Figure 4: (Top) Layout of an OPV single junction stack on top of a transparent flexible substrate. The stack is illuminated from the bottom, through transparent substrate. (Bottom) Sketch of the series connection of three cells in an OPV module

Secondary topics of investigation were 1) the encapsulation of the solar modules and 2) the printing of low cost alternatives to the commonly used transparent conductor ITO. Encapsulation is required to prevent corrosive degradation of the electrode by ingress of oxygen and moisture into the device stack. The proven concept in which the module is laminated between a transparent barrier-coated plastic sheet and an aluminum foil was applied. The Indium in the ITO is becoming increasingly scarce and expensive. Moreover, ITO is brittle and therefore inappropriate for flexible products. Alternatives are metal meshes or wires, combined with an organic conductive matrix, deposited using 14

The work on OPV was carried out partially within this multidisciplinary project and partially within the INTERREG project Rh(e)in-Solar, which focuses on the development of organic photovoltaics and in the establishment of favorable conditions for innovation and technological transfers in the Upper Rhine region. In a broader framework, the OPV activities at CSEM are supported by the project DURSOL, which gathers the main Swiss research organizations and companies in the field of thin film photovoltaics and is funded by CCEM and Swisselectric Research and by the project SUNFLOWER, a European collaborative research project of 17 partner institutions from science and industry, with the goal of developing highly efficient, long-lasting, cheap and environmentally friendly printed organic photovoltaics.
[1]

L. Bun, Dtection et Localisation de dfauts pour un systme PV, thse doctoral, Universit de Grenoble, 2011

SILFLEX Hybrid Integration of Microfabricated Components


F. Barrot, D. Bayat, F. Cardot, P.-A. Clerc, R. Fournier, L. Guillot, S. Jeanneret, J. Kruis, S. Lani, M. Sarajlic
CSEM believes that the hybridization of silicon parts with other materials is one of the promising trends for the design of high performance microsystems. In 2012, CSEM has investigated new techniques for both the hybrid integration of silicon at wafer level and at component level.

The investigation of hybrid integration of silicon at wafer level has been carried out for the realization of new micro mechanical silicon based components for the watch industry with improved functionality. The development consisted of the improvement of existing wafer level assembly (WLA) technologies and their application to watch components. WLA has the advantage to enable batch assembly (cost decreasing), high positioning accuracy (<5 m) and parallelism between components. Because each component is fabricated separately before assembly, the quality and performance of each part remain the same as single components. Currently, in the watch industry the main components made of silicon are the escapement, the hairspring (spiral), the plate (plateau), the balance (balancier), the escapement wheel (roue dchappement) and the anchor (ancre). CSEM has demonstrated the feasibility of fabricating in batch assembly a spiral-plate component (Figure 1 left) and a spiral-plate-balance component (Figure 1 right). The corresponding patented fabrication technology is compatible with the Silinvar process that enables high thermal stability of silicon components. A second type of assembly has been developed, based on metal to silicon assembly. With this second patented technology it is possible to fabricate hybrid components, for example made of a gold part and a silicon part like the wheelpinion presented in the Figure 2. Hybrid components of this type present the advantages of both silicon and metal for the watch industry: silicon for its mechanical properties and metal for the final axis assembly into the watch by pressfitting (chassage).

cube delta robot [ 1 ], a micro-robot, designed, manufactured and assembled at CSEM. Based on the industrially famous Delta architecture, the skeleton of this micro-robot is made of a fully three dimensional silicon flexure-based articulated slab. In the past years [ 2 ] CSEM has progressively increased its knowledge in the design, manufacturing and assembly of macroscopic scale silicon flexure based components. However, silicon being a brittle material, there is still a strong need to improve further the assembly strategies of microsystems such as the sugar cube delta robot where delicate flexure based silicon components are hybridized with classical mechanical parts and external sensors or actuators. In this context, in 2012, CSEM has redesigned the sugar cube delta robot to test a puzzle-like assembly strategy. To allow a 3D-puzzle like assembly of the sugar cube, the external shape of the silicon slab that constitutes its skeleton has been modified. In addition mechanical features have been added in the silicon to facilitate the pre-positioning and pre-alignment of each of the threes slabs with respect to each other. With this new design, three sugar cube robots have been assembled based on three different methods of attachments/fixation of the parts together: bolting, gluing and soldering. An assembly jig, common to all three attachment methods and based on a pneumatic architecture, has been designed to facilitate the assembly of the silicon parts. In the end, all three attachments methods proved to be successful and the assembly task has been greatly simplified thanks to the combination of a 3D puzzle-like assembly strategy and pre-alignment features included in the silicon parts.

Figure 1: (left) Silicon spiral-plate components; (right) Silicon platespiral-balance components at wafer level

Figure 3: The sugar cube delta robot assembled with glue using a puzzle like strategy. The connections to the pneumatic assembly jig

This work was funded by the Swiss Confederation and Canton de Neuchtel. CSEM thanks them for their support.
[1]

Figure 2: Silicon wheel with a metal pinion with a mounted axis by pressfitting

[2]

The investigation of hybrid integration of silicon at component level has been carried out based on a re-design of the sugar

S. Henein, F. Barrot, S. Jeanneret, R. Fournier, L. Giriens, M. Gumy, S. Droz, M. Toimil, ''Silicon Flexures for the SugarCube Delta Robot'', Proceedings of the 11th Euspen International Conference, 2, (2011), 6-9 F. Barrot, S. Henein, S. Jeanneret, R. Fournier, L. Giriens, S. Droz, M. Toimil, M. Gumy, C. Carrel, Mechanical Part Mechanical Components made of Silicon for Macroscopic 3D Sensors and Actuators, CSEM Scientific Report (2011), 11

15

SMAC The Integrated Swiss Miniature Atomic Clock


J. Haesler, T. Overstolz, J. Pierer, R. Jose James, J. Benns, J.-A. Porchet, P. Pilloud, D. Ruffieux, S. Lecomte
The detailed design of the Swiss Miniature Atomic Clock (SMAC) was presented by CSEM in 2011. This paper describes the progress made during 2012 towards the realization of the integrated SMAC by presenting a first prototype showing preliminary promising performances.

The field of atomic clocks is currently undergoing a scientific and technical revolution. Technology based on MEMS components manufacturing shows the promise of having miniature (< 1 cm3) and low-power (< 100 mW) atomic clocks (MACs). They are typically based on the coherent population trapping (CPT) scheme where the microwave interrogation is so that directly coupled to the laser such that the dimensional constrains linked to the microwave cavity are avoided. MACs have the potential to be integrated in future portable devices for communication, navigation, signal processing and many other mobile applications which require ultra-stable timekeeping.

The core physics package is mounted on a commercial ceramic package for vacuum encapsulation (to be realized). Surrounding the resulting assembly with an external 42 mm magnetic shielding, the overall volume of the physics package reaches 22 cm3 (Figure 3).

Figure 3: (left) Close-up of the SMAC physics package (without encapsulation cap and shielding cap); (right) Wire-bonded ASIC

The SMAC prototype is controlled by means of a Labview interface communicating with the MSP430 microcontroller present on the PCB. The latter drives the 3rd generation of a dedicated proprietary ASIC (Figure 3), with built-in RF lock loop and laser lock loop, and including the laser bias current source, three temperature sensors, and four heating current includes sources. The SMAC prototype is currently in its integration and test phase. The main lock loops could already be closed and preliminary frequency stability measurements (Figure 4) could successfully be realized using an external miniature glass atomic vapour cell (100 mm3). The preliminary performances show frequency stability very close to the telecom specifications. Improvements and tests are ongoing and the complete prototype is to be fully characterized in 2013.

Figure 1: The first Swiss Miniature Atomic Clock prototype

Departing from the detailed design presented in 2011, the SMAC was stepwise manufactured and assembled in 2012. The current and first prototype [1] is illustrated in Figure 1. It integrates most of the desired functionalities, except the vacuum encapsulation of the physics package and its integrated temperature regulation. The prototype is powered by a separate PCB equipped with a battery pack and different monitoring and debugging inputs/outputs. The main PCB has a dimension of 50 x 100 mm2 with 1/3rd of its surface populated by jumpers and connectors. The core physics package is realized by a stacking of standard but functionalized PCB layers. It has dimensions down to 11 x 11 x 8.5 mm3 (1 cm3), including the functionalized atomic vapour cell with dimensions downsized to 4 x 4 x 1.6 mm3 (26 mm3). Figure 2 illustrates the core physics packages (middle), as well as the laser (VCSEL) PCB layer (left) and the optical PCB layer with two photodetectors (right).

Figure 4: Preliminary performances obtained with SMAC (see text)

This research activity is performed in the frame of a multidivisional research program and CSEM would like to thank the Swiss Confederation, the Canton of Neuchtel and the Cantons of Central Switzerland for their financial support.
[1]

Figure 2: SMAC physics package realized by a stacking of functionalized PCB layers (laser, optics and atomic vapour cell layers)

J. Haesler, et al., Swiss Miniature Atomic Clock: first prototype and preliminary results, European Time and Frequency Forum (EFTF), 2012

16

TUNALED MEMS Technology for Widely Tunable Light Sources and Wavemeters
M. Tormen, M. Ltzelschwab, B. Timotijevic, R. Ischer, T. Overstolz, S. Grossmann, J. Pierer, C. Bosshard, R. P. Stanley
Optical distributed networks based on Fiber Bragg Gratings are relevant in a number of domains, such as aerospace, transport, energy and construction. Accurate wavelength determination is the key aspect in these sensor networks. CSEM has demonstrated a Fiber Bragg Grating analyser based on MEMS tunable grating technology, whose main advantages are compactness and high measurement accuracy. The same MEMS technology is used to implement widely tunable optical sources.

Fiber Bragg Grating (FBG) distributed networks can detect, e.g., the stress and deformation inside a building, a bridge or an airplane wing. FBG sensors present advantages against other sensor technologies due to their immunity against electromagnetic fields, high voltage, ionising radiation. Moreover they are light-weight, compact and flexible. The FBG distributed network provides the information through an accurate measurement of the FBG central wavelength. FBG analysers are typically made of a broadband source, a monochromator and a detector. Current systems are often either bulky or expensive or both. CSEM has demonstrated a FBG analyser based on the proprietary MEMS grating technology. The proposed approach overcomes the mentioned limitations while preserving high measurement accuracy. A compact double stage monochromator (Figure 1) is the core of the developed FBG analyser. The optical signal, originating from a fiber coupled broadband light source, is collimated by a lens and directed towards a first MEMS grating stage: only selected wavelengths can proceed to the second MEMS grating stage, the other wavelengths being dispersed off-axis. After the second filtering stage, only one specific wavelength is directed to the output focusing lens and coupled to the output fiber. By actuating the MEMS chips, the selected wavelength is conveniently scanned to measure the FBG central wavelength.

Figure 2: Assembly of a double stage monochromator system, formed by a fiber coupled optical module and an electronic controller

Figure 2 shows the complete double stage monochromator system. The optical module, 80 mm x 160 mm, is connected to the input and output fibers for connection to the broadband source on one side, to the FBG network and detector on the other side. The electronic controller, 80x80 mm, is designed to simultaneously address four monochromators. The double stage monochromator can be used for different applications, e.g. as tuning element for very large band sources. In this case, the system mounts two MEMS chips with different grating periods. With a convenient choice of these periods, only one optical peak is present and tunable over a wide wavelength range (e.g. from 400 nm to 800 nm, or from 800 nm to 1600 nm). The complete system, broadband source combined with the double stage monochromator, provides a general purpose and highly versatile tunable source.
[1]

[2]

Figure 1: Schematics of the double stage monochromator based on the MEMS grating technology. (Inset) SEM image of the MEMS grating chip. In the center the grating region, in the bottom and upper regions the actuation structures

M. Tormen, Y. A. Peter, P. Niedermann, A. Hoogerwerf, R. Stanley, Deformable MEMS grating for wide tunability and high operating speed, SPIE, v 6114, (2006) p. 61140C S. Sundaram, M. Tormen, B. Timotijevic, R. Lockhart, T. Overstolz, Vibration and shock reliability of MEMS: modeling and experimental validation, Journal of Micromechanics and Microengineering

The MEMS chip dimensions are 5 mm by 5 mm (inset in Figure 1). It comprises a tunable blazed grating, 1 mm by 1 mm, manufactured from a 500 m thick Silicon-on-Insulator wafer, electrostatic actuators and position encoders [1]. It can be operated up to 1 kHz. The technology has passed severe shock (3200g) and vibration tests (60g in the frequency range of 0.1 10 kHz) [2]. The chip hosts embedded encoders that control the filtered wavelength with a relative accuracy of better than 1 part over 10.000. 17

PULSEMON Advances in Wrist Sensors Measuring Heart Rate and Heart-rate Variability
P. Theurillat, P. Persecini, F. Giroud, R. Jose James, R. Gentsch, P.-F. Redi, C. Bosshard, O. Chtelat
Heart rate (HR) and heart rate variability (HRV) are key human vital sign parameters in medical and sports applications. Whereas in Intensive Care Units (ICU) the monitoring of HR and HRV help to detect pathologies, the supervision of these vital signs aim at optimizing athlete performance in the sports domain. The objective of the multidisciplinary research project PulseMon is to implement and validate CSEM proprietary photoplethysmograph at the wrist by improving aspects such as miniaturization, integration, comfort and signal quality.

Today heart-rate (HR) and heart-rate variability (HRV) are measured with the help of an electrocardiogram (ECG). ECG devices such as portable HOLTER systems are cumbersome and require the fixation of multiple gel electrodes on the chest of the patient. In the sports domain ECG is measured with an integrated chest belt which sends the data wirelessly to a watch device. For different use scenarios such as sleep disorder analysis or the athlete recovery analysis in the sports domain, a comfortable, non-obtrusive and miniaturized solution is requested. The use of the photoplethysmography technology offers such a lightweight and simple solution to measure HR and HRV especially when integrated into a wrist device. However, to allow photoplethysmography to become competitive regarding available commercial devices, the measurement quality must be improved considerably. It becomes even more difficult to reach the required signal quality when the photoplethysmograph is integrated into a wrist device, where the measurement is realized by reflective means. In addition, power consumption is a key aspect for a portable device aiming at long-term monitoring of weeks or even months. To overcome the limitations of photoplethysmography at the wrist, several approaches have been identified and explored further to improve CSEM proprietary technology and increase signal quality and precision, namely: the opto-mechanical aspects and how the optical sensors are designed and fixed on the wrist; the electronic aspects which is linked to the power consumption and size of the wrist device.

sensor weight has been reduced considerably as well. A wrist device with a stretchable instrumented strap will now be built to demonstrate the improvements made. The realization of an Application Specific Integrated Circuit (ASIC) helps further to reduce size and the power consumption of the targeted wrist device. This is particularly the case for the front-end interfaces, which convert the optical signal(s) measured by one or several photodiode(s) into digital signals, which are fed as input to the pulse rate detection algorithms, which have been further optimized. Moreover, the integration of the electronics components reduces the power consumption at least by a factor three compared to the already-power-optimized conventional electronics. Figure 2 shows a simplified bloc diagram of the implemented ASIC.

Figure 2: ASIC block diagram

The success for an optimal signal quality requires a good tight fixation of the device on the wrist, ensuring that the optical sensors are continuously in contact with the skin. However, the criteria of a good tight fixation are difficult to measure and therefore not very well known up to this date. CSEM has developed a solution with stretchable electronics technology in order to ensure a permanent contact of the wrist device with the skin with a well-controlled force.

The developed ASIC includes a three-channel photoplethysmography front-end as well as a LED-current controller, which is appropriate for pulse rate measurement. By setting a simple parameter at runtime, one pulse oximeter measurement channel is used instead of multichannel heart rate. Both LED pulse controller and measurement sequencer are integrated in the ASIC thanks to CSEM experience in mixed signal integration. This key feature relaxes the microcontroller from power consuming sequencing tasks. Last but not least, a high resolution (16 bits) ADC converter has been integrated into the ASIC, saving another external expensive and power consuming component. This ASIC is now in production and will be available in 2013. This multidisciplinary research activity opens new innovative perspectives to improve further CSEMs proprietary photoplethysmography technology at the wrist. By optimizing both, opto-mechanical interface and data acquisition building block the system dimension, the power consumption and last but not least the device price will be reduced further. This activity has been funded by the SFOTE and CSEM thanks the Swiss Government for its support.

Figure 1: Stretchable electronics strap example

The stretchable electronics technology places the electronic components on a stretchable support in such a way that the soldering points will not break when the substrate is stretched. Several different methods were implemented and validated and very encouraging results have been achieved so far. Thanks to the technology of stretchable electronics, the 18

BIOCOP Integrated Optical Low-cost Platform for Diagnostic Applications


N. Adsul, N. Glaser, B. Satilmis, C. Zschokke, C. Schneider, A. Luu-Dinh, I. Zhurminsky, W. Hughes, G. Basset, D. Leuenberger, S. Heub, H. Zepik, L. Barbe
The proposed immunoassay platform effectively combines the mechanical, the optical and the fluid handling capabilities into a single compact device. The sensor platform is based on a multimode waveguide made with embossed micro-optical elements on one side and embossed microfluidic channels on the other side. The described idea is fully compatible with low-cost fabrication techniques such as embossing and lamination. Light is coupled into a planar waveguide and is propagated by total internal reflection. Evanescent field excitation is used to detect captured antibodies/antigens.

The trend towards personalized medicine requires cheaper and easy to handle diagnostics. As an example, a blood sample for an immunoassay should be handled quickly by the local clinic instead of in a specialized lab. In this report a concept for a cost-effective point-of-care immunoassay platform with disposable chips is presented.

secondary antibodies attached with fluorescent markers (Surelight P1 EX=530 nm EM=665nm). This new optical platform designed to perform immunoassay is sketched in Figure 2. Light is coupled into the plastic chip and acts as a waveguide, it is designed such that light reflects at the bottom of the microfluidic channel. The evanescent field of the reflected light decays exponentially away from the waveguide interface. Thereby it is possible to excite luminescent particles in the immediate vicinity of the wave guiding region where the immobilized antibodies/antigens are captured.

10 mm
Figure 1: Chip with the microfluidics and the diffraction gratings

The platforms or plastic chips are equipped with a passive microfluidic system on one side, and diffraction gratings for light management on the other side (Figure 1). A short grating period adjusted for an efficient in- and out-coupling of the excitatory light is used. The out-coupling grating, behind the microfluidic channel, is optimized for the transmission of the fluorescent light. At the same time the grating also acts as an optical filter by blocking the excitation light. The gratings and the microfluidic channels are simultaneously made by a standard hot-embossing technique (Figure 2a). Therefore this fabrication process is easily scalable for a low cost chip fabrication. After embossing, the diffraction gratings are coated with a thin film high refractive index material, (ex: ZnS).

Figure 3: Sensor platform using a green laser beam which is coupled into the chip and guided towards the microfluidic channel where it excites bound fluorescent proteins

As expected from the simulations, high diffraction efficiencies (for the T+1 mode) are observed at very specific in-coupling angles .The in-coupling green laser beam reflects in the waveguide nicely visible in Figure 3. A photodiode is placed behind the reference grating on the backside of the chip monitoring the intensity of the excitation light. Some first experiments with this new platform revealed a detection limit of 12 nM. The efforts target now is to improve the quality of the surface functionalization on plastic.. This will improve the loading capacity of the hydrogel and the surface passivation. Together with improved light shielding and filtering of the fluorescent signal the detection limit will be reduced by an expected factor of 10-100. With this work commercially relevant performance of the sensing platform for point-of care diagnostics applications will be reached.
[1]

Figure 2: a) Chip fabrication by a two-sided hot-embossing. b) Light coupling into the substrate and excitation of fluorescence-labeled proteins

L.-H. Liu, M. Yan, Perfluorophenyl azides; New applications in material synthesis and surface functionalization, Acc. Chem. Res, 43 (2010) 1434

The microfluidic sensing region is coated with perfluorophenyl azides (PFPA) linked dextran hydrogel [1]. Primary antibodies are attached to the hydrogel ready to capture the analyte; the 19

DISPATCH Inexpensive Optical Gas Sensors with Compact Readers for Applications in Bioprocess Monitoring, Food Packaging and Health Care
B. Wenger, B. Schyrr, G. Voirin, E. Scolan, O. Perez, R. Pugin, S. Arnold, S. Cattaneo, D. Ferrario, J.-A. Porchet, O. Chtelat
Colorimetric sensing solutions were developed for the detection of oxygen and carbon dioxide. Using a multidisciplinary approach, inexpensive patches were fabricated and dedicated compact readers devices were developed in parallel. The solutions are cost effective and highly customizable. They will find applications in various fields to monitor gas concentration for example in fermentation processes, modified atmosphere packaging or human breath.

Colorimetric gas sensors are interesting alternatives to electrochemical sensors as they do not suffer from drift and can be calibrated in the factory. Following a multi-disciplinary approach CSEM demonstrated effective sensing solutions for O2, CO2, pH and lactate by combining expertise in materials chemistry, optical and electronic integration and signal processing.

Measurement of CO2 with colorimetric sensors provides an alternative solution to conventional sensors for applications where the measurement should not interfere with the gas mixture to be monitored. For example in cell cultures, a sensor patch can be sterilized and inserted in the bioreactor. Monitoring is then achieved through the container with an external optical reader. Such sensors are also of interest in the packaging industry, in particular for food and beverages. Colorimetric patches based on nanoporous silica films embedding sensitive dye indicators selective for CO2 were developed and tested successfully. The sensors react reversibly to CO2 with a precision of 0.2 % (CO2 concentration in air). The shelf life is above one year. In parallel, a reading device was developed. The reader is a simplified spectrometer using up to eight light sources with different emission wavelengths covering the full spectrum of the dye indicators. Control of the compact device is achieved through a dedicated electronic board.

Figure 1: Functionalized colorimetric patches (left) and electron micrograph of a nanoporous silica layer (right)

The colorimetric gas sensors are based on the functionalization of thin nanoporous metal oxide membranes with selective dye indicators. Due to their very high surface area such films show high optical density (i.e. coloration) even for a thickness of only 1-20 m. The porous films are deposited on metallic, glass or polymer substrates using large area industrial processes leading to low manufacturing and materials costs (< 0.10 /patch). Within the last decade, optical probes for oxygen started to replace the standard electrochemical probes. They are accurate and do not suffer from drift and calibration issues. The working principle of these sensors is based on the selective quenching of the luminescence of dyes in the presence of oxygen. Using sol-gel technology CSEM developed a simple coating process to deposit oxygensensitive films on plastic foils. Accurate reading is achieved with a dedicated prototype instrument measuring the lifetime of the luminescent indicator. The precision of the sensors is below 0.1 % oxygen concentration in the gas phase and the response time, due to the high porosity of the layers, is shorter than 1 second.

Figure 3: Large area colorimetric films sensitive to CO2 (left) with a multi-wavelength reflective reader

The functionalization of nanoporous thin layers with sensitive colorimetric indicators is a generic approach that can be used for a wide variety of targets. Such layers can be used to functionalize optical fibers for the measurement of pH in wearable sensors [ 1 ]. A further development consists of the entrapment of selective biomolecules such as antibodies and enzymes for the detection of specific biological targets. The immobilization of enzymes for the detection of lactate in cell culture media, has been successfully achieved. In summary, colorimetric sensing patches and portable reader devices have been developed for the detection of O2, CO2, pH and lactate. The patches show short response time, are highly sensitive, inexpensive and can be deposited on various large area substrates. The solutions are highly customizable and can be applied to various applications fields. This work was funded by the Swiss Confederation. CSEM thanks them for their support.
[1]

Figure 2: CSEM oxygen-sensitive luminescent films and timeresolved spectrometer can be used for cell culture monitoring

G. Voirin, et al., Wound Healing Monitoring System Integrating Plastic Optical Fibre Sensors, this report page 80

20

COOLSLEEP Contactless Heart-rate and Breathing-rate Monitoring with a Near Infrared Camera
E. Muntan-Calvo, M. Proena, S. Bechetoille, P.-A. Beuchat, P. Theurillat, P. Volet
The goal of this internal research project is the development of an imaging platform along with advanced imaging signal processing algorithms to extract human vital sign parameters such as heart rate (HR) and breathing rate (BR) from a near-IR illuminated person at rest.

An embedded platform has been developed around an imaging sensor to fulfil rapid prototyping needs in new visionrelated biomedical fields. The platform can be operated remotely and accepts media and standard human interface devices in order to visually control the parameters of the sensor. The vision sensor can be easily replaced to evaluate different sensor performances and the selected one offers VGA resolution at up to 60 fps at 10-bit depth. The chosen recording scenario was the following: a subject lying in the dark was illuminated by an array of near-IR 850 nm LEDs. The camera recorded at 20 fps 10-bit depth images while it was pointed towards the subjects face.

Figures 2 and 3 show the results for the HR filtered estimation and BR estimation algorithms, respectively.

(i)

(ii)

(iii)

(iv)

Figure 2: Heart Rate results for the two aforementioned methods

Figure 1: Developed Linux-based imaging platform

The estimation of HR is based on the physiological principle that at each heartbeat blood perfusion in the face induces colour intensity changes at certain wavelengths invisible to the naked eye but large enough to be registered by a camera. The development of the HR digital signal processing (DSP) algorithm was approached from two different perspectives with the objective to detect which technique offers better performance: a continuous approach (method #1) and a block-based approach (method #2). In the following the chosen processing methods are described in detail: HR Method #1: In this method a single reference pixel is given as an input to the algorithm to obtain a more robust Region of Interest (ROI). The pixels in that ROI are chosen to have similar information content and the detected ROI does not need to be square. After that, the ROI pixels are processed with a physiological filter and the largest frequency component is tracked by means of an ARMA filter. HR Method #2: This method segments the ROI into a mosaic of sub-regions. Correlation between adjacent pixels is computed from their magnitude spectrum in the cardiac frequency band, thus highlighting zones of coherent behaviour. The intensity of the peaks is used for weighting. The HR is estimated by combining both indicators. BR Method: The Shi-Tomasi [ 1 ] corner detector is used to extract interest points, which are then tracked between adjacent frames using a pyramidal Lucas-Kanade [ 2 ] optical flow. The global depicted vertical motion is then processed as a time series. Extracting its peak-to-peak intervals leads to the estimation of the instantaneous breathing rate.

The HR trial started just after a brief cardiovascular excitation of the subject, hence the high values at t=0. The trial phases were: (i) rest (060s), (ii) apnoea (65100s), (iii) handgrip manoeuvre (100135s), and (iv) rest (135165s). Regarding the filtered output of Method #1, in (i) greater blood perfusion allowed for better tracking of instantaneous HR. In (ii) tracking error was large, and in (iii) and (iv) tracking was more accurate although not as good as in (i). Note that the filtered output of Method #2 was able to correctly track the mean of the HR for most of the duration of the experiment.

Figure 3: Instantaneous breathing rate results

The breathing rate estimation shows very reliable tracking, with a mean error of 0.02 resp/min and a standard deviation of the error of 0.78 resp/min. The absolute error is smaller than 1 resp/min 94% of the time, which effectively proves the reliability of motion-based breathing rate measurement in sleep monitoring scenarios. Next steps involve using a 14-bit-depth sensor to record larger dynamic range signals for better HR estimation and to include tracking algorithms to cope with subject movements. This research activity is funded by the SFOTE and CSEM thanks the Swiss Government for its support.
[1]

[2]

J. Shi, J. Malik, Normalized cuts and image segmentation, IEEE Conf. on Computer Vision and Pattern Recog., June (1994) B.D. Lucas, T. Kanade, An iterative image registration technique with an application to stereo vision, Proc. of the 1981 DARPA Imaging Understanding Workshop, (1981)

21

MICROSYSTEMS TECHNOLOGY
Alex Dommann Globally, the objective of this program is the expansion of the microfabrication technology base, for MEMS device integration, available to CSEM's customers and partners. Also to offer Swiss and international industries a full productdevelopment platform; a platform stretching from the feasibility demonstration of new MEMS device concepts to an industrialized, qualified manufacturing process and including the production of mature devices in small volumes. The integration of microsystems will be a key element for many future high-technology application areas. Therefore, CSEM provides a complete technology chain for small-scale production by closing gaps, and coordinating future investments including a certified supervision of the quality process. MEMS can be made highly reliable. It must, however, be noted that the failure modes of MEMS can be different from those of solid-state electronics. Therefore, testing techniques must be developed to accelerate MEMS-specific failures. Our MEMS activities aim to promote innovative technologies and product concepts in the application domains of the watch industry, space and aeronautics, health care, biotechnology, and communication. The program structure comprises two main activities: (1) Design & Process, and (2) Integration & Packaging. Our activities in microsystems technology cover a wide range of microfabrication technologies, characterization methods, and applications in the MEMS field. To make this possible, CSEM maintains a fully equipped clean room suitable not only for the fabrication of silicon-based devices, but also for the micromachining of non-standard materials. As a complement to this structure, an important achievement for the year 2010 was the ISO 9001 certification of the Microsystems Technology division. In 2012 we passed our second audit successfully. The development and application of wafer bonding and waferlevel packaging technologies for the build-up of 3D MEMS devices and lower-cost solutions are major trends in MEMS packaging and subsystem integration. Requirements vary for different applications, and include hermeticity, high vacuumcapability, high operating temperature, and chemical inertness. Electrical feed-throughs are another essential part of most 3D MEMS solutions. Through-glass and throughsilicon vias are integrated into devices and their packaging. In contrast to most academic investigations, the MEMS program focuses on the issue of cost-effective manufacturing and simulation of functional MEMS. It therefore contributes directly to the building of an industrial technology base, and generates high added value within Switzerland. This buildup will be facilitated by the long-standing Swiss tradition of high quality, high-precision manufacturing. Within the program, better industrial solutions for domains including energy conservation, personalized medicine, smart packaging, product safety, and product identification are being developed to help Swiss industry keep its competitive edge. The activities of the MEMS program aim to build competencies in the application fields of watch making technologies, scientific instrumentation and lab automation, optoelectronics, and medical device technology, and require contributions from diverse technical disciplines. The challenges of MEMS technology are increasingly reliability and cost reduction for demanding applications. Cross-disciplinary work between microelectronics and systems integration, and reliability and quality control are key elements of CSEMs concept in MEMS, and a differentiator from our partners in academia. We have begun a strategic collaboration, in the field of quality control and reliability, with the Paul Scherrer Institute (PSI) and EMPA. Applications for microsystems in aerospace, the automotive industry, the watch industry, optoelectronics, lab automation, and sensing create a strong demand for quality control and failure analysis. CSEM is also increasing collaboration with EPFLs Center of Micronanotechnology (CMi) and partners in the Heterogeneous Technology Alliance (HTA) CEA-Lti, the Fraunhofer Microelectronic Alliance, and VTT. Regarding AFM-based tools for cell biology, two new applications are being developed: i) the use of hollow AFM cantilevers to manipulate, label, and inject biomolecules into living cells; ii) tools for biological barriers in vitro models of biological barriers consist of layers of cells that, for example, model the behavior of the skin, intestines, or lungs. Two components essential to these models are micro-porous membranes on which the cells are grown, and electrical systems to measure the permeability of the cell layers. CSEM produces microfabricated, micro-porous membranes that have significant advantages over currently available membranes. XRD-based reliability studies on MEMS packages related to the development of the packaging platform this comprises the structural study of materials being used as solder materials influencing the hermeticity of the seal as well as the strain- and defect determination in the silicon parts of the sealed MEMS. The reliability of such MEMS depends on the aging behavior of the components used. This aging behavior can be studied using accelerated aging methods. Micro-mechanically moving parts made out of single crystal silicon produced for the watch industry or other areas of interest (space applications) have their aging properties investigated by advanced HRXRD techniques. Defects introduced by different fabrication and post-processing procedures, and the mobility of defects being responsible for device failure, are both investigated. Mechanical, in situ tests using a diffractometer system monitor defect mobility, show where failure occurs, and therefore evaluate the aging behavior of MEMS. In order to address the extended range of packaging requirements for the optoelectronics, microelectronics, biomedical, and MEMS markets, and to combine these different approaches, the Design and Process activity targets the build-up of substrate design and manufacturing 23

competencies for the mounting of passive and active components, such as the development of flip-chip based, lowcost, passive alignment techniques of fiber-pigtailed and lenscoupled optical devices. A family of novel developments of microsystems for X-ray imaging, including miniaturized X-ray sources, innovative Xray detectors, and silicon-based components for X-ray phase contrast imaging will form a new class of MEMS applications, illustrating the continued vitality and innovative potential of the field. The demonstration of vacuum-tight encapsulation concepts for MEMS and biomedical devices was achieved in 2012. Also successful was the development of interfacing technologies for the heterogeneous integration of micro-optical, microfluidic, microelectronic, and micromechanical components on the same board/substrate, enabling the extension of the functionalities of SoC or SiP. Design and simulation capabilities for a complete understanding of package wear-out and for a better anticipation of potential package failures were extended.

Integration & Packaging is based on the following competencies: providing a custom packaging facility for Swiss industry, including for SMEs assembly of (micro-)optical systems; hybrid integration processes; hermetic sealing; biomedical packaging; low-cost fabrication of microfluidic systems, with integrated actuators or sensors, for treating or analyzing samples in the life sciences; prototyping, product development, and small series production.

Figure 2: Packaged MEMS grids for optical filters

Figure 1: Packaged MEMS cell of an atomic clock

Design & Process is based on the following competencies: providing a custom production-facility of active MEMS dies, sensors, and actuators for Swiss industry including SMEs; maintaining a flexible set of processing capabilities for developments in MOEMS, bioMEMS, nanotools, motion sensors, resonators, miniature atomic clocks, MEMS for space, and CMOS post-processing; ensuring full traceability of wafer processing for production and development, based on the ISO 9001 management system; providing analysis services and reliability tools for internal projects and as a service to industrial customers; defining aging studies for MEMS being used in application domains where an extremely high risk awareness or long lifetime is required, such as in space or for medical applications; development of new in situ testing tools including structural analysis and fatigue behavior determination for actuated Si parts and MEMS, and defect and strain determination in actuated MEMS; continuous improvement based on the ISO 9001 management system.

24

Radiation-tolerant Structural Materials for Microsystems in Space


T. Bandi, A. Neels, A. Dommann, H. Shea
Space missions require high-reliability systems which are able to perform under extreme environmental conditions. Radiation-induced failure modes are often related to the degradation of the electrical and mechanical properties of materials. In a collaborative work between CSEM and EPFL the radiation tolerance of MEMS materials was investigated, with the aim of assessing their applicability in devices for space applications.

Radiation-induced failure is a challenge for reliability which is of specific importance in avionics, space applications and nuclear reactors. Designing for radiation tolerance can reduce or eliminate the susceptibility of devices to charge trapping and significantly improve their reliability [1]. Other degradation mechanisms, however, can only be avoided by appropriate material selection. The electromechanical properties of materials and their influence on the device operation are related to the fabrication processes, the microstructure, the dimensions and the functional purpose. The performance of a material in microsystems may differ significantly from its properties in the bulk form or in integrated circuits, and consequently, the reliability of a material needs to be evaluated considering the system specificities. The mechanical properties of materials are of particular interest in microsystems exploiting resonances for pressure-, acceleration- or rate-of-rotation- sensing, actuation of devices such as micromirrors or resonators for signal processing or conditioning. The vibrational modes are directly related to the Young modulus, which therefore must remain constant over time, and hence over radiation dose. The susceptibility to radiation damage was investigated in two types of microresonators made of single-crystal silicon Figure 1 and polymer (SU-8) respectively. Whereas silicon is almost omnipresent in microsystems, SU-8 is a good candidate for polymeric MEMS structures due to its excellent mechanical and chemical stability, its biocompatibility and the possibility to fabricate high aspect-ratio and 3D structures. For the purpose of isolating radiation-induced effects on the material itself devices which consist of only a single material were made. The resonators were actuated by electrostatic forces and a piezoelectric element for silicon and SU-8 respectively. Laser-Doppler vibrometry under vacuum conditions and stable temperature was used for resonance characterization. The effect of radiation on the crystalline structure of silicon was investigated by high-resolution x-ray diffraction methods which allow analyse crystal strain, deformation and defects. For the irradiation tests, protons with energies in the range of 10 MeV to 200 MeV were selected due to their importance in space environments and their ability to penetrate shielded devices. In addition to this, protons are well suited for material testing as they simultaneously cause displacement damage and ionizing damage. The crystalline properties and the Young's modulus of single crystal silicon were found to be stable at proton fluences of up to 1013 cm-2. For 10 MeV protons thiscorresponds to 5.9 Mrad total ionizing dose, a dose which is much higher than typical space qualification levels of 20-100 krad. At proton fluences of up to 3 x 1012 cm-2 the Young modulus of SU-8 was found to vary less than 5.5%. A dependency of the effect of radiation on the elasticity with the proton energy was

observed. At proton energies of over 30 MeV the Young modulus of the SU-8 was reduced, while for lower-energy ions radiation-induced stiffening was observed. This effect was attributed to the dominance of either cross-linking or chain scissioning, related to the density of energy deposited in the ion tracks. Chain-scissioning dominated above the threshold energy of 20-25 MeV whereas at lower energies the stopping power was sufficiently high to establish active species concentrations which were high enough to allow further crosslinking. The detailed processes by which proton radiation interacts with the SU-8 must be investigated in more detail. However, the polymer resonators remained intact and fully functional after irradiation, showing that SU-8 is highly tolerant to proton radiation. The measurement of the dynamical properties of microresonators is a powerful method for the investigation of material properties in structures relevant to microtechnology. Work [2] shows that single crystal silicon and SU-8 are tolerant to high doses of proton radiation and are well suited for MEMS in space applications. The selected geometry, fabrication process and operation mode add to the relevance and allow a direct transfer of these results to other types of MEMS devices.

Figure 1: Single crystal resonators for radiation tolerance investigations EPFL, Microsystems for Space Technologies Laboratory (LMTS) H. R. Shea, Radiation sensitivity of microelectromechanical system devices, J.Miro/Nanolith MEMS MOEMS (8) 031303 T. Bandi, J. Polido-Gomes., A. Neels, A. Dommann, H. R. Shea, Making MEMS more suited for Space: Assessing the protonradiation tolerance of structural materials for microsystems in orbit, Proc. SPIE, (2013) 8614-21

[1]

[2]

25

Novel X-ray Detectors


B. Batlogg , S. Beer, A. Dommann, C. V. Falub , C. Henzelin, G. Isella , H. von Knel , R. Kaufmann, C. Kottler, T. Kreiliger , T.-C. Le, K. Mattenberger , L. Miglio , E. Mller , A. Neels, P. Niedermann, A. Pezous, P.-F. Redi, V. Revol, P. Wgli , Y. Zha
New cost-effective X-ray detectors which are capable of detecting single X-ray photons and measure their energies will play a dominant role in medical imaging, security and non-destructive testing in the near future. Such detectors e.g. help to separate normal from malignant tissue without having to increase the radiation dose. Such detectors are based on a direct conversion of X-rays in epitaxially grown Ge layers combined with fast, low noise pulse detecting electronics.

This research, conducted in collaboration with ETH Zurich, Politecnico di Milano and Universit di Milano Bicocca within the project NEXRAY, aims at building cost-effective, direct Xray detectors that show a higher sensitivity and spatial resolution than todays scintillator based sensors. Furthermore, an energy measurement for every single X-ray photon enables spectral X-ray imaging an imaging modality with high potential for cancer diagnostics [1]. This technology is based on the epitaxial deposition of a Germanium (Ge) layer onto the backside of a silicon CMOS wafer. The wafer must first be thinned, and pillars are structured on the silicon (Si) wafer backside. Dense arrays of individual, quasi perfect epitaxial pillars with almost any desired height, separated by tiny (~ tens of nanometers) air gaps are then grown on top of the Si pillars (Fig. 1). These are needed because a homogeneous layer of Ge would generate stress and cracks in the Ge due to the mismatch of the respective thermal expansion coefficients. This unrivalled technology was published [2] and generated ample press coverage and international reactions. An electrode is deposited on top of the Ge pillars to apply a voltage of several hundred volts across the whole stack. This voltage ensures that the electron-hole pairs created in the Ge layer are directed to the silicon front side.

Figure 2: CMOS wafer with test chips containing charge collection diodes and Ge pillars on the backside. Inset: Successful X-ray detection with one of the chips from this wafer

The charge collecting and pulse-counting circuit was designed on another test-chip and produced by LFoundry GmbH, Germany. Figure 3 depicts such a test chip, bonded into a carrier. Each pixel contains two charge amplification stages, a discriminator stage and two counters for a ping-pong read-out architecture. A special leakage current suppression mechanism was introduced to absorb the thermally generated free charge carriers in the Ge layer.

Figure 3: Test-chip with containing pulse-counting pixel circuits with leakage current suppression

This work was funded by Nano-Tera.ch. CSEM thanks them for their support.
Figure 1: SEM images of the Ge pillars grown on the backside of a CMOS wafer. One of the insets shows a probe-needle to test individual pillars
[1]

In this way, 50 m thick layers were grown and currently there is no argument known against the possibility to grow even thicker layers. A full CMOS wafer with charge collection diodes and Ge pillars on the backside is shown in Figure 2. On one of these test devices the X-ray detection capability was proven at about 10C using only Peltier cooling (inset of Fig. 2). Much work was put into process optimisation to obtain minimal leakage currents and maximal pillar uniformity and long-term stability.

[2]

ETH Zurich, Laboratory for Solid State Physics, www.ethz.ch L-NESS, Politecnico di Milano et Universit di Milano Bicocca J. Schlomka, et al., Experimental feasibility of multi-energy photon counting K-edge imaging in pre-clinical computed tomography, Physics in medicine and biology, 53(15), (2008) 403147 C. V. Falub, et al., Scaling Hetero-Epitaxy from Layers to ThreeDimensional Crystals, Science, 335(6074), 13301334 (2012)

26

Quality Control for Gold Nanoparticles by TEM & SAXS


O. Sereda, A. Konopitzky, A. Neels, M. Dadras, C. Gautier , L. Si-Ahmed , A. Dommann
Gold nanoparticles are promising components for nanosystems dedicated to applications in fields such as sensing, imaging and diagnostics. One of the most fundamental tasks in the characterization of the nanoparticles is the accurate determination of particle sizes. So far, various methods have been developed to elucidate the mean particle diameter. However, good agreement between the results from different methods is not always achieved. The present work describes the investigation of the colloidally prepared nanoparticles by transmission electron microscopy (TEM) and small-angle X-ray scattering (SAXS).

All physical and chemical properties are size dependent, and the properties of materials on the nano-size scale have important consequences in wide ranging fields. Nanoparticles of free metals have been extensively researched because of their unique physical properties, chemical reactivity and potential applications in catalysis [1], drug delivery, antibacterial and even in antiviral activities. Due to their attractive electronic, optical, thermal properties: gold nanoparticles have emerged with great interest, as well as catalytic properties, in the fields of physics, chemistry, biology, medicine, material science and interdisciplinary fields. One of the most fundamental tasks in this field is the accurate determination of particle sizes obtained by the combination of the different techniques. So far, various methods have been developed to elucidate the mean particle diameter. However, good agreement between the results from different methods was not always encountered. Therefore, efforts are focused on the investigation of the colloidally prepared nanoparticles by transmission electron microscopy (TEM), small-angle X-ray scattering (SAXS, Fig. 1), and powder X-ray diffraction (XRD).

The example of the volume-weighted particle size distribution Dv(R) curve of the sample of 20 nm shows a well-defined single-mode distribution (Figure 2, right side). These results are in good agreement with TEM observations (Figure 2 left side).

Figure 2: The volume-weighted particle size distribution Dv(R) curve of the spherical gold nanoparticles of 20nm in diameter size (right).TEM observations (left)

Moreover, in the present studies the gold nanorods of different aspect ratios (2 and 4) and gold nanorods encapsulated with silica have been investigated. The background corrected data of the gold nanorods with a shell of mesoporous SiO2 are shown on Figure 3. The model of cylindrical particles with the core-shell structure describes very well the experimental data. The following information is obtained: the core radius of the nanorod (R), the shell thickness (ST) and the height of the nanorod (H). The background-corrected data (Fig. 3) show some distinct and modulated pattern. This indicates a rather well-defined particle size and shape.

Figure 1: PANalytical XPert PRO MPD diffractometer equipped with Small Angle X-ray Scattering configuration (SAXS)

SAXS provides valuable data on average particle and pore size, size distribution and specific surface area of nanoparticles. It is ideally suited for applications in the size range from 1 up to 100 nm. Due to the smaller wavelength of X-rays, SAXS is clearly more suitable for analysis of particles in the nanometer size range than light scattering methods. Measurements with SAXS require no system calibration or temperature control, nor does the analysis depend on knowing physical properties, such as the refractive index. The resulting size distribution data are truly representative of a sample. The X-ray scattering intensity I(q) is experimentally determined as a function of the scattering vector q whose modulus is given by q = (4/)sin(/2), where is the X-ray wavelength and is the scattering angle. From the scattering curve of an ensemble of spherical particles, the size distribution Dv(R) has been obtained for all spherical particles of different sizes (taking in account that there are no inter-particle interactions).

Figure 3: The background corrected SAXS data described by a model of the cylinder with core-shell structure

In conclusion, this work describes an effective and easy way to determine the size of nanoparticles with different morphology. The results obtained by SAXS and TEM have been compared and show a good concordance. Therefore, the quality control of the nanoparticles can be performed by the combination of these two techniques.
[1]

METALOR Technologies SA, www.metalor.com M. M. Stevens, N. T. Flynn, C. Wang, D. A. Tirrell, R. Langer, Coiled-Coil- Based Assembly of Gold Nanoparticles", Adv. Mater.2004, 16, 915918

27

High Temperature XRD Studies of the Oxidation Process of Al-Cr Intermetallic Coatings for the Design of Novel Protective Thermal Barriers for Automotive Applications
X. Maeder, A. Neels, J. Ramm , M. Dbeli , D. Passerone *, B. Widrig , A. Dommann
The demand for reducing the CO2 emission and an increasing efficiency for automotive engines calls for higher devices operating temperatures. This challenges the standard materials with respect to chemical wear, oxidation and friction. The development of new coating materials which enables the optimization of complex tribological systems at higher temperatures is therefore necessary. Al-Cr-O based coatings obtained by reactive arc operation can offer the required characteristic for such applications. In-situ high temperature X-ray diffraction methods have been used to monitor the oxidation process and the thermal stability of such coatings.

One of the major efficiency losses of a passenger car in traffic is due to friction in the engine and in the power train. For instance, the influence of coated tappets on the valve train power consumption has been measured to be about 25%. This shows that an optimization of the tribological systems in the engine contributes greatly to the fuel economy. Better fuel economy needs also higher operation temperature. Therefore an important aspect in the engine development is the search for new coating materials which can act as efficient thermal barriers and can offer the required protection against wear, corrosion and friction. Solid solutions of (Al1-xCrx)2O3 attract interest by their potential to form a corundum-type structure for a wide range of compositions between pure chromia and pure alumina, which show, especially for high aluminium concentrations, properties similar to -alumina, known for its outstanding high temperature hardness and chemical inertness. According to the Al2O3/Cr2O3 phase diagram, ternary solutions of (Al1-xCrx)2O3 exist only for temperatures above 1200C. It has been however recently shown that such solid solutions can be synthetized by cathodic arc operation well below 550C which corresponds to much lower deposition temperatures than using traditional CVD techniques. Consequently, extrinsic stresses due to thermal mismatch between the oxide layer and the substrate are reduced [1], [2]. In order to tune the cathodic arc operation conditions to obtain optimal coating and to understand as well as predict the formation of Al-Cr intermetallic and Al-Cr-O ternary oxide, detail phase investigations on the target surface and the respective deposited layers has been done by CSEM with Xray diffraction techniques (XRD, Figure 1).

acting as a thermal barrier. At 1300C, the intermetallic compounds completely disappear in favour of -(Al1-xCrx)2O3.

Figure 2: High temperature XRD measurements on an Al/Cr intermetallic layer deposited on sapphire substrate by cathodic arc operation. The progressive formation of (Al1-xCrx)2O3 with a corundum structure can be monitored OC Oerlikon Balzers AG ETH Zrich Labor f. Ionenstrahlphysik EMPA Dbendorf nanotech @ surfaces J. Ramm, M. Ante, T. Bachmann, H. Braendle, B. Widrig, M. Dbeli, Pulse enhanced electron emission (P3eTM) arc evaporation and synthesis of wear resistant Al-Cr-O coatings in corundum structure, Surf. and Coat. Technol. 2007, 202, 876 J. Ramm, M. Ante, H. Brndle, A. Neels, A. Dommann, M. Dbeli, Thermal Stability of Thin Film Corundum-Type Solid Solutions of (Al1-xCrx)2O3 Synthesized Under Low-Temperature NonEquilibrium Conditions, Adv. Eng. Mater. 2007, 9, 604

*
[1]

[2]

Figure 1: the XRD chamber heated up to 1500C (platinum strip temperature, 1300C at the layer)

In-situ high temperature XRD measurements up to about 1300C in air were performed on Al/Cr intermetallic layers deposited on sapphire substrates. The progressive phase transformations and oxide formations have been monitored in detail (Figure 2). The results show that solid solutions of (Al1-xCrx)2O3 with a corundum structure are formed and grow starting from 850C. The phase is well stable until 1300C, 28

Fusion Bonded Micro-fluidic Devices for High Pressure Cooling of Particle Detectors
A. Pezous, S. Lani, R. Fournier, A. Mapelli , G. Romagnoli , P. Petagna , M.-A. Dubois
The objective of this collaborative project with CERN is the production of a demonstrator device on a 6 silicon wafer. This demonstrator combines a thin silicon micro-channel cooling plate; a detector mock-up equipped with integrated RTD sensors; through-Silicon Vias (TSV) electrically connecting both sides of the device an adequate fluidic device [1].

Local thermal management through silicon microfluidic devices is a good candidate for solving high energy physics related issues. It is therefore gaining considerable attention as an innovative technique for cooling particle detectors and their read-out electronics for future tracking devices, where mass and temperature constraints are particularly stringent. At this stage of development an ultra-thin silicon micro-channel cooling plate is placed in direct contact with the silicon surface of the sensor or of the electronic chips. This also suppresses all the problems related to the coefficient of therman expansion (CTE) mismatch usually encountered with standard cooling systems of particle physics equipment. With relatively high pressures to be applied in the channels, the quality of the bonding is of primary importance for the reliability of the cooling device. In some applications, the operating pressure can reach 100 bars in the channels. Moreover given safety factors of the order of 2, the channels must withstand pressure of the order of 200 bars. At CSEM both silicon fusion bonding (SFB) and room temperature silicon fusion bonding (RTSFB) techniques will be evaluated. Different parameters of surface activation and annealing techniques will be tested. For the purpose of the bonding tests CSEM will take care of the silicon structuring, based on process flows previously agreed upon with CERN. A DRIE etching has been performed on silicon wafers (380 m thick) in order to define the channels (50-200 m wide and 200 m deep), the inlet restriction and outlet pillars as shown in Figure 1. Then silicon fusion bonding is performed with a silicon wafer (thickness 380 m) after surface activation, and high temperature (1050C) annealing is finally performed. A final etching is performed to open inlet and outlet for fluidic connections. Outlet Channels Inlet
Figure 1: Example of a device used for micro-cooling. Size of the device: 45x19 mm

annealing as shown in Figure 2. No major defects are observed.

Figure 2: IR image of a Si-Si bonded wafer

The test devices are used to evaluate the strength of the bond. Extensive tests have been performed on 50 m wide, 200 m deep channels, bonded on a silicon wafer thinned down to 25 m. The structures withstand at least a pressure of 250 bars, which is the maximum value the characterization system can measure. Pressure tests have also been performed on the device showed in Figure 1. The weakest area was identified as being the outlet area, due to larger membrane zones. Nevertheless the pressure reached is still 125 bars. Figure 3 shows the outlet area after breakage. The failure doesnt occur at the level of the bonding itself but at the level of the membrane. On top of the pillars the bonded cover material is still present. Cover Channels

Pillars

Figure 3: Outlet area of a micro-cooling device

The different tests performed show that silicon fusion bonding is a suitable technology for cooling devices applied to High Energy Physics detectors and electronics.
[1]

Test structures have been designed and implemented. The aim of these structures is to determine the resistance under pressure of the silicon membrane covering the channels. Different widths of channels and different thicknesses of silicon have been tested. The depth of those channels is 200 m. The quality of SFB is of crucial importance for the reliability of the fluidic devices. IR images are performed after bonding and

CERN, Physics department, Detector Technologies Group A. Mapelli, G. Nuessle, P. Petagna, A. Pezous, P. Renaud Application of Micro-channel Cooling to the Local Thermal Management of Detectors Electronics for Particle Physics, Nanotech vol2 (2012), 235-238

29

Transient Liquid Phase Bonding for Hermetic Sealing Applications


S. Giudice, G. Spinola Durante, R. Jose James, C. Bosshard
Hermetic sealing has attracted a lot of interest in recent years due to the increase in microsystems requiring vacuum or controlled atmosphere. The tendency to integrate getter materials within new devices in order to improve their lifetime or performances, as for -resonators, strongly increases the constraints for the sealing technology given the high temperature steps implied during getter activation. Results on a new bonding process, AuSn Transient Liquid Phase bonding, currently evaluated for getter activation of vacuum-sealed microsystems are presented.

Nowadays, when packaging microsystems under vacuum, more and more often a getter material is deposited into the cavities to absorb the gases coming from the sealing process or outgassing from the walls of the cavity during the lifetime of the device. Commercial getters are generally based on zirconium alloys and require an activation process (15-30 min at 350C-450C) to remove the passivation layer protecting the getter material. AuSn(80/20), which is widely used for hermetic sealing applications due to its good mechanical properties and excellent long term reliability, melts at 278C and has therefore difficulties to withstand this activation process. Combining the good properties of eutectic Au-Sn solder with the possibility to increase the re-melting temperature of the bond by gold diffusion into the AuSn sealing ring (principle of Transient Liquid Phase bonding [ 1 ]) to enable the getter activation is consequently of great interest for the packaging of microsystems under vacuum. As a first step to demonstrate the process, Si-caps with 20 m electroplated Au were bonded to ceramic packages with AuSn eutectic preforms. A cross-section of one of the joints showed a nearly completely transformed interface (Figure 2). EDS analysis indicates that the bond is formed of Au/Au-rich intermetallics, with higher melting temperatures than the eutectic AuSn solder used for bonding. The joint will subsequently remain solid at a higher temperature than the one used for bonding.

Figure 2: Cross-section of the bond nearly entirely transformed to Au/Au rich compounds after bonding

These results show that it is possible to seal packages hermetically with the Au-Sn TLP bonding process and that this process is well suited for getter activation. In a further step to adapt the technology to chip scale wafer level hermetic sealing (CSWLP) and possibly wafer level packaging, the solder formed of Au/Sn/Au layers was electroplated on 4 wafers. The vacuum bonding experiments are to be repeated.

Figure 3: Electropated Au/Sn/Au layers

Figure 1: Test vehicles for Au-Sn TLP bonding process

This work was funded by Nano-Tera.ch. CSEM thanks them for their support.
[1]

In a second step, packages were submitted to annealing treatments corresponding to the temperature and duration of a possible getter activation and hermeticity of the packages after treatment was verified with a helium leak tester. The packages were found hermetic after annealing of 15 min at 350C and no major change of the interface was detected with further cross-sections.

Transient Liquid Phase Bonding relies on intermetallic compound (IMC) formation. When the high-temperature melting metal and the low-temperature melting metal are brought in contact at a process temperature above the lower melting point, a thin liquid phase is formed, wets the counterpart and interdiffusion occurs. The melt solidifies due to the formation of IMC with the hightemperature melting metal

30

Integration of Microfluidics and Optics into a Lab on Chip System


S. Graf, T. Volden, J. Pierer, T. Stadelmann, C. Bosshard, H. F. Knapp
In the frame of the European FP7 project POSITIVE, a Lab-on-a-Chip platform for label-free quantitative allergen testing has been developed. The system integrates fluidic handling, optical interrogation and a porous alumina based biosensor cartridge. Optical and fluidic concepts have been established and verified on lab bench level and are further miniaturized into a portable system including signal processing and data evaluation. Model tests based on the immobilization of allergens and molecular recognition by specific antibodies have been established proving the pretreated porous alumina membranes bio assay suitability.

Food allergies can provoke severe clinical reactions such as anaphylaxis, with respiratory and/or cardiovascular problems that might result in death. They are common in 1-2% of adults and up to 8% of children, corresponding to a serious public health problem that affects over 15 million people in Europe from infants to the elderly and its prevalence is increasing. Within the European FP7 project POSITIVE a state-of-the-art diagnostics Lab-on-a-Chip platform via an integrated microfluidic sample preparation technique capable of serum preparation from whole blood of volumes < 100 l has been developed. A final prototype consisting of a packaged biochip and reader will be used on clinical samples in order to determine sensitization to allergens in eggs, milk, peanuts, wheat, tree nuts, fish, sesame, and shrimps foundin. At the heart of the Lab-on-a-Chip platform is a porous alumina membrane with pores of 200 nm diameter and 70 m thickness. Due to the directionality of the pores, the membranes show a well-defined birefringence that changes as analytes bind to the pore walls. Using optical interrogation methods, e.g. polarimetry [1], it is possible to exploit this effect for label-free quantitative evaluation of allergen specific immunoglobulins E (IgE) present in the sample. From a diagnostic point of view, porous alumina is very interesting as it offers a huge internal surface area and therefore many binding sites on a very small volume and is commercially available with well-defined properties.
Figure 2: Laboratory bench setup of optical system. With this setup the basic functionality of the photonic sensing scheme was successfully demonstrated including the individual addressing of 4 measurement points in parallel

Figure 3: Measured phase without membrane and with membrane in water respectively ethanol. Marked with Blank are the phase values as measured without sample. Where marked with either Water or Ethanol the porous alumina membrane (200 nm pores) was added to the respective side of the fluid chamber

Based on these results, miniaturization concepts for the complete photonic sensing system were developed, consisting of all optical (source, lenses, detectors, etc.), fluidic (channels, pumps, valves, etc.) and electronic (FPGA, display, etc.) components required for the final instrument. This work is supported by the European Commission through the project FP7-257401 POSITIVE whose members contributed to the work presented and by MCCS Micro Center Central Switzerland. CSEM thanks them for their support.
Figure 1: Packaged biochip (disposable cartridge) prototype under test, including flow-cell for fluidic and optical tests. The alumina membrane can be seen on the left side, attached to a glass substrate using a black epoxy ring
[1]

The fluidic system enables multiplexing of the different solutions required in the bioassay and their transport through the porous alumina sensing membrane which is integrated in a cartridge (Figure 1). The optical interrogation detects specific binding between allergens and antibodies from the patient and performs real-time evaluation of the reaction kinetics to assess patient sensibility to different allergens. The target limit of detection (< 0.1 pg/mm2) and selectivity require a highly sensitive measurement instrument. For optical tests a laboratory setup was realized on a prototyping breadboard (Figure 2).

J. lvarez, P. Bettoti, I. Surez, N. Kumar, D. Hill, V. Chirvony, L. Pavesi,, J. Martnez-Pastor, Birefringent Porous Silicon Membranes for Optical Sensing, Optics Express, Vol. 19, Iss. 27, (2011) 2631826324

31

Electronics Integration for Smart Textiles


R. Jose James, P. Glocker, M. Ltzelschwab, S. Widmer, G. Spinola Durante, C. Bosshard
Smart textiles are of major interest due to increase in applications for functionalized textiles and the miniaturization of the active devices which can now be embedded in textiles. The missing link for mass production is reliable and fast techniques to integrate the active chips in textiles. This work concentrates on developing such integration technologies based on flip chip bonding technologies used in electronic industry to assist in mass production of reliable smart textiles.

Electronic textiles or commonly called, smart textiles are textile fabrics with electronics and interconnections woven or integrated in their structure that enable the integration of electronic functions in textiles. These textiles on the contrary of conventional textiles have metal wires or metallized wires already woven into them which assist in the wiring of the electronics. Smart textiles can be used in many applications including decorations, sensing, heating, process monitoring etc. [1] The main application targeted in this work is decoration application. There are two different integration techniques for it. One technique, called premounting, is to already integrate the active die on a wire which will then be woven into the textile. The second technique, called post-mounting which will be discussed in more detail here, concentrates on the integration of sensors on metal wires already woven into the textile. The developed technologies can be adapted easily to other applications as well. The challenges in the integration are numerous and include a fast process enabling cost effective mass production, washability (up to 60C), availability a fast process for local insulation removal, dry cleaning compatibility and, in the case of premounting the tough processes of the textile manufacturing. Different integration options were tested and reliability tests were performed to evaluate the best processes. Post-mounting processes were tested using custom made textile samples with copper wires and packaged LED chips as seen in Figure 1. LEDs were packaged to provide easier insertion into the textile and to protect the device. The LED chips were assembled on the wire using a flip chip process.

Figure 2: ACA based bond of an LED on textile (left); cross section of an ACA based bond (right)

These samples were then subjected to dry cleaning tests. The ACA samples showed no signs of degradation in resistance (<0.1) after 10 cycles of dry cleaning. The NCP samples showed already open circuit after 3 cycles of dry cleaning. ACA based samples were then subjected to hydrolysis tests at 95% RH and 70C, which showed a slight increase in resistance to maximum of 0.5 after 20 hours. This is assumed to be due to the relaxation of ACA. Longer hydrolysis did not show a further increase in resistance. Improvements in ACA process are possible with longer curing and will have limited applications due to longer bonding time. Trials with a low temperature solder showed very good results as well as the bonding time needed which was decreased from 30 s needed for ACA to 10 s with the solder. Hydrolysis tests for 120 hours showed no degradation of the contact of the solder based samples. Additionally, a machine for flip chip bonding on textiles is being developed at CSEM which enables mass production of smart textiles as can be seen in Figure 3.

Figure 3: Design of machine for mass production of smart textiles Figure 1: Textile samples (left); packaged LED (right)

The process was developed using different non-conductive pastes (NCP) and anisotropic conductive adhesives (ACA) as these are the best candidates for a fast process time (minimum 8 sec) at low temperatures. The test results showed that both the processes have 100% yield (10 samples each) with a very low contact resistance of <0.1. An ACA based bonding of LED on textile is shown in Figure 2.

Currently, work is being done on achieving the insulation removal and bonding in 10s with promising initial results available. This work is supported by the European Commission through the FP-7 project Pasta and by MCCS. CSEM thanks them for their support.
[1]

Pasta Project Applications www.pasta-project.eu

32

Hermetic Sealing of Electronic Packages Using Laser Welding and Laser Soldering
E. Innerhofer, R. Jose James, T. Stadelmann, M. Ltzelschwab, K. Krasnopolski, C. Bosshard
Hermetic sealing processes of Kovar packages using laser welding, laser soldering and the combination of resistive welding and laser soldering that are compatible for series production are being developed.

Electronic components or sensors are often sealed hermetically into special packages. Today, several low temperature technologies for hermetic sealing are available such as resistive welding, laser welding and laser soldering. One of the limits of resistance welding is that it cannot be efficiently used to seal the electronic packages under vacuum. Laser welding, laser soldering and the combination of resistive welding with laser soldering offer viable alternatives in order to hermetically seal the electronic package with a specific under pressure. An additional advantage of these techniques is the dimensionally very confined temperature rise within the electronic package. CSEM uses a laser system dedicated to micro welding to increase available technologies in hermetic sealing under vacuum using both laser welding and laser soldering. The laser welding system (Figure 1) was built by the company BSOptics and delivers up to 250 W of average power in continuous wave operations with a laser beam speed between 1 mm/s- 5 m/s at a wavelength of 1070 nm. In quasicontinuous operations with pulse duration of 0.2 -10 ms, the peak power is up to 1.5 kW. Welding geometries easily are drawn in the software of the laser welder and feature recognition is implemented to speed up the production of several similar welding samples.

Figure 2: Vacuum chamber for hermetic vacuum sealing

After an initial process optimization, the Ni-Au coated Kovar packages were sealed hermetically at an under pressure of 300 mbar using resistive welding and subsequent laser soldering in the vacuum chamber (Figure 3).

Figure 3: Sealed electronic Ni-Au Kovar package

A laser soldered test lid and the corresponding cross-sections and leak rate measurements are shown in Figure 4. A leak rate of 2.3E-10 atm*cc/s was measured for sealed test lids.

Figure 4: Laser soldered lid and corresponding cross-section (right)

Figure 1: Laser welding system

Hermetic sealing in air using laser welding was successfully demonstrated on Ni-Au coated Kovar packages and lids. The electronic packages were Helium-leak tested using the spraying method and a leak rate < 2E-10 atm*cc/s was measured. The temperature profile measured at the bottom and in mid-air of the Kovar package during the process did not exceed 70 C, an important feature to protect potential delicate components in the package. For an application with a targeted internal pressure of a few 100 mbar, the lids of the packages are first sealed on top of the housings using resistive welding and then the opening in the lid is closed hermetically in a vacuum chamber using laser soldering with AuSn solder balls. The dedicated vacuum chamber designed by CSEM enables sealing of 8 packages in a batch process and is visible in Figure 2.

The laser soldering shows very good wetting and no voids. Additional optimization steps are in progress in order to improve the yield and have it ready for series production. In the near future, the laser welding technologies will be further developed in order to laser weld transparent / opaque plastic samples. This work was supported by MCCS Micro Center Central Switzerland and by CTI. CSEM thanks them for their support.

33

Ultrashort Pulse Laser Micromachining


J. Auerswald, S. Berchtold, J. Goldowsky, M. Hakanson, R. Jose James, H. F. Knapp
Ultrashortpulse lasers offer incontestable advantages for precision micromachining. The key is cold ablation. This microfabrication technique is suitable for virtually all classes of materials. CSEM is one of the early adapters in Europe. Swiss and European industries may benefit from this knowhow and expertise.

In the past 10 years, lasers have made strong inroads into manufacturing industries. Cutting, drilling, welding and marking lasers have become competitive for all these processes. However, microtechnology has not yet benefited equally from this trend. Industrial CO2 lasers or conventional solid state laser systems, even with UV wavelength, encounter severe problems when it comes to microfabrication of transparent polymers or high-quality ablation of all materials. The material melts or shows other heat-induced effects resulting in coarse surface roughness, deformation zones or cracks.

evaporates in a pressure wave. This effect is called cold ablation and results in high-resolution and high-quality micromachining (see Figures 1 and 2). Other material classes such as metals, glasses, ceramics (Figure 3), silicon (Figure 4) or compounds can also be laser micromachined with high quality.

Figure 3: Hole of 300 m in diameter in the center of a ceramic part with a ring of reflow solder

Beyond microfabrication, ultrashortpulse lasers have great potential in automotive (compound cutting), aircraft, telecom (display cutting), medical or watch industries. Swiss and European laser manufacturers and specialty machine building companies may benefit from this future trend.
Figure 1: Advantages of cold ablation a transparent polymer (PMMA) was micromachined with a pulsed UV nanosecond solid state laser (left, ablated area 1x1 mm, hole diameter 0.8 mm) and with a UV picosecond laser. The ultrashortpulse laser microfabrication renders the material free of melting zones, heat-induced deformations and chemical degradations, and with very good edge quality and low surface roughness of the ablated area

Figure 4: Silicon wafer micromachining with ultrashortpulse Trumpf TruMicro UV laser at CSEM Alpnach [2]; the machine provided by BCT offers very precise optical alignment

CSEM offers its partners and customers access to this unique technology by providing feasibility studies, prototyping, small series fabrication and consulting for further industrialization. This work was supported by MCCS.
Figure 2: Microfluidic channels ablated with UV picosecond laser into a transparent polymer (COC)
[1] [2]

Ultrashortpulse lasers are forseen to become one of the new universal tools in microfabrication [1]. The power output of an electric power supply is focused on a work piece with a spot size of a few micrometers in diameter within a few pico- or even femtoseconds. The material has no time to melt and 34

J. Auerswald, MicroNews, November 2012, pp. 5-7 Figure copyright: M. Frutig, Technica 11/2012, pp 47-49

Optical Absorbance Flow Cell with Minimal Fluid Volume


T. Volden, N. Schmid, H. F. Knapp
In gas chromatography the optical absorbance flow cell is a critical component, where the flow path of the gas analytes meets the optical path of the detection unit. After the gas analytes have been optimally separated in the chromatography column, the separated compounds disperse and remix inside the internal and dead volumes of the flow cell and associated connectors, limiting the separation and resolution of the analysis. CSEM has developed a flow cell where the internal volumes of absorbance chamber and connectors are minimized. Comparisons with current commercial flow cells demonstrate a reduced dispersion of the measurement peaks and an improved resolution of adjacent peaks.

CSEM absorbance flow cell was originally developed for applications where multiple detecting units are connected in the same flow path and signal degradation accumulates along the chain.

a spectrometer and a thermoluminescent detection (TLD) sensor. The TLD sensor, situated after the separation column and flow cell, offered a direct measurement of the cell-induced separation degradation. The CSEM cell clearly outperformed the commercial cell in this respect, resulting in signal peaks of higher (up to 2 times) amplitude and resolution. The transmission spectrum of methane was recorded by the spectrometer connected to the flow cells. The absorption peaks were better resolved and had higher amplitude when using the CSEM cell (Figure 3), even if the commercial cell had the advantage of using collimation lenses. The developped cell uses standard SMC optical.

Figure 1: Dual flow cell allowing two spectrometers to be simultaneously deployed

The flow cell (see Figure 1) is based around a glass capillary with inner diameter of 500 m. The diameter is chosen to minimize the internal volume of the cell while allowing sufficient light to pass. The capillary is seated inside a slot of the stiff support block which assures that the capillary is maintained straight to avoid optical losses. The coupling of the gas inlet and outlet to the capillary is of particular importance and where a substantial saving in internal and dead volumes has been achieved.

Figure 3: Spectrometer transmission output comparison between industry-standard flow cell and CSEM flow cell. It is expected that fitting collimation lenses to the CSEM flow cell will increase the signal-to-noise ratio to the same degree

Figure 2: TLD signal at the output of the flow cell, comparing an industry-standard flow cell with the CSEM flow cell. Due to its larger inner volume, the industry-standard flow cell largely confounds two different, initially separated compounds into one peak, where only a dent on the left shoulder reveals that there is more than one compound. The CSEM flow cell maintains the clear separation of the two compounds. Additionally the amplitude of the peaks is higher, resulting in improved signal to noise ratio

Figure 4: Flow cell under test, connected to spectrometer (via the black SMC connectors) and chromatography column (via the glass capillaries)

For evaluation of the flow cell (see Figure 2), measurements comparing it to a commercial available standard flow cell were performed. The setup included a gas chromatography column,

The authors are grateful to R. Saupe from Frauenhofer (D) and F. Ricoul from CEA LETI (F) for the chromatography and spectrometer measurements. This work was supported by the Heterogeneous Technological Alliance (HTA).

35

Air-liquid Mixer with Integrated Degassing


N. Schmid, S. Graf, J. Auerswald, S. Berchtold, H. F. Knapp
In order to detect trace amounts of gases or particles in air (e.g. explosives, illicit drugs), they have to be concentrated, so that the sensitivity of a corresponding sensing system is increased. This relatively compact air-liquid mixer to continuously pumps air into a given liquid volume and disposes it shortly after, in order to accumulate the trace components to be detected.

Air pump

Figure 3: Top-view of air-liquid mixer where liquid and air is being mixed (left) and bottom-view of air-liquid mixer where air is being disposed (right)

Liquid pump

A commercial membrane pump is used to pump air as well as to increase system pressure and the CSEM Turbisc pump is applied to pump liquid / solvent. In compliance with Henrys law, diffusion of gas (trace amounts) into solution can be further accelerated by increasing system pressure. By dispensing liquid droplets into a continuous air stream, a similar trace accumulation can be caused. However, in a small device liquid droplets have a very small time period before they hit a wall. Thus, trace accumulation is less effective in a small device with a liquid spraying system.

Figure 1: Air-liquid mixer demonstrator

Function: A continuous air stream is pumped into a solvent, which is pumped in a loop. This continuous adding of air increases the content of the traces to be detected in the solvent. In order to increase the time window for the bubbles to mix with the solvent, they are pumped through a long channel. By using a meander shaped channel, space utilization is maximized. Air stream Air pump

In a commercial product, the solvent would need to be exchanged frequently in order to detect particles further. This is for instance accomplished by having one valved liquid path connected to a solvent reservoir and one connected to a waste container (as shown in Figure 2). The liquid pump already in place is used to pump liquid from the solvent reservoir to the loop and to the waste reservoir. The device shown in Figure 1 is without sensor, solvent reservoir and waste container. A sensor would either be integrated into the liquid loop or the solvent could be diverted to a sensor. This work was supported by MCCS Micro Center Central Switzerland. CSEM thanks them for their support.

Liquid pump Liquid / solvent (from Reservoir) (to Waste) Degassing unit

Figure 2: Working principle of air-liquid mixer

36

SelfSys Microfluidic System for Assisted Self-assembly of Mesoscale Objects


J. Goldowsky, C. Yuan, H. F. Knapp, M. R. Gullo , L. Jacot-Descombes , J. Brugger , M. Mastrangeli , A. Martinoli , B. J. Nelson , D. Kumar *, N. Spencer *, L. Sciboz **
Developments of micro-electronic-mechanical systems (MEMS) to hybrid, multi-functional microsystems generate cost-efficient and fast assembly strategies. Conventional top-down robotic approaches reach their limits in terms of throughput when dealing with the component numbers generated by batch fabrication of the individual building blocks. An alternative approach is stochastic parallel assembly, also known as selfassembly, which relies on energy minimization of the assembly system. A stochastic process self-assembly needs assistance mechanisms to increase the yield of the assembly process. Besides preparation and assembly stages, a suited method for quality inspection of the assembled MEMS is needed.

Goal of the Nano-Tera SelfSys project is the directed selfassembly of hybrid microsystems in a bulk liquid. CSEM contribution to the project is the development of a complete microfluidic system, guiding the self-assembly of the building blocks from release to the assembled product envisioned. Therefore the system includes a preparation-, assembly- and sorting stage (Figure 1), as reported in CSEM scientific reports 2010 and 2011. Recently the self-assembly within the reaction chamber could be shown [ 1 ] with the help of SU-8 dummy components.

Applying AC voltages of and about 200 Vpp frequencies in the kHz regime, it was demonstrated that dummy particles in form of 100 micrometer and 200 micrometer sized polystyrene beads were influenced sufficiently for the separation of these entities. In Figure 3, the particle separation of two different sized beads is shown. The smaller particle (100 m,) is deflected by the Figure 2: Design of dielectroapplied electric field, whereas phoretic sorting device the 200 m sized particle is only slightly influenced by the field. The system therefore is suitable as a massively parallel sorting system for the post self-assembly inspection of hybrid MEMS devices and is closing the loop for the complete hybrid MEMS assembly system developed in the SeflSys project.

Figure 1: Fluid handling system for supporting directed self-assembly of M/NEMS

Possible self-assembly failures are remaining unassembled components, unwanted clusters of components or incorrect (e.g. shifted) assembly of MEMS, which all lead to differences in size and/or geometry of the inspected constructions. Dielectrophoretic sorting being a size and geometry dependent effect which can be applied for massively parallel deflection of MEMS building blocks, it is a suitable candidate for such an inspection system. The dielectrophoretic sorting of micrometer-sized entities, such as yeast cells or beads, has been demonstrated in literature [ 2 ] and its physical mechanism is well understood. However, little is known on the manipulation of sub-millimeter sized particles in bulk liquids, which is challenging due to the high channel depth that has to be filled by the applied inhomogeneous electric field. The design of such a microfluidic, dielectrophoretic sorting device for the size and geometry dependent manipulation of sub-millimeter sized MEMS components, realized at CSEM is shown in Figure 2. It is composed of the electrode, a PDMS gasket including the microfluidic channel, a top glass cover and two larger PMMA clamps.

Figure 3: Separation of 100 m and 200 m sized polystyrene beads in the constructed dielectrophoretic inspection device

This work is supported by the Swiss Nano-Tera Program. CSEM thanks them for their support.
Microsystems Laboratory LMIS1, EPFL Distributed Intelligent Systems and Algorithms Laboratory (DISAL), EPFL Institute of Robotics & Intelligent Systems IRIS, ETHZ * Laboratory for Surface Science & Technology LSST, ETHZ ** RFID Center, Icare Research Institute [1] J. Goldowsky, M. Mastrangeli, L. Jacot-Descombes, M. R. Gullo, G. Mermoud, J. Brugger, A. Martinoli, B. J. Nelson, H. F. Knapp, System for acousto-fluidic self-assembly of microcomponents in a bulk liquid, Submitted, 2013 [2] J. Auerswald, H. F. Knapp, Quantitative assessment of dielectrophoresis as a micro fluidic retention and separation technique for beads and human blood erythrocytes, Microelectronic Engineering, vol. 6768 (2003), pp. 879886

37

SURFACE ENGINEERING
Stphane Follonier Surface Engineering investigates functionalized surface systems structured on the micron to submicron scale to control surface properties such as wetting, optical reflectivity, or molecular adsorption. In contrast to most academic investigations, the Surface Engineering program focuses on the technologies that can ensure reproducible, reliable, and accurate manufacturing of structured surfaces and interfaces at a reasonable cost. The program comprises four activities Nano-Surfaces, BioSurfaces, Printable Electronics, and Inorganic Photovoltaics. Nano-Surface Engineering Modern applications require components with optimized surfaces. The optical, chemical, and biological properties of a surface are to a large extent determined by its nanometer scale characteristics. CSEMs established nanotechnology methods include numerous techniques for generating nanoscale structures with a high level of precision and reliability, and with a chemical composition of choice. CSEMs Nano-Surface Engineering activity contributes to novel solutions in many different areas, including anticounterfeiting, ultrafiltration and molecule separation, gas- and bio-sensing, optical components, cell culture instrumentation, biological substrates, and smart coatings. It is a key consideration in nano-surface engineering not only to provide lab-scale solutions and demonstrate their applicability, but also to invest in the development of upscaling technologies that make possible the economically viable production of nanoscale functional surfaces. The methods available at CSEM include replication techniques such as embossing and injection molding, and printing and coating techniques (dip, bar, inkjet, Molecular Vapor Deposition [MVD]), as well as classical clean room techniques such as etching and micromachining. This complete chain of modeling, prototyping, and upscaling allows CSEM to optimize any components surfaces for the widest range of applications. Bio-Surface Engineering Developing fully integrated, cost effective solutions for the detection of biomolecules is the objective of the Bio-Surface activity. The widespread availability of such solutions is seen as the critical step in moving bio-surface technologies toward mainstream products. The potential present in such integration can only be realized if the design is optimized at the product level and not at the single component level, and if the assembly of the single components is compatible with the large-scale manufacturing processes required to reduce the cost of disposables. CSEMs core competencies in microtechnology and electronics as well as mass manufacturing methods place the center in a unique position to provide unrivalled integrated solutions addressing the markets of life sciences and clinical diagnostics, as well as food and environmental monitoring. Printable Electronics CSEMs Printable Electronics activity investigates and maintains the platforms on printable semiconductor technology. Thin, light-weight, flexible, and environmentally friendly processes and products: these are some of the key advantages that printed electronics can offer. Printed electronics complements rather than replaces classical inorganic semi-conductor technology, and makes possible additive, bottom-up processes such as printing functional materials on large areas from solutions, avoiding financially and environmentally costly processes. The activity benefits from in-house capabilities including clean room processing, device design, and process development for proof of concept and prototypes, and provides a proving ground for new labs and production equipment. Application areas investigated include organic phototovoltaics (OPV), lighting based on organic light emitting diodes (OLED), and environmental- and bio-sensors. Furthermore, large-area optoelectronic systems based on the combination of new materials such as printable semiconductors, and cost-effective large-area production processes can open up new fields of application. This activity is embedded in large EU programs, and international efforts on technology road mapping and standardization for this emerging field. Inorganic photovoltaics Photovoltaics (PV), or the conversion of light into electricity, has been deployed everywhere, from space to roof-tops, in square-kilometer-large solar parks and square-centimetersmall wristwatches. The ubiquity and free nature of light is the enabler of the rapid expansion of all PV technologies. In the foreseeable future, PV will extend its presence in our everyday lives to power the growing number of smart wireless-sensors, fuel our cars, and help us live in energyefficient houses with clean, affordable electricity. CSEMs photovoltaic activity covers the whole production chain of solar cells based on thin film and crystalline silicon. At the heart of the most efficient PV devices, nanometer-thin layers control the electrical and optical properties of the solar cells. Our multi-layer, anti-reflective coatings, rough nanotextured layers, surface passivation films, and conformal coatings are instrumental in obtaining the highest solar-cell performances. Moreover, we develop specific coatings and transparent conductive layers for displays, efficient lighting, and new applications. Thanks to our competences in module design, CSEMs photovoltaic activity improves the integration of PV into buildings, with convincing aesthetics, and tests PV module reliability for decades of outdoor use. Our mastery and understanding of the interactions at the nano-scale between the surfaces of the different materials used in a solar panel is the key to obtaining long-lasting and high-performance solar modules. 39

Detection of Nanoparticles in Complex Matrices


S. Cattaneo, D. Mller, C. Hofer, S. Follonier
SMART-NANO is an EU-FP7 project aimed at developing an innovative, cost-effective technology platform that provides a total solution from sample-to-result for the detection, identification, and measurement of engineered nanoparticles in a wide range of matrices. The goal of the project is a miniaturized, modular, cartridge-based technology platform integrating all needed analytical steps.

Nanotechnology is having a large impact in many industrial sectors and the use of nanoparticles is continuously increasing in many fields, from paints, automotive components, consumer products (such as cosmetic sunscreens and antiodorant), to biology and medicine. It is estimated that 3-4 new products containing some form of nanomaterial currently enter the market every week and that by 2014, 1.6 trillion Euros of manufactured goods will be based on nanotechnology. The recent introduction of legislation on the labelling requirements of cosmetic products containing engineered nanoparticles (ENP) and the on-going activities in the legislative arena at EU level (see for example the Commission Recommendation on the definition of nanomaterial, L 2011/696/EU) on the labelling of ENP-containing consumer products clearly call for cost-effective, practically implementable, robust, highly sensitive, and specific methods for the detection and measurement of nanoparticles. All these features are required to achieve a widespread use and acceptance of newly developed analytical methods as standards in the ENP community. The biggest challenge that researchers face today is to develop a technology platform that can be used in a wide range of application scenarios, in fields ranging from food, to clothing and cosmetic products, with just minimal adjustments and optimizations for new applications. In this context, there currently appears to be a lack of technological progress and, more importantly, a lack of integration between the technologies used for sample preparation, ENPs isolation, as well as for their measurement and identification. These shortcomings render the routine use of current analytical methods difficult and time-consuming and introduce significant variability in the results obtained. The recently launched SMART-NANO project aims to develop an innovative, cost-effective technology platform that provides a total solution from-sample-to-result for the detection, identification, and measurement of ENPs in a wide range of matrices. The outcome of the project will be a miniaturized, modular, cartridge-based technology platform integrating all analytical steps needed for separation, detection, and quantification of ENPs in complex matrices. Parallel to the development of the technology platform, analytical methods and protocols will be developed and tested in the field, resulting in ready-to-use cartridges for immediate, widespread use in real-life applications. The general approach followed by the project and its WorkPackages are illustrated in Figure 1.

Figure 1: Work-Packages and general approach of SMART-NANO

The SMART-NANO consortium has selected four key technological advances that will give innovative, practically implementable, and cost-effective measurement approaches for the identification, detection and quantification of ENPs. These advances are: Increase the sensitivity, specificity and versatility of nanoparticle detection instruments using newly developed and optimized pre-separation and separation steps based on supercricital CO2 extraction and field-flow fractionation critical Increase the sensitivity and quantification of nanoparticle detection by next generation dynamic light scattering Provide robust, highly sensitive, miniaturized, and application-specific separation and detection cartridges Validate the SMART-NANO detection platform and demonstrate its application for cost-effective measurements of nanoparticles in complex matrices such as biological systems, consumer products and in the environment The SMART-NANO consortium is led by CSEM and includes the following partners: JRC Joint Research Centre European Commission (Italy), FeyeCon Development & Implementation BV (the Netherlands), Postnova Analytics GmbH (Germany), Avid Nano Ltd (United Kingdom), AHAVA Dead Sea Laboratories Ltd (Israel), Ruer Bokovi Institute (Croatia), and ABICH Srl (Italy). This work is funded by the European Commission 7th Framework Program (FP7/2007-2013) under grant agreement N 280779. CSEM thanks them for their support.

40

Novel in-/out-coupling Structures for Efficient Light Management


M. Stalder, G. Basset, B. Gallinet, M. Schnieper, W. Tschanun, R. Ferrini
Novel light-management solutions are developed and integrated in active devices. The potential of gratings, micro-lens arrays and anti-reflection structures has been demonstrated and coupling efficiencies in the order of 50%-80% have been obtained depending on the illumination conditions.

Light management systems are found everywhere in our daily life and in industrial environments. Basically, such systems are found in two application areas, light illumination systems and light harvesting systems (e.g. photovoltaics, sensors, security etc.). CSEM develops systems in both areas going from the design and the conception of the devices till their fabrication and industrial technology transfer. In the last decade, CSEM developed an entire toolbox of optical components that can be applied for light in- and out-coupling. Among them are: gratings to direct light in desired directions for a given wavelength range and, if necessary, for a defined polarization state of the incoming light (see Figure 1 and Figure 2) micro-lenses to focus light onto a desired micro-spot or to collect light and direct it in a new direction (see Figure 3) anti-reflection (AR) structures that minimize reflection losses at a material interface (see Figure 4)

Figure 4: Fabricated AR structures for minimizing light reflection at a material interface (here air / plastic) on a plastic sheet (left) and on a glass substrate (right)

All these structures are fabricated by standard replication and step-and-repeat processes, applying large area techniques, like hot embossing or UV casting, i.e. by heating and pressing a metallic master structure into a thermoplastic foil or by crosslinking a UV curable ink sandwiched between a foil and a structured master. These technologies can be easily up-scaled and the optical components can thus be manufactured in large volumes. In particular, CSEM provides the design of the optical components, the simulation and the optimization of their properties CSEM then manufactures a master accordingly and finally provides small volume replications. Moreover, CSEM has a track record of industrial partners that can support large volume production based on the tools (e.g. shims) developed at CSEM. An example of a replicated Nickel master and of an embossed plastic foil containing micro-lens arrays (see Figure 3 right) is shown in Figure 5.

Figure 1: In- and an out-coupling gratings for light management

Figure 2: A fabricated 2-dimensional grating

Figure 5: Micro-lenses replicated into a nickel master and then embossed into a plastic foil (see also Figure 3 right) Figure 3: Fabricated vertical cavity surface emitting lasers (VCSELs) equipped with micro Fresnel lenses (left) and a fabricated microlens array (right)

CSEM is now applying these light management systems to improve the light in-coupling in light harvesting devices and the light out-coupling from light-emitting devices. Efficiencies in the order of 50% up to 80% are obtained depending on the materials, the wavelength range and the polarization state of the incoming light beam.

41

New Protection and Barrier Coatings Deposited by Molecular Vapor Deposition


E. Scolan, G. Franc, R. Pugin, O. Frykov, H. Heinzelmann, T. Bandi, A. Neels
The MVD technology is a versatile surface engineering technique able to deposit conformal stable thin organic-inorganic layers on a large range of products, even with complex shapes and sensitive natures. This surface modification tool leads to large-scale device performance improvements, through the deposition of protection and barrier coatings.

Coatings are everywhere around us. Among others, watches, mobile-phones, cars, clothes, planes, household items, walls and windows have been partially or fully coated. Therefore, the global coatings market is huge and hit about $99 billion in 2011 with an annual growth of 10% [1]. First, coatings are used to provide an additional function to the support: decorative coating is the largest application area, but antireflective films, sensing layers or multi-layered solar cells are other key products. Second, coatings are applied to objects in order to protect them from their working environment and enhance their properties: anti-erosion coatings on airplanes, antifouling films on boats, nanoporous layers on inkjet paper for high quality imaging (www.ilford.com) are a few examples of the efficiency of such surface coverings. Molecular Vapor Deposition technology (MVD, www.appliedmst.com) enables the deposition of metal oxide and organo-metallic layers on all kinds of substrates, even with complex shapes. The high control of the deposition processes at the molecular level enables the formation of conformal and defect free layers. This technology is therefore appropriate for the deposition of dense protective coatings. The MVD system enables the deposition of organo-silane monolayers that lower the work of adhesion up to 3000 fold and greatly reduce in-use stiction and failure of MEMS devices (e.g. AFM cantilevers). In this purpose, fluorinated monolayers act as non-sticky release layers for masks and (nano)lithographic imprints (www.impress-fp7.eu/) [2]. Likewise, passivation of the inkjet nozzle face-plates with low surface energy MVD coatings prevents contamination with ink, which reduces printing defects and enhances inkjet nozzle operational lifetime (www.xerox.com). Hearing aids (www.widex.xom) and high G-shock microphones (www.knowles.com) have also been successively protected by hydrophobic MVD protective coatings. These promising hydrophobic surface treatments are as well currently under evaluation for anti-icing passive coating, mainly for aeronautic applications within a European project (www.aeromuco.eu). The approach is based on the development of superhydrophobic polymeric materials (Figure 1).

Recently, MVD deposited carbon-doped Al2O3 films have been shown to provide the highest wear prevention on microstructured Si systems [ 3 ]. The MVD coated MEMS devices have undergone testing without failure for over 7.106 cycles, which is already 7 times longer than observed for similar SiC coated microstructures. The MVD films have demonstrated the ability to improve the moisture barrier properties of polymer packaging [ 4 ].Multilayered coatings have achieved water vapor permeation (WVTR) down to 10-4-10-5 g/m2/day. Similarly, MEMS resonators have been efficiently coated by a MVD treatment (Figure 2). Homogeneous surfaces with superior chemical and physical properties were achieved by applying a dense metal oxide coating.

Figure 2: MEMS resonator

Few nm thick and dense metal oxide layers have been as well used to prevent metallic substrates from corrosion. Indeed, Al bond pads have been successively protected by an aluminum oxide layer, which has been deposited at low temperature. The use of MVD surface engineering technology has demonstrated benefits in protecting a wide variety of substrates from aggressive environments. These nanoscale vapor coatings have shown significant device performance stabilization and even improvement, and are able to meet durability requirements in many fields of application.
[1] [2]

[3]

[4]

www.pcimag.com G. Zhuang, J.P. Kutter, Anti-stiction coating of PDMS moulds for rapid microchannel fabrication by double replica moulding, J. Micromech. Microeng. 21 (2011) 105020 C. Carraro, J. Chinn, B. Kobrin, Exceptional wear resistance of MEMS devices coated with carbon-doped alumina films, Proc. Transducers & Eurosensors (2007) 1319 M. Wanebo, B. Kobrin, F. Helmrich, J. Chinn, Molecular Vapor Deposition (MVD) A New Method of Appling Moisture Barriers for Packaging Applications, Proc. IEEE (2005) 136

Figure 1: Picture of water droplets beading on these surfaces after a hydrophobic treatment with MVD

42

Functional Nanostructured Plastic Components Manufactured Using Replication Techniques


N. Blondiaux, G. Franc, R. Pugin
The technology platform developed during the European project IMPRESS is presented in this report. The main objective of the platform is the production of micro-nanostructured plastic parts by injection molding. It includes all state of the art replication modules to enable high accuracy manufacturing at the micro and nanoscale. Three case studies have been considered to validate the platform with biomedical, cell-biology and photovoltaic applications.

There is a growing trend toward the manufacturing of smart plastic products with new or enhanced performance features. To stay competitive in such a global sector as manufacturing, new technologies have to be developed for the cost-effective production of high-end products with novel functionalities. For many markets, plastic manufacturing techniques such as injection molding have been used to decrease production costs due to the low price of plastic materials and the high throughput of such replication techniques. The development of high performance plastics combined with more advanced tooling and replication processes allowed the manufacturing and commercialization of products such as CD/DVD that present features in the sub-micrometric range, which requires very high replication accuracy. The fabrication of micronanostructured plastic parts actually opens a broad range of new functionalities (optical properties, adhesion and wettability, interface with biology) [1], [2] . The possibility of high throughput production by injection molding makes this approach relevant for the biomedical, automotive and microelectronic markets. Within the frame of the European project IMPRESS, CSEM contributes to the development of a technology platform for the manufacturing of micro-nanostructured plastic parts. The core manufacturing technology of the platform is injection molding. To address technical issues linked with micronanoreplication, various technologies were benchmarked and a broad range of additional modules have been integrated on the platform such as compression molding, heat and cool, vacuum and advanced process control. The consortium of the project includes fourteen partners across six different countries. By involving machine, mold, cleaning-module manufacturers as well as injection molders, sensor and metrology tools suppliers, all aspects of the manufacturing chain have been considered (see Fig.1). Within this manufacturing chain, CSEM focused on the fabrication of nanostructured inserts that are used for injection molding. The main goal was to upscale current nanostructuring processes to structure large areas (hundreds of cm2) and to fabricate durable inserts that can withstand the replication process. To validate the functionality of the overall platform, three case studies have been developed for biomedical, biology and photovoltaic applications. The first case study aims at the fabrication of plastic patches embedding hollow micro-needles for drug-delivery. The second, in which CSEM is mainly involved, focuses on the development of nanostructured petridishes to control biological cell-growth. In the last case study, nanostructured surfaces are used for light management in photovoltaic modules. After 2 years, the different modules have been integrated and dedicated molds and mold inserts have been fabricated for each case study. Preliminary trials made according to well-

-defined design of experiments showed that a successful replication at the micro and nano scale needed all modules to be combined (compression + heat & cool + vacuum). The control of all structure dimensions during the fabrication of the inserts was also found to be crucial to improve the replication accuracy, more especially for the demolding step. To successfully supply such inserts, CSEM developed a process chain based on emerging self-assembly techniques to fabricate sub-micro and nanostructures with well-controlled sidewall profiles and a high homogeneity over 4 size samples.

Figure 1: Schematic of the IMPRESS platform (top). Replication of hollow microneedles for drug delivery application (bottom left) and nanostructured surfaces for biological cell growth (bottom right)

Fully integrated demonstrators are currently being produced for each demonstrator and their functionalities will be evaluated. Preliminary results of biological cells-growth on nanostructured plastic surfaces carried out at CSEM have shown the potential use of such surfaces to reduce the adhesion of biological cells and direct their growth. The effect of the micro-nanostructuring on the growth of stem cells is currently under investigation. This work was funded by the European Community via the IMPRESS project. CSEM thanks them for their support.
[1] [2]

N. Blondiaux, et al., IEEE Nanotechnology, 2012 N. Blondiaux, et al., Applied Surface Science (2009) 256S, S46 S53

43

Talbot Photolithography
L. A. Dunbar, G. Bergonzi, B. Timotijevic, P. Niedermann, R. P. Stanley
This work details a technique to make micron and submicron periodic features in non-contact optical photolithography. This allows cost-effective high through put structures to be made using standard photolithography.

Optical lithography is a standard for industries such as optics and microelectronics. To obtain high resolutions of around 1m contact lithography must be used. However this brings with it problems, for example feature size can be disrupted due to contamination, breakages and stoppages are much more common than in non-contact lithography. Many non-contact lithographic processes can create submicron structures. Each process has its own advantages and disadvantages, for example, electron beam lithography although of very high resolution is a slow serial process. Interference lithography gives high throughput but the optics need to be modified for different printing patterns. Nano imprinting offers a large production cost-effective solution but there remain issues due to contamination. Stepper lithography is one of the most promising photolithographic technologies for submicron non-contact. However stepper machines have a large upfront cost which acts as an entry barrier. Recently CSEM has been investigating Talbot lithography [1] as a way to replicate periodic micron and submicron structures in large-gap non-contact photolithography (50 200 m).

This contrast is adequate for good exposure of the photoresist used. To test effectiveness of this work a SUSS MA/BA8Gen3 mask aligner system has been used, with adapted MO Exposure Optics developed by SUSS MicroOptics SA. The MO Exposure Optics system is easy to install and allows us to control the numerical aperture of the illumination and gives exceptional flat top light uniformity (www.sussmicrooptics.com). An i-line filter was also used. Two hexagonal patterns were replicated using this technique. Pattern A has 0.6 m diameter holes and the period is 1.3 m. Pattern B has 0.8 m diameter and the period is 1.7 m. 20 integration steps were made over the Talbot lengths. The distance from wafer to the mask, the proximity gap, was varied in the range 15-50 m. Typical results are shown in Figure 2.

Figure 2: Scanning electron microscope images of cross sections of the hexagonal patterns. The photoresist is 800 nm thick. Approximately 100 nm of gold has been sputtered onto the wafers to avoid excessive charging during imaging. a) Shows the structures resulting from a mask design of 0.8 m diameter holes and aperiod of 1.7 m; b) idem from a mask design of 0.6 m diameter holes and a period of 1.3 m Figure 1: a) Ray tracing of light through 1 dimensional grating with period 3 m and hold diameter 500 nm

The Talbot effect [2] is due to light interference from an array of apertures. The self-imaging of the grating occurs at a set distance away called the Talbot length. Self-imaging is modelled based on diffraction theory and Fourier techniques. A typical ray-tracing example of the Talbot effect due to a one dimensional periodic grating is shown in Figure 1. Here the slit widths are 500 nm, the period is 3 m; 4 periods are shown. No paraxial approximation is used, thus as the light propagates the interference affect begins to blur. The light propagation shown in Figure 1 is shown over 2 Talbot lengths. The illuminating light is assumed to be i-line which has a wavelength of 356 nm. Rather than expose a wafer at exactly the Talbot distance integration of the exposure over the Talbot length has been chosen. This removes the need to know the absolute distance from the mask to the wafer and makes the process more robust. This does reduce the contrast. However, provided the fill factors are not too large and the hole remains comparable to the size of the wavelength the contrast remains about 0.4.

Figure 2 clearly shows that micron and submicron periodic features can be obtained using the Talbot effect in large-gap non-contact photolithography. Slightly larger than expected feature sizes are currently being investigated. Applications for such structures are micro-porous supports for cell culture, and optical filters based on plasmonics such as colour and polarization filtering. This work was supported by the CTI and was done in collaboration with SSS MicroOptics SA: CTI-no 13254.1 PFFLM-NM. CSEM would also like to thank Toralf Scharf at IMT for his support in this work.
[1]

[2]

W. B. Case, M. Tomandl, S. Deachapunya, M. Arndt, Realization of optical carpets in the Talbot and Talbot-Lau configurations, 17 (23) Opt. Exp., (2010), 20966 H. F. Talbot, Facts Relating to Optical Science, No IV, Philos. Mag. 9, (1836), 401-407

44

Channel-based Continuous Flow Assay Mimicking Cancer Invasion


M. Hkanson, H. Straub, L. Barbe
This work aims at the validation of a continuous flow platform developed for cell-based drug screening activities. While this specific paper describes the impact of the platform on migration assays in cell-derived matrices, it can be adapted to various organ-specific assays for which flow control is crucial.

In the pharmaceutical industry, there is a need for better cell assays. A more predictive cell assay, based on a more in vivo relevant system, will improve the preclinical part of the drug development process. Thereby the lead drugs can be determined at an earlier stage and costs reduced. Especially for some diseases, more complex models are needed to understand the functionality of a drug. This is true for drugs acting at the metastatic stage of cancer. Here, systems that track cell movement would be needed. Different type of microfluidic systems have been used to study cell migration under the influence of solute gradients [1]. Another important parameter in metastasis is the interaction with the extracellular matrix (ECM) [2]. One highly relevant method to study these interactions is by reseeding cells into cell-derived protein matrices [3 ]. By choosing cells from a certain tissue for the matrix production, the model can be disease-specific. Until now, assays in cell-derived matrices have been conducted in standard multi-well plates. The microfluidic systems offer not only flow control but also limits the consumption of drugs and scarce cells in screening activities. The flow control has two positive aspects: in vivo relevant conditions can be mimicked shear forces can be precisely controlled so that fragile structures, e.g. fibres in an ECM can be preserved. For biological microfluidic systems both external pumping and passive pumping, using reservoirs on chip, are used. External pumping is preferred when precise flow control over long time is needed. The platform applied here consists of a microfluidic chip in the size of a regular glass slide, a micro-pump and a temperature and CO2 control. The chip, containing 6 channels, is produced in cyclic olefin co-polymer, COC, with in-house embossing and bonding processes. Varying the amount of layers creates chips of different complexity (Figure 1). Treatment with an airplasma increases the hydrophilicity and thus wettability of the channels. This was proven important for the initial loading and adhesion of the cells.

Current results have proven that cell culture in the system are performed for up to 3 days with maintained cell viability. The platform has been designed so that it fits a conventional inverted microscope. Thereby the cell behaviour can be followed live. The creation of the cell-free ECM after culture has been optimized by systematic comparison of different decellurization protocols. Good results have been achieved using a detergent- containing alkaline solution previously published [4]. Live-microscopy confirms the immediate effect of the detergent solution, removing all cell material while leaving the ECM intact. Post-treatment with DNase enzyme digests and solubilizes any remaining DNA. Currently, work is being conducted towards the use of the platform for migration assays. Initially, metastatic cancer cells will be reseeded into the ECM and their growth and morphology will be characterized in comparison to multi-well plate controls. The platform will be applied in all areas where organ-specific cell culture is highly flow dependent, such as liver and pancreas. The small footprint of the complete platform (about 20 cm2) makes it possible to use it with many imaging systems.

Figure 2: Cell-specific ECM is created by decellurization of cellderived matrices. Hoechst nuclei stain (blue) and immunochemical staining of the ECM fibres (green) is used to visualize the sample before (A) and after (B) decellurization Y. Huang, B. Agrawal, D. Sun et al., Microfluidics-based devices: New tools for studying cancer and cancer stem cell migration, Biomicrofluidics, (2011), 5 W. Guo, F. Giancotti, Integrin signalling during tumour progression, Nature Reviews, (2005), 4, 816-826 E. Cukierman, R. Pankov, D. Stevens, et al., Taking Cell-Matrix Adhesions to the Third Dimension, Science, 294, (2001), 17081712 R. Castell-cros, E. Cukierman, Stromagenesis, During Tumorigenesis: Characterization of Tumor-Associated Fibroblasts and Stroma-Derived 3D Matrices, Methods in Molecular Biology, 522, (2009), 275-305

[1]

[2]

[3]

[4]

Figure 1: Exploded view of the multilayered microfluidic chip

45

Body-on-the-Plate an Integrated Ready-to-use Platform for Investigating Multi-organ Toxicity


M. Hkanson, V. Monnier, L. Barbe
Increasing costs in drug development describes a clear need for more relevant in vitro models.

Drug development is a highly time- and cost-intensive process estimated between 7-10 years and 0.8-1.2 billion dollars per drug approval. An essential part in the overall process is the evaluation of compounds with the help of in-vitro cell-based assays prior to entering the preclinical and clinical phase. New strategies have to be developed to further improve the derisking of compounds prior pre- and clinical trials. As of yet, monolayer cell cultures have not been good enough filtering false-positive drug candidates at early phases in the drug development process. This is mostly due to the limitations of 2-dimensional cell culture, which do not reflect their native tissue phenotype with respect to morphology and functionality. To further advance cell-based assays the development moves towards more organotypic model systems to improve the predictive power of drug efficacy and off-target effects. InSphero has developed a patent-pending production technology that enables mass fabrication of scaffold-free, 3dimensional microtissues of uniform size for a wide variety of primary cells and tumor cell lines.

compatible materials (thermoplastics) and processes (hot embossing, laser welding). By this method, material requirements concerning optical transparency and humiditytight seal are met. One main advantage of this flexible fabrication method is the possibility to rapidly test and optimize the plate design according to the functional requirements being single-tissue capture, non-adhesive surface properties, and minimal dead volume and turbulence creation at media exchange.

Figure 1: Different workflows of the Body-on-a-plate; a) Organ toxicity testing of parent compounds; b) Organ toxicity testing of compound metabolites after liver biotransformation; c) Study of compound activity after liver mediated biotransformation

Figure 2: a) Drawing of the micropillar array which enables the capture of microtissues of a specific size, depicted by the red sphere; b) Picture of a plastic micropillar array prototype attached to a 96 well plate; c) Fluorescent image of a microtissue (in blue) captured between micropillars

In the Body-on-the-Plate (BOP) project, a collaboration with cardiologists at the University of Berne and kidney tissue specialists at the University of Zurich aims at the development of novel microtissues of brain (developed at InSphero), heart and kidney. These organs are crucial for the determination of toxicology and efficacy in many drug development processes. In the body-on-a-plate concept, media is transferred from one tissue compartment to the next, hence mimicking the exposure to drug metabolites in vivo (Figure 1). CSEM is developing a special plastic well plate containing micropillar arrays. This plate enables hosting multiple microtissues per well, which will be necessary to obtain enough metabolites from the liver microtissues. To this extent, CSEM has developed a rapid prototyping method which uses industry 46

Different iterations of the plate have been successfully implemented and tested with microtissues (Figure 2). Figure 2c shows an efficient trapping of a microtissue, protected from subsequent liquid exchanges. The next steps will involve testing the different organ microtissues according to the workflows depicted in Figure 1, and fine-tuning of the plate design before commercialization by InSphero. The project partners are InSphero AG, University of Berne, and University of Zurich. This work was funded by CTI, project number 13739.1 PFFLI-LS. CSEM thanks them for their support.

Miniaturized and Automated Platform for On-line Preparation of Polluted Water Samples
S. Heub , L. Barbe
A semi-automated and integrated platform for at-site sample preparation is being developed within the EU project RADAR, for the monitoring of endocrine disruptive compounds in water and in food processes. The platform is an adapted and integrated version of the solid phase extraction method. It shows full recovery of the sample with pre-concentration of the compound with at least a factor 500.

Endocrine disruptive compounds (EDCs) are a family of pollutants issued from the degradation of plastics, smokes and drugs. Their action on the organism is linked to feminization of aquatic species in contaminated lakes and rivers and raises human health concerns. Within the European RADAR project [ 1 ] (Rationally Designed Aquatic Receptors), the development of an integrated and automated sample preparation platform is required prior to optical label-free detection for on-site monitoring of those compounds. The sample preparation consists first of the filtration of particles down to 1 m diameter and removal of air bubbles. If various ingenious methods can be implemented to reach those two objectives, the biggest challenge lies then in the extraction and pre-concentration of the target compounds, a necessary step to enhance the detection with the biosensor. Indeed those compounds are found at very low levels in the environment (< 1 ppb), below the limit of detection of biosensors. Among the various methods which are used for preparation of such compounds, the techniques based on solid phase extraction (SPE) are the most efficient in terms of separation and enrichment. When applied in a laboratory, such process implies the use of various organics solvents, through many time-consuming steps. A miniaturized and semi-automatized platform has been designed and tested for the pre-concentration of estrogen compounds. The molecules are extracted from water samples using functionalized particles packed in a column. Separation is done by low-volume elution with an appropriate solvent. The ingenious design of the platform allows reducing the number of steps compared to standard bench-top SPE processes, as shown in Figure 1.
Precondition column Dilute in buffer Extract with solvent 2

17-estradiol at low concentration (1 ppb). An example of a result is given in Figure 2, where full recovery of the compound after extraction followed by elution with an organiccontaining solution is obtained when using a small column (1x14 mm) of functionalized particles. Contrariwise, a diskshaped column (5x3 mm) with the same amount of particles does not show a good recovery, which could also indicate a non-performing extraction step. With this assay, a 500 fold pre-concentration of the compound was achieved.
120 100

% Recovery

80 60 40 20 0 600 100

Disk shape
16

Column shape

Concentration factor

500 400 300 200 100 0 542

Disk shape
79

Column shape

Figure 2: Example of results obtained for two configurations of the same amount of packed particles

Detection

Further optimization of the platform is planned within the EU project, with full automation and full integration for the end of year 2013. This platform is also a starting point for the development of other sample preparation methods for different applications in the monitoring of toxic compounds and in life science, and opens the way to lab-on-chip solutions using functionalized magnetic particles.
[1]

Extract sample

Evaporate solvent 1

Evaporate solvent 2

Dissolve in solvent 4

CSEM / ETH Zurich Project website : www.radar-fp7.eu

Wash column

Elute with solvent 1

Reaction in solvent 3

Evaporate solvent 3

Figure 1: Comparison of the steps involved in the integrated (full lines) and the bench-top (full and dotted lines) SPE method for preparation of estrogenic compounds for water sample analysis

The critical parameters influencing the process are the flowrate, the composition and volume of the eluent, and the dimensions of the column. The platform is being optimized by applying the method to water samples (100 ml) containing 47

FEM-based Method for the Simulation of Dielectric Waveguide Grating Biosensors


F. Kehl, T. Guillod , C. Hafner
An algorithm based on the Finite-Elements Method (FEM) was developed to simulate systems based on planar optical waveguides with input/output grating couplers, to find the chip response and to optimize the sensitivity of the optical transducer. Unlike some widely used approximations, the impact of the grating depth, shape, duty cycle as well as losses and surface roughness can be taken into account.

In the last decade, the use of chemical and biological sensors, based on electromagnetic waves at optical wavelengths, has grown significantly. They are important tools in various fields such as biotechnology, pharma, diagnostics as well as environmental and food quality monitoring. A particularly interesting category of optical biosensors is based on waveguides with a high refractive index film. These systems are of interest as they offer multiple tuning parameters for the chip design and their high sensitivity. The sensing action is accomplished by the changes of the effective refractive index, sensed by the evanescent field of the propagating mode. This technique has found applications for label-free as well as for fluorescent-label sensors. Different configurations for labelfree waveguide based sensors are known [ 1 ], [ 2 ], [ 3 ]. The dielectric waveguide sensor with input/output grating coupler offers many tuning parameters (Figure 1) that are used for the design of the chip in order to reach high sensitivity.

described method is particularly fast and the simulation of other configurations is possible with small adaptations. A more detailed description of the numerical methods as well as a detailed discussion of the results would be beyond the scope of this report, Figure 2 representatively depicts the outcome of the numerical simulations, in this case for various grating depths hg. The relative electrical field strength in the sensing layer is plotted against the incident angle, showing a broadening of the resonance peak with increasing grating depth. The impact on the sensitivity and the biosensors figure of merit can hereby faithfully be calculated for the extensive parameter space of a waveguide grating coupler.

Figure 1: Side view of waveguide grating sensor schematics to illustrate the parameter space with substrate refractive index ns, waveguide layer with refractive index nF and thickness hF, cover with the refractive index nC, grating with length L, period , duty-cycle and depth hG, incident light at wavelength with polarization p at angle , mode m and penetration depth d

Figure 2: Resonance peaks (Lorentzian fits) for various grating depths hg Laboratory for Electromagnetic Fields and Microwave Electronics, ETH Zrich, Switzerland K. Schmitt, et al., "Evanescent field Sensors Based on Tantalum Pentoxide Waveguides A Review, Sensors 8 (2008) 711-738 K. Schmitt, et al., High-Refractive-Index Waveguide Platforms for Chemical and Biosensing, Optical Guided-wave Chemical and Biosensors I (2009) 21-54 K. Cottier, et al., Label-free highly sensitive detection of (small) molecules by wavelength interrogation of integrated optical chips, Sensors and Actuators B: Chemical, 91 (2003) 241-251 K. Thiefenthaler, et al., Sensitivity of grating couplers as integrated optical chemical sensors, Journal of the Optical Society of America B: Optical Physics, 6 (1989) 209-220 R. Kunz, et al., Finite grating depth effects for integrated optical sensors with high sensitivity, Biosensors and Bioelectronics, 11 (1996) 653-667 E. Moreno, et al., Modeling and optimization of non-periodic grating coupler," Optical and Quantum Electronics, 34 (2002) 1051-1069 H. Oraizi, et al., Analysis of Planar Dielectric Multilayers as FSS by Transmission Line Transfer Matrix Method (TLTMM), PIER 74 (2007) 217-240

For the prediction of the sensor sensitivity, different methods are known such as analytical approximation based on the mode equation [ 4 ] or methods based on rigorous diffraction theory [ 5 ], Cottier introduced the local interference method (LIME), which is a simplified multiple scattering method [ 6 ]. This method has proven to be very fast but the used implementation suffers from many limitations. The computation relies on a thin grating approximation, thus it is not possible to take into account the exact grating shape, neither its duty cycle nor depth. The waveguide film losses are also neglected. These simplifications do not allow finding the resonance curve of the sensor, particularly the finite width half maximum. The paper presents an algorithm based on FiniteElements Method (FEM) and Transmission Line Transfer Matrix Method (TLTMM) [7] for computing the field distribution and extracting the sensitivity of the chip. This method allows the inclusion of the grating depth, duty-cycle and shape, the film losses due to damping, the surface roughness, etc. The simulation of non-periodic, non-uniform grating has also been done but will not be presented here. The main advantage of FEM is the flexibility and the availability of powerful commercial solvers. Consequently, the implementation of the 48

[1]

[2]

[3]

[4]

[5]

[6]

[7]

Lab-in-a-pipette Tip for Rapid Contaminant Screening


S. Paoletti, V. Monnier, L. Barbe, S. Follonier
One of the biggest market challenges today is the development of miniaturized, quantitative, rapid bioanalytical tests. The Lab-in-pipette tip (LIPT) is a rapid, low volume, cost-effective immunoassay taking place directly and entirely in a pre-coated special pipette tip.

The overall scientific and technical objective of the project was to develop and validate a platform to measure the aflatoxin contaminant directly in pre-coated pipette tips. The most reported causes of foodborne illnesses are of microbiological origin. Microbes are ubiquitous and can enter the food chain at any point from the agriculture produce to the consumer's kitchen. Mycotoxins are among the contaminants that are regularly monitored in incoming inspection for food processing plants, due to its lethal effect. Among the different mycotoxins, aflatoxins are a group of toxic and carcinogenic substances produced primarily by certain strains of the molds Aspergillus flavus and Aspergillus parasiticus. It is estimated that more than 5 billion people in developing countries worldwide, i.e. more than 70% of the global population, are at risk of chronic exposure to aflatoxins through contaminated food. Because of different requirements in research labs, agricultural test labs, certified private laboratories, mills or field tests a wide range of testing methods are commercially available. Precise quantitative results are obtained with fluorescence readout immunoassays, enzyme linked immunosorbent assays (ELISA), monoclonal antibody affinity chromatography, gas chromatography, high-pressure liquid chromatography (HPLC) or mass spectroscopy. The mentioned quantitative methods are expensive, require skilled personal and a laboratory environment and the results appear within an hour or one days. For field testing lateral flow immunoassay strips are predominately used, because they are reasonably inexpensive, fast, do not require skilled personnel nor a lab environment. However, these test strips do not provide quantitative readings of absolute aflatoxin levels, they rather indicate qualitatively the presence or absence of aflatoxin. The Lab-in-pipette-tip (LIPT) technology provides a quantitative, low cost immunoassay easy to be performed even at minimum laboratory facilities.

substrate). The LIPT have been specifically designed to support a chemiluminescent read out (Figure 1). Additionally, a LIPT holder resembling the classical multiwell-plate characteristics has been designed and produced in order to use common reader for ELISA immunoassay. For the aflatoxin detection, the LIPT is first exposed to the sample. The contaminant of interest, when present, binds to the capture reagents coated at the inner surface of the LIPT. The LIPT is subsequently exposed to washing solution and to detection reagents containing a specific enzyme-conjugated antibody and to the substrate for the immune detection. The chemiluminesence read out is performed directly in the LIPT. The results of the aflaoxin detection using the LIPT technology are shown in Figure 2.

Figure 2: Aflatoxin detection using the LIPT technology

The major benefit of the aflatoxin detection, compared to the existing techniques are: Low volume: compared to the classical ELISA immunoassay, the LIPT work with small volume as low as 10 l. The reduction of the reagents results in a costeffective assay. Fast: the high surface/volume ratio reduces the incubation time. The time required for the total immunoassay is a few minutes (versus the hours required for the quantitative method on the market). Sensitive: the design increases the sensitivity of the immunoassay. The limit of the sensitivity is at least comparable to the majority of the immunoassays or lateral flow tests present on the market.

Figure 1: Picture of eight Lab-in-a-pipette-tips developed by CSEM attached to a commercially available multichannel pipette

In conclusion, the LIPT consists of a simple, precise and robust ELISA/immunoassay that in combination with a handheld single-channel readout instrument can be easily used during the process of food industry (on raw materials, intermediate and finished goods).

The platform developed in CSEM, comprises pipette tips (LIPTs) pre-coated with capture reagents as antibodies and all the reagents necessary for the contaminant detection (washing reagents, detection solution, chemiluminescent 49

Electrochemical Microsensors for Monitoring the Metabolism of Liver Tissue Models in a Microfluidic System
S. Generelli, J. B. Larsen
Replacement of in vivo toxicity testing requires an effort in developing suitable predictive in-vitro tissue models, and systems that monitor effectively the tissue model metabolic status. Sensors for alanine transaminase and urea, two biomarkers specific for hepatic metabolism are being developed.

Worldwide effort is being made to effectively replace animal testing with in vitro predictive systems. The project HEMIBIO [1], funded by the Cosmetics Europe association and the European Union (FP7, grant agreement Nr. 266777), is part of this effort on a European scale. Within this project framework, a micro-bioreactor reproducing the complexity of the liver tissue is being developed. The in-vitro liver model will be used in preclinical long-term toxicity testing. One of the major challenges in building a 3D-liver bioreactor is the lack of non-destructive monitoring systems for evaluating the in-vitro model health and metabolic status. Most often, physiological samples are aliquoted to determine hepatic functions and metabolism, which is a time-consuming and often destructive process in particular when the sample volumes are small. In these circumstances, an automated monitoring system of micro-sensors connected to the cell chamber by a microfluidic system plays a vital role, by ensuring the long-term viability and stability of the in-vitro model. Under these conditions, long-term toxicity studies become possible. In addition to more conventional sensors like pH, oxygen concentration, glucose, lactate, aimed at monitoring the general status of the cell culture, relevant information is obtained by the monitoring of biological markers specific to the cell culture in use.

Figure 2: Calibration curve for ALT sensor in the lower concentration range. Glutamate synthesis kinetics is planned to be used as ALT indirect quantification

ALT sensors have demonstrated a linear response between 10 M (Figure 2) and 3 mM in PBS, with a sensitivity of 1600 nA/mM for freshly prepared sensors. The sensors are expected to keep 20% of the original sensitivity after 45 days of use. The sensor specifications and automated measurement routine, in parallel with a response time in the order of the tenths of seconds make the sensors applicable for the monitoring of cell culture, as well as the integration with the bioreactor possible. The urea and ammonium sensors have the same basic design: a planar electrode covered by a NH4+ selective membrane. To detect urea, one sensor is covered with a thin film comprising urease enzyme, which will convert urea to ammonium. The urease does not interfere with the NH4+ sensing, showing near-Nernstian responses in the range of 10-3M to 10-1M, with a detection limit around 10-4M. The development of new long-term reliable sensors will enable repeated time point measurements or potentially realtime measurements and provide insights into the kinetics of toxic insults.
[1]

www.hemibio.eu

Figure 1: Microsensors are fabricated on commercial screen-printed substrates

In the framework of the HEMIBIO project, the sensing module will contain alanine-aminotransferase (ALT), urea, NH4+, sensors (Figure 1). ALT, a specific marker of hepatocyte injury, and urea, related to urea cycle, which takes place principally in the liver, have been chosen as highly specific markers of liver cell metabolism. NH4+ sensing is complementary to urea. The activity of ALT is determined indirectly, by measuring the level of glutamate, whose synthesis is catalysed by the presence of ALT. 50

Disposable Sensors for On-line Monitoring in Disposable Bioreactors


S. Generelli, D. Leuenberger, R. Junuzovic, S. Spichiger
The pharmaceutical industry produces high-value drugs with the help of bioreactors. To control the production and insure the quality of the biomolecules, some parameters in the cell cultures such as pH, glucose and lactate concentrations, dissolved oxygen are monitored. To lower the price and avoid risks of contamination, disposable bioreactors are gaining popularity. The present work goes in the direction of a complete disposable system, by developing single-use sensors.

Disposable cell culture systems for biotechnology applications are gaining in popularity, and are being introduced in production lines in pharmaceutical companies. However, the monitoring of control parameters in these bioreactors is still being performed with the same methods used in nondisposable bioreactors: using traditional sensors which need to be sterilized and calibrated before each batch, or by sampling of the medium. The company C-CIT AG entered the market of bioreactor monitoring in 2010, with the launch of the CITSens Bio lactate, glucose and glutamate sensors (see Figure 1). The ready to use, pre-calibrated, pre-sterilized plastic-based sensors are disposable, plug and play, and do not require any preparation. As they are single-use, the risks due to batch-tobatch contamination are avoided, as well as the time consuming preparation and re-calibration between runs. The data acquisition system is connected wirelessly to a server for data storage and on-line remote monitoring for up to 2 weeks. The system provides an alarm when the parameters exceed a threshold value, or alternatively the sensed values are injected in a feedback loop to adjust the cell culture conditions and keep the parameters within the optimal values.

Figure 2: Screen-printed dual electrode sensors for multiple parameter monitoring

Sensors, gamma-sterilized before usage, are linear in the pH range from 3 to 9 for up to 16 days (see Figure 3), with a reproducible Nernstian sensitivity of 60 mV/pH unit.
400 300 E [mV] 200 100 0 -100 2 3 4 5 6 pH 7 8 9 10 day1 day5 day12 day 16

Figure 3: Calibrations for an all-solid-state pH sensor recorded between day1 and day16 of the monitoring of the sensor

This work has been performed in the framework of the CTI projects CTI Innovation cheque project 12643.1 INNO-LS and CTI Project 13743.1 PFFLE-LS.
Figure 1: The CITSens Bio online cell culture monitoring system; (left) the sensor is introduced in cell culture systems caps; (right) the sensors in a suspended culture flask, and connected to the wireless data transmission system [1]
[1]

C-CIT AG, Einsiedlerstrasse 29, CH Wdenswill Adapted from www.c-cit.ch

Since the market launch, users of the CITSens Bio system expressed a strong demand for a multi-parameter system. To respond to this need, combined sensors for glutamate/lactate and pH/ammonium are being developed (Figure 2). All-solidstate pH sensors are being developed and characterized in both calibration solutions and in cell culture. The sensors functional lifetime in cell culture conditions (T=37C, 100% humidity, 5% CO2) has been verified for up to 2 weeks.

51

Optical Fibre Functionalization for Measurement of pH and Matrix Metalloproteinases (MMPs) in Wound Dressing
B. Schyrr, D. Ferrario, J.-A. Porchet, E. Scolan, A. Falhi, L. Zhou, S. Pasche, J. Luprano, G. Voirin
Plastic optical fibres were functionalized to be sensitive to biomarkers of the wound healing process. In vitro measurement of pH and protease activity was demonstrated in model wound exudate. Integration of plastic optical fibres in wound dressing with a wearable electronics will be the basis of a smart wound care management system.

In order to reduce health cost, the trend is to have more and more ambulatory patients, therefore remote monitoring system become essential. Following this trend, monitoring wound healing at home with a wound dressing integrating sensors was developed. Wound carers are interested in monitoring biological parameters in the wound to reduce their interventions leading to faster wound healing and patient comfort. The system consists of special optical fibres in contact with the wound exudate and connected to electronics that performs signal processing, data logging and communication [ 1 ]. The plastic optical fibres are particularly interesting for their flexibility that facilitates their integration in wound dressing and adaptation to the shape of the body. In the frame of the Nano-Tera project TecInTex [2], a system for monitoring several biomarkers in wounds was developed. The goal of the project was to develop smart textiles. New sensitized and functional fibers, which will allow in situ measurements of body functions and biological species in body proximity for application in healthcare, were one of the major demonstrators.

monitoring of the optical changes in a sensing layer previously deposited around the fibre core. The pH sensing layer relies on the encapsulation of pH dyes into a sol-gel matrix. A mixture of different pH indicators was used to extend the pH detection range. pH variation results in color changes of the pH sensing layer, which will be measured by optical absorbance at 590 nm, corresponding to the wavelength of maximum absorbance variation for the dye mixture. The sensing layers were prepared by first mixing dyes with sol-gel precursors (tetraethyl orthosilicate and methyltriethoxysilane). After stirring the solution was deposited onto the core of the optical fiber by dip-coating, which resulted in layer thicknesses of 800 to 900 nm (Figure 1b). For the monitoring of the protease activity, an innovative method has been developed, based on the degradation of a colored protein layer deposited on an optical fibre. The layer was designed for detecting matrix metalloproteinases 2 and 9, which belong to the gelatinase family. A sensing layer based on gelatin was deposited onto the activated optical fibre core. Optical fibres were dip-coated in 10 wt% cold water fish gelatin in saline buffer. The thickness of the layer can be tuned between 600 to 900 nm. The glutaraldehyde linker was used to ensure thermal and mechanical stability of the layer. Staining of the gelatin layer with a biocompatible chlorophyllincopper complex enabled visualizing the speed of the layer degradation when in contact with MMPs by measuring the absorbance changes at 630 nm.

Figure 1: a) Optical fiber sensing principle, and b) scanning electron micrograph of a modified fiber cross-section with a pH sensing layer

Chronic wounds take several weeks even months to heal. The wound healing process follows successive main phases: inflammation, proliferation and remodeling. During these different phases, different biological processes occur and can be monitored by measuring the concentration of relevant biomarkers. Among these biomarkers, CSEM have focused on pH for inflammation status and Matrix metalloproteinase (MMP) for the proliferation status. The principle of the plastic optical fibre sensor is to replace the optical cladding of the fibre with a layer that is affected either by pH or by MMPs. The pH level will modify the absorption spectrum of the layer: the absorbance measurement of the light that is transmitted through the fibre at different wavelengths enables the accurate determination of the pH (Figure 1a). Similarly, the MMPs activity modifies the absorbance of the transmitted light at a layer specific wavelength: kinetics of the absorbance decrease informs on the MMPs activity. A light source and light detector placed at both fibre extremities enable the

Figure 2: Biosensing optical fibres for smart wound dressing

The integration in an electronic system processes the signals of six different fibres [1], the door is now open to realize smart dressing (Figure 2) able to monitor remotely biological parameters in the wound bed. This will offer physicians a new way to perform wound care management and bring more comfort to the patients. This work was supported by Nano-Tera.ch.
[1]

[2]

G. Voirin, et al., Wound healing monitoring system integrating plastic optical fibre sensors, CSEM Scientific and Technical Report (2012), page 80 http://www.nano-tera.ch/projects/69.ph

52

Chemical Detection of Molecules Using Structured Metallic Membranes


L. A. Dunbar, R. Eckert, S. Angeloni, B. Timotijevic, G. Franc, E. Scolan, R. P. Stanley
Free standing metallic membranes are used as a suitable substrate for molecular detection at infrared wavelengths. By engineering micro and nano structured metallic membranes enhanced chemical sensing surfaces are created that can detect monolayers of material using a simple transmission set-up.

The infrared region of the electromagnetic spectrum enables to probe directly molecular bonds through vibrational spectroscopy. However, low absorption coefficients necessitate complicated experimental setups to probe the molecules. This work demonstrates that through thoughtful nanostructuring metallic membranes can be used as enhanced sensing surfaces in a simple transmission setup. The enhancement comes through increased local electromagnetic fields, or through increased interaction lengths.

spectra were taken one with just the aluminium oxide deposited and a second with FOTS and aluminium oxide deposited on the membrane. It is not possible to see the absorption by the naked eye, see dashed line in Figure 2a. Nevertheless by calculating the absorption the peaks due to the CF2 line of the FOTS can be seen. As expected the absorption increases as the transmission peak of the membrane is tuned over the CF2 line.

Figure 1: a) & b) Photos of membrane; a) Standard 3 inch silicon wafer with Silicon Nitride Suspended Membranes, b) Increased magnification of a); c) Scanning electron microscope image of a square lattice the holes have a diameter of 3.5 m

To make the structured metal membrane CSEM used standard photolithography to microstructure a 500nm thick silicon nitride layer, which is released using a wet etch. The membranes were then sputter-coated with gold to obtain good metal coverage inside the holes. A typical wafer structured with membranes is shown in Figure 1a, Figure 1b shows a magnified view, and Figure 1c shows a scanning electron microscope image of 3.5 m pores in a square array. The membranes are disposable, practical and surprisingly robust. The size of the membranes can be as large as 1.8x1.8 mm. To obtain a quantitative characterization of the enhancement of the sensing surface it is necessary to probe a well-defined quantity. In order to do this a monolayer of perfluorooctyltrichlorosilane (FOTS) is used FOTS is normally used as an antistiction coating. The molecules deposit on a layer of aluminium oxide created with the precursor TMA. FOTS has fluorine molecule at its chain end and this gives the molecule its anti-stiction properties and results in a selflimiting deposition. This material was deposited by molecular vapour deposition. Figure 2 shows the transmission spectrum of different structured membranes. Each has a structure of circular holes with 3.5 m diameter in a square array. The three different membranes correspond to three different periods. This allows the tuning of the peak transmission over the absorption line of the FOTS. For each membrane period two transmission

Figure 2: a) Transmission of membrane transmission of visible wavelength membranes; b) Enhanced transmission of infrared wavelengths in metallic membranes

Figure 2b shows the normalized absorption peaks of the FOTS for the three different periods shown in Figure 2a. The absorptions measured using these structured metallic membranes are comparable to those measured with a standard high angle reflectivity measurement. The advantages brought by this technique are twofold, firstly a simplified measurement setup and secondly disposable membranes removing the need of cleaning to avoid contamination. This work was supported by EU project PLAISIR in the frame of FP7, ICT-2009.3.8.

53

A Bioreactor to Test Bone Scaffolds


M. Giazzon, A. Meister, M. Favre, S. Angeloni, S. Graf, M. Liley
The healing process of large bone fractures can be accelerated by placing a porous resorbable scaffold in the fracture gap to enhance the proliferation of healthy bone cells. A bioreactor has been developed to test the growth of bone cells in vitro under physiological conditions inside scaffold. If successful, in future, scaffolds will first be characterized for orthopedic applications using the bioreactor, with the result that fewer animals will be needed to test them.

Biomaterials for bone tissue repair represent a major focus of orthopedic research. While simple bone fractures usually heal spontaneously and completely, large bone lesions caused by disease or complex fractures must be filled with a suitable tissue repair material so that healthy bone will regrow. Bone grafts from the patient or from organ donors are widely used for tissue repair. In addition, a number of scaffolds and artificial bone materials are available and/or under development. These scaffolds are made of different materials with a wide variety of mechanical properties. They are usually porous and designed to be absorbed by the body as bone grows back into the lesion. Unfortunately, the procedure for these new biomaterials to be approved for clinical use and to enter the market is extremely long and expensive. Preliminary in vitro studies must be carried out, followed by animal studies and, finally, clinical tests. Large numbers of animals are used in these tests greatly increasing the cost of approval as well as posing certain ethical questions because current in vitro tests of bone scaffolds are far from physiological conditions and are poorly predictive of in vivo results. New in vitro strategies and tools are required to improve the predictive power of these tests. CSEM has developed a new bioreactor to test bone scaffolds in vitro. The bioreactor allows tests to be carried out with cells growing inside the 3-dimensional scaffolds instead of on flat surfaces of the scaffold material. In this way, the cells can organize themselves in the scaffold as they would do in the body, and artifacts due to insufficient cell-cell and scaffold-cell interactions are reduced. In addition, conditions in the bioreactor are more physiologically relevant than those used in current assays. CSEM bioreactor includes a fluidic chamber, a peristaltic pump and a piston. The fluidic chamber hosts the scaffold to be tested together with bone cells. Cell medium is continuously introduced into the chamber, bringing the necessary nutrients and gases (O2, CO2) to the cells. The peristaltic pump controls the flow of medium in the chamber. The piston is used to produce regular and repeated uniaxial compression of the scaffold in the bioreactor in order to mimic the stresses caused by walking and other physical movements of the body that stimulate bone formation. The compressive force is chosen to slightly deform the scaffold (by a few percent). Two glass windows allow the scaffold to be observed during the experiment. This allows, for example, detection of bacterial contamination, and monitoring of the behavior of bone cells on the periphery of the scaffold. The bioreactor fits in a standard incubator which guarantees a constant temperature (37C) and optimal gas control (95% air, 5% CO2). Moreover it is compatible with sterilisation in an autoclave (heating to 122C). The bioreactor has been 54

designed for long-term cell culture, staying up to three weeks at a time in the incubator, with high humidity, subject to a constant flow and mechanical stresses.

Figure 1: CSEM bioreactor for bone scaffold studies. The fluidic chamber of the bioreactor is connected by a tubing system to a bottle containing cell culture medium and to a gas filter. A 15x15x15 mm transparent scaffold has been placed in the chamber

Preliminary studies have been carried out using both a human osteoblast cell line (SaOs-2) and human mesenchymal stem cells (bone cells taken from patients during hip replacement surgery). For these a commercially available polystyrene scaffold was used and a constant compressive force was applied. However, future work will include the integration into the bioreactor of a motorized force actuator in combination with a compressive force sensor so that periodic forces can be applied to both scaffold and cells. If successful, this bioreactor will allow more meaningful in vitro studies of bone scaffolds to be carried out, so that the number of animal tests necessary in this field will be reduced. This work was partly funded by the European Commission via the FP7 project Innovabone. CSEM thanks them for their support.

Bioindenter
G. Weder, A. Meister, R. Ischer, J. Nohava , R. Consiglio , B. Bellaton , M. Liley
A new instrument has been developed for measurement of the mechanical properties of soft biological tissues: the Bioindenter. Central to the Bioindenter is the Biochamber which has been designed to allow mechanical investigations of the tissue in liquid and under physiological conditions. The Bioindenter will be used to elucidate the relationship between the mechanical properties of biological tissues and disease and to optimize tissue repair materials.

Tissue biomechanics is an emerging field with the potential to make a significant contribution to the study of human diseases. Viscoelastic characterization of soft biological materials on the micrometer scale is bringing new insights into pathological states such as atherosclerosis and osteoarthritis as well as into the progression of metastatic cancer [1]. Until recently this field has been largely neglected for two main reasons. Firstly, soft biological tissues exhibit complex anisotropic and nonlinear viscoelastic mechanical properties. Secondly, biological tissues need to be analysed under conditions that are as close as possible to the in vivo situation. The viscoelastic properties of biological tissues are measured by indenting the sample and by analysing indentation-force versus indentation-depth of the load and unload cycle [2]. Two types of tool are currently available. On the one hand, atomic force microscopes (AFM) are used to probe single cells with small applied forces (in the pN to nN range). On the other hand, nanoindenters originally developed to indent thin and hard surfaces can be used to measure materials properties with applied forces in the mN range and higher. Neither AFM nor nanoindenter instruments are ideal for soft biological tissues, which require forces in the N range and a physiological environment. To fill this gap, a new instrument dedicated to the analysis of soft materials the Bioindenter has been developed in a collaborative project between CSEM and CSM Instruments. It is based on the existing UNHT instrument commercialised by CSM Instruments with a reduced force range from 10 N to 20 mN.

can be upgraded with the integration of an inverted epifluorescence microscope that allows an observation of the sample during the indentation process. The new instrument has been tested and validated on extremely soft gels with mechanical properties not dissimilar to those of very soft biological tissues. Figure 2 shows typical force-indentation curves on polyacrylamide gels with a 1000 m diameter indenter. A maximum applied force of 110 N resulted in a maximum indentation of 58 m including a hold period of 180s (plateau phase). The Youngs modulus (E) was then calculated using the Oliver and Pharr model allowing the relationship between gel composition and stiffness to be determined.

Figure 2: Example of typical load-depth curves measured on polyacrylamide gels

A new instrument was developed for indentation of biological tissues, bridging the gap between AFM and nanoindenters. Validation experiments demonstrated the capability of the Bioindenter to measure the local mechanical properties of soft materials under physiological conditions. Studies of the mechanical properties of healthy and diseased ex vivo tissues as well as engineered tendon replacements are now underway. The Bioindenter combination of imaging and mechanical analysis is one step closer to understand the incredibly complex structure and mechanical properties of soft biological tissues.
[1]

Figure 1: The Bioindenter is a new instrument dedicated for the viscomechanical analysis of soft biological samples in physiological condition

[2]

CSM Instruments SA, CH-2034 Peseux S. E. Cross, et al., Nanomechanical analysis of cells from cancer patients, Nature Nanotechnology, 2, (2008), 780-783 D. M. Ebenstein, et al., Nanoindentation of biological materials, Nanotoday, 1(3), (2006), 26-33

The new instrument is equipped with a Biochamber that includes a temperature-controlled fluidic chamber based on disposable petri dishes. In addition to epi-illumination, a compact inverted phase contrast microscope has been developed and implemented for the observation of transparent samples with poor contrast such as multi-layered cells or microtissues. The microscope is fluorescence-compatible and 55

CO2 Sensor for Demand-controlled Ventilation


S. Cattaneo, S. Arnold, D. Osterwalder, N. Tscharner, C. Hofer, H Saba , R Fischbacher , B. Putzi , R. Derungs
The tightening of energy-saving regulations over recent years has led to increasing concerns about indoor air quality. Legislations requiring the use of CO2 sensors are being adopted in many countries, as such sensors provide a measure of the occupancy and corresponding air quality of indoor environments. Is reported on the development of a dual-channel NDIR CO2 for demand-controlled ventilation and is presented preliminary calibration results.

Due to energy saving requirements and to the high quality of modern building materials, buildings have become increasingly air-tight. Ventilation is therefore required to maintain indoor air quality. A growing trend in this area is towards demand-controlled ventilation (DCV), a process in which the air flow is regulated based on the number of occupants and on their needs, as opposed to the traditional method of ventilating at a fixed rate regardless of occupancy. A general approach for DCV is based on measuring the concentration of CO2 produced by occupants. Although the concept of CO2-based DCV has been around for over 20 years, its implementation has been hampered by several factors, including concerns about sensor accuracy, stability, calibration and maintenance. Recent progress in miniaturized infrared (IR) light sources and integrated IR sensor solutions offering increased accuracy and stability at lower cost hold the promise of making CO2-based DCV both feasible and costeffective.

demonstrator included auxiliary sensors for humidity, temperature, and barometric pressure. A preliminary calibration of the sensor was carried out for two sampling configurations: flow-through and diffusion through a gaspermeable membrane. A good linearization of the sensor output was achieved over a concentration range of 02000 ppm. The average (RMS) error over the whole measurement range was 17.5 ppm and 34.7 ppm for the flow through and diffusion configuration, respectively. The warm up time was estimated to be around 5-10 min. Once the sensor was stabilized, its response time in the flow through configuration was found to be below 10 s (limited by the lamp cycle). The response time of the sensor in the diffusion configuration (PTFE membrane) was on the order of 30 s. The average power consumption of the prototype was <550 mW.

Figure 2: Sensor response with increasing CO2 concentration and deviation from the actual concentration

Figure 1: NDIR CO2 sensor prototype

Non-dispersive infrared NDIR CO2 sensors are spectroscopic devices that detect CO2 in a gaseous environment by its characteristic absorption. The key components are an infrared source, a sample chamber, an optical interference filter, and an infrared detector. The optical filter is needed to narrow down the spectrum of the infrared source, which typically emits broadband infrared radiation, to the spectral region of interest. For CO2, the strong absorption band around 4.26 m (2350 cm1) is typically used. The attenuation of the IR radiation is then directly related to the concentration of CO2 in the sample chamber. In this project, a dual-channel NDIR CO2 sensor demonstrator based on a single IR source and a dual-channel thermopile detector was developed. The reference channel is used to compensate for changes in the intensity of the IR source, as well as for mechanical and electronic long-term drifts, reducing the need for re-calibration. The spectral position of the reference channel was chosen to be as close as possible to that used for CO2 detection for an accurate correction. The 56

Due to the type of detector used, the sensor output was found to depend strongly on temperature variations, with rapid temperature changes affecting the sensor readings more than slow ones. To compensate for temperature induced changes in the sensor reading, experimentally determined temperature coefficients were introduced. This correction reduced the temperature dependence of the CO2 concentration significantly, but could not completely avoid it, especially in case of sudden temperature variations. Experiments to clarify this behaviour and to define improved compensation strategies are under way.

TEM AG, Chur, www.tem.ch

New Fabric-based Electrodes for Flexible Large-area Organic Light-emitting Diodes


O. Fernandez, M. Chrapa, G. Nisato, R. Ferrini
Flexible, large-area and low-cost organic light-emitting diodes (OLED) have been demonstrated using flexible electrodes based on high-throughput, roll-to-roll compatible conductive precision fabrics manufactured at SEFAR AG within the framework of KTI cooperation project WOCOLED. After being completed with a layer of solution-processed organic conductor, PEDOT:PSS, these fabric-based electrodes demonstrate better optical and electrical performance than standard ITO-coated glass substrates resulting in a luminance homogeneity independent of the device area.

Organic light-emitting Diode (OLED) technology for lighting applications has recently attracted a considerable interest due to its potential for flexible, large-area and low-cost devices for lighting applications [1].

electrode described above, followed by a solution-processed layer of a light emitting polymer (LEP) and a thermally evaporated bilayer cathode (LiF/Al). Homogeneous luminance distribution over large areas is guaranteed by the high electrical conductivity of the wires. Therefore, the long-range luminance homogeneity depends solely on the distance between metal wires, the lateral conductivity of the PEDOT:PSS and the vertical resistance of the LEP [ 3 ] and is independent of the total emissive area. Figure 2 shows the calculated relative device luminance distribution between two parallel wires at the same potential as a function of their separation. A device using a fabric substrate with a spacing of 1.2 cm between wires, would give luminance homogeneity of 85% across the whole device area. Similar calculations indicate that a 10x10 cm2 analogous device fabricated on ITO-coated glass (R~10/square) would display a luminance homogeneity below ~30%. Figure 3a shows a 1x1 cm2 flexible OLED fabricated at CSEM on a fabric-based substrate with parallel metal wires separated 1.2 cm and driven at 150 cd/m2 (5V) under inert conditions. Through improvements in the fabric and OLED manufacturing, a 3.5x4 cm2 device with similar performance was demonstrated (Figure 3b). This time the device was encapsulated on glass and tested in air. Interestingly, the metal wires and the expected ~15% luminance drop between wires are not visible at relatively short distances.

Figure 1: Microscope images of a) fully metalized fabric and b) fabric with woven metallic wires

The realization of such devices imposes the use of transparent, flexible, large-area and low-cost compatible electrodes. Indium Tin Oxide (ITO), the most widely used material for transparent conductive anodes, presents serious drawbacks including scarcity and concomitant high cost, poor mechanical stability upon bending of highly conductive samples, low conductivity on flexible substrates and unknown environmental impact. The new fabric-based electrodes reported here overcome these issues as recently demonstrated in the organic photovoltaic (OPV) domain [2].The requirements for OLEDs are however more stringent in terms of surface roughness and current distribution thus requiring a separate investigation.

Figure 2: Calculated luminance drop at the center of two parallel wires as a function of their mutual distance for PEDOT:PSS (blue, lower curve) and ITO (red, upper curve). The calculation uses PEDOT:PSS and LEP characteristics experimentally determined and standard values for ITO on glass

Figure 3: a) 1x1 cm2 flexible OLED device operated under inert atmosphere conditions at 150 cd/m2 (5 V); b) 3.5x4.0 cm2 OLED device encapsulated on glass operated under normal atmosphere conditions at 180 cd/m2 (5 V) OE-A Roadmap for Organic and Printed Electronics ( 2011) W. Kylberg, F. Araujo de Castro, P. Chabrecek, U. Sonderegger, B. Tsu-Te Chu, F. Nesch, R. Hany, Woven electrodes for flexible organic photovoltaic cells, Advanced Materials 23 (2011) 1015-1019 S. Choi, S.-J. Kim, C. Fuentes-Hernandez, B. Kippelen, ITO-free large-area organic light-emitting diodes with an integrated metal grid, Optics Express 5 (2011) A793

These substrates fabricated at SEFAR AG (Switzerland) are based on precision fabrics with accurately defined openings. The fabrics are made conductive either by metallizing the finished mesh (Figure 1a) or by using metallic or metalized fibers (Figure 1b). In a subsequent step, the openings, whose size can be varied from as small as 10 m to several mm, are filled with a transparent polymer to provide mechanical support for the subsequent layers. The completed substrates have demonstrated an optical transmittance above 85% over the visible wavelength range. Finally, the substrates are coated with a layer of a solution-processed conductive polymer, PEDOT:PSS that makes them highly conductive in all directions. The OLED devices comprise the fabric-based

[1] [2]

[3]

57

Novel Printed Optoelectronics Components


F. Zanella, M. Chrapa, Z. Szamel, N. Marjanovic, G. Nisato, R. Ferrini
In the framework of the EU Network of Excellence FlexNet, CSEM has developed a reliable process for fabrication of organic photodiodes (OPDs) and organic thin film transistors (OTFTs). The OPD was then integrated together with an operational-amplifier made of p- and n-type OTFTs on flexible substrate to demonstrate an organic light sensor. Within the same FlexNet consortium, CSEM worked on OTFTs which were fabricated for a Round Robin Testing (RRTs). The two generations of RRTs samples were fabricated and characterized among 16 partners. The presented work demonstrates CSEM competence and ability to develop complex system integration.

In the EU Network of Excellence (NoE) FlexNet CSEM [1] and 16 partners from eleven European countries worked together in the field of Flexible, Organic and Large Area Electronics (FOLAE). Among the other project demonstrators a flexible organic light sensor and Round Robin Testing (RRT) test samples were realized. An organic photodiode (OPD) was designed and developed by CSEM on a polyethylene naphthalate (PEN) substrate according to the design demands and technological requirements of the operational-amplifier (OP-AMP) with complementary p- and n-type organic thin film transistors (OTFTs) which was designed and fabricated by partners (see below). The main challenges were to provide a maximum OPD output current below Imax ~ 5 A under illumination, low off current, as well as high on/off ratio. Two versions of the organic light sensor were made: in the first version, the system integration was performed by connecting two independent foils (OPD foil + OTFT foil) and, in the second version, by processing the OPD directly onto the OTFTs substrate. For the latter one, shown in Figure 1, the challenge was to synchronize the successive processes, i.e. the fabrication of the different devices and interconnection layers by different partners and under different conditions (temperature, humidity, versatile technologies, etc.) on the very same foil. Intensive collaborative work minimized the risk of shifts in particular device characteristics and of lower yield. The obtained organic light sensor converts light absorbed by the OPD to a voltage at the output of the OP-AMP (Figure 1). This simple system is an example of a stand-alone sensor on plastic foil that may be further integrated using standard analog-to-digital converters.

Another example of successful collaborative work in this EU NoE, was the development of test structures based on OTFTs and standardized measurement protocols for RRT. CSEM was actively involved in the design, fabrication and electrical characterization of these test structures. Two generations of RRTs were carried out: the first one (RRT1) was fabricated on a rigid Si/SiOx substrate whereas the second one (RRT2) used a PEN flexible substrate (Figure 2). For comparison reasons, the other OTFTs layers were kept constant.

Figure 2: A RRT1 sample (left) and a RRT2 sample (right)

In total, nearly 4600 OTFTs were characterized providing a unique parameters data base which will be further used for deducing certain statistical behaviour or for device modelling and simulation. Thanks to the high throughput of its customdesigned fully automated transistor prober (Figure 3) measurement time below 5 min/OTFT CSEM characterized 3215 OTFTs i.e. 70% of both RRTs. The rest was measured by 11 partners.

Figure 3: CSEMs custom-designed fully automated transistor prober

The partners especially involved in the realization of the demonstrated organic light sensor are CEA-LITEN (France), Universit degli Studi di Catania (Italy) and Universitatea Politehnica din Bucuresti (Roumania). This work was funded by the European Community Seventh Framework Programme (FP7/2007-2013) under grant agreement n 247745.
[1]

http://www.noe-flexnet.eu

Figure 1: Flexible organic light sensor output curve (top) and photograph (bottom)

58

Thin-film Silicon Solar Cells with Higher Conversion Efficiencies


J. Bailat, C. Ballif, C. Battaglia, N. Blondiaux, M. Boccard, M. Despeisse, R. Pugin
CSEM investigations aim at improving the efficiency of amorphous silicon solar cells by increasing their light absorption thanks to needs nanostructured substrates. This challenging task requires to master the fabrication of high-aspect ratio nanopillars as well as to optimize process when depositing high-efficiency a-Si cells on very rough substrates.

To achieve high conversion efficiencies with thin-film silicon solar cells, advanced light management concepts have proven to be absolutely crucial, as light absorption in silicon becomes small in the near-infrared region of the solar spectrum. By integrating specifically designed optical structures, light is trapped inside the solar cell, enabling theoretically a 1 micron thin solar cell to absorb as much light as a 50-60 micron thick cell. Amorphous silicon solar cells are usually designed with a very thin intrinsic absorber layer, under 250 nanometers, sandwiched between two p- and n-doped layers that form a pi-n diode junction. A thinner absorber helps the photo-carrier collection of the cell electrical contacts thanks to the stronger electric field but unfortunately reduces the absorption of the light. On the contrary, thicker absorbers absorb more light but increase the production costs and cause the carrier collection efficiency to drop over time when exposed to the sunlight. To minimize this light-induced degradation, new structures are designed where the sunlight is coupled parallel to the light wave-front and where the electric field in the junction is perpendicular to it hence electrically thin to lessen lightinduced degradation and optically thick to improve light absorption.
Figure 2: Scanning Electron Micrograph (SEM) of very high-aspect ratio nano-pillars

The p-i-n diodes are then deposited on the nanopillar arrays via plasma-enhanced chemical vapor deposition; the transparent conductive oxide consisting of zinc oxide is deposited by low-pressure chemical vapour deposition. Major efforts were specifically devoted to develop a deposition regime providing a highly conformal silicon absorber layer on the high-aspect ratio nanopillar structures (Figure 3).

Figure 3: SEM cross-sections of a) non-optimized and b) optimized conformal coating of amorphous silicon solar cell on nano-pillars Figure 1: Illustration of different light-trapping techniques a) Flat solar cell with minimal light trapping (light path enhancement factor is 2); b) State-of-the-art solar cell with nano-textured interfaces leading to light-scattering and improved light absorption (light path enhancement factor is 15-20); c) Proposed next-generation solar cell with nano-pillars. The light path in the absorbing silicon layer is increased significantly for the same thickness of the absorbing silicon layer

The resulting thin-films silicon cells were then characterized by the PV-LAB at EPFL, Neuchtel. Promising preliminary cell results were obtained, with a 6.7% efficiency first device on nanopillar superstrates (to be compared with 4.6% on the flat reference sample). This 45% efficiency gain obtained on nanopillars with an aspect ratio of 1 already consists of a significant achievement as it surpasses most of the reported values for this type of device, both in terms of aspect ratio and efficiency gain. Future investigation will be dedicated to the optimization of the nanopillar morphology and deposition processes in order to simultaneously maximize light trapping while maintaining the electrical cell properties. This work is currently funded by the Velux Stiftung. CSEM and EPFL thank them for their support.

Nanopillar arrays are first fabricated via nanosphere lithography. In this technique a monolayer of highly monodisperse nanospheres is self-assembled on the surface of a substrate and then used as a mask for deep reactive ion etching of silicon pillars into a silicon wafer. Combining state of the art self-assembly based surface nanostructuring and standard silicon etching processes, nanosphere lithography has been successfully up-scaled to a 4 inch wafer thus making the technique compatible with the photovoltaics application and fully industrially relevant. The initial diameter of the nanospheres determines the pillar spacing. The pillar diameter is controlled independently by reducing the nanosphere diameter via a plasma treatment. The height and tapper angle of the pillar is controlled by the reactive ion etching step.

59

PV-center, a New R&D Platform for Photovoltaic Products and Applications


J. Bailat, L.-E. Perret-Aebi, M. Despeisse, S. Nicolay, C. Ballif
CSEM starts a new division in photovoltaics: the PV-center to participate to the shift of our energy mix towards more renewables and support all the stakeholders in this endeavor towards a sustainable energy mix.

Not so long ago, photovoltaics or the way to transform sunlight into electricity was a scientists experiment in a lab. After decades of research, it is now being transformed from an alternative to a mainstream source of energy, something that is not going unnoticed to the public. Lets rewind and start from the beginning: the first silicon PV cell was made at Bell labs in 1954. Just four years later, this technology was used to power Vanguard I, the second satellite successfully placed in orbit by the USA. Thanks to the quasi-omnipresence and the free nature of the sunlight, PV cells then found applications on off-grid systems, powering anything from alpine huts to remote islands. In the last ten years, the PV market has shifted again with the help of European incentives from off-grid to grid-connected applications. Grid-connected systems feed their power in the electrical network, reducing therefore the use of other energy sources. From the year 2000, the world production of PV modules, measured in megawatts, has grown at a sustained rate, often above 50% year-over-year, hitting even 100% in 2010. This fast expansion has brought a lot of new players to the field, and the extreme competition has resulted in price drops all along the PV value chain, to an extent rarely seen in other markets. Ever since the commercial starts in the 1970s, the PV module prices have been decreasing by 20% every time the cumulative production has doubled. This learning-curve effect has brought the prices per Watt for modules from 76.67$/W in 1977 down to less than 0.74$/W in 2012 measured in inflation adjusted dollars [The economist]. The once alternative energy is becoming mainstream and it is changing the energy landscape. In 2012, the PV panels installed in Germany, on clear summer days at noon provided 50% of the electricity demand for this country a place not especially known as a sunny holiday destination. The incentives are receding and are now below the retail price of electricity, meaning that electricity from the grid is actually more expensive than the one produced from PV modules. PV may even soon compete on wholesale grid prices in some areas. This fast expansion of a new energy source does not proceed without its issues for the stakeholders or the broad public: utilities are worried by the impact of PV on electricity prices at peak production times and by weather-dependent energy production; aesthetics of PV installations and building integration is a challenge for home builders; the long-term reliability of modules on the other hand impact the return on investment of a PV system and is a concern for PV investors, bankers and insurers. In the future though, to maintain our standards of living and quality of our environment, there are few options but to use more renewables in the energy mix and burn less fossil fuels.

The goals of the new PV-center at CSEM are therefore twofold: to participate in resolving the challenges brought by this new source of energy and in doing so to develop new PV products and applications in partnership with the industry and fellow academic institutions in Switzerland and abroad. The PV-center will closely collaborate with EPFL PV-Lab and build on the research results obtained over 30 years in Neuchtel. In partnership with the existing divisions of CSEM, new products will bedeveloped, for autonomous smart devices, sensor nodes, new nano/micro-surface structures for lightcoupling, transparent conductive coatings, passivation layers, metallization, PV demo projects, PV energy monitoring and forecasting, etc. Through European projects, CTI and NanoTera framework programs, the CSEM PV-center will also collaborate with other Swiss and European competence centers. Industry partners will naturally be found in the whole PV value chain and also in other industries like machine manufacturing, watches, electronics, glass, and every industry where the know-how developed for PV will be applicable. Installers, architects, and electric utilities will also be key partners of the center. The PV-center will be organised in 4 sectors to address the different challenges of the industry: Crystalline silicon solar cells high-efficiency solar cells at lower costs focus on $/W metrics, new manufacturing techniques and processes, metallization and surface passivation techniques Thin film devices focus on $/m2 metrics, multi-junction solar cells, low material usage, innovative cell designs and low illumination devices Coatings leverages the expertise in crystalline and thin film solar cells in other fields of the industry like large area electronics Module design aesthetics and reliability of PV-modules, building integration and PV systems, from time dependant energy prediction, to storage and grid integration PV is on the way to becoming an energy source like any other and it is renewable. Therefore, the industrial stakeholders need a close partner to support them and to act as a bridge between science and industry. This will be the role of the new PV-center at CSEM.

60

SYSTEMS
Jens Krauss The activities of the multidisciplinary Systems Research program are aimed at mastering the methods and techniques required to analyze, design, build, and industrialize sensing and instrumentation systems in the application fields of medical device technology, scientific instrumentation, (lab-) automation, and environmental technologies. The challenges of the application-oriented systems engineering field comprise sensor signal fusion, miniaturization, energy consumption, reliability, and cost reduction for applications which are demanding in terms of resource limitation, dimensions, precision, comfort, and environmental conditions. However, the core competence remains at system level within the application domains, with systems engineering and system integration being their common denominator. Accordingly, the Systems Research program is structured into the four research activities: Instrumentation, Automation, Cleantech, and Medtech, the objectives, scope, and highlights of which, for the year 2012, were as follows. Instrumentation One of the Instrumentation research activitys focuses is the development of complex multi-technology systems, involving significant physical layers, typically for instrumentation applications and adapted to harsh environments, particularly cryogenic temperatures and vacuums. This activity, or at least its non-confidential part, is mainly performed within the framework of international space and astrophysical instrumentation programs in collaboration with ESA (European Space Agency) and ESO (European Organization for Astronomical Research in the Southern Hemisphere). A sustained effort of the instrumentation research activity is therefore the leveraging of its FlexTec expertise in line with CSEMs Microsystems research program: The smart combination of this FlexTec expertise with MEMS technology will pave the way for the realization of new micro-mechatronic systems involving state-of-the-art sensing and actuating means, while achieving high levels of miniaturization and performance. Another strategic focus of the instrumentation research activity is to push the technologies of highperformance, miniature atomic clocks and their integration level further in order to surpass the current state of the art of system miniaturization and time and frequency performance. The building blocks that constitute CSEMs SMAC (Swiss Miniature Atomic Clock), the development of which has been pursued during 2012, involve low-power microelectronics, laser technology, MEMS component manufacturing for the atomic gas cell, advanced packaging technologies, and systems engineering know-how. Industrial development activities with flexure-based micromechanisms and regarding the application of time and frequency building blocks are steadily increasing, and CSEMs instrumentation research activities are a key asset in increasing its offer in the domain. CSEM is therefore pursuing and intensifying its efforts to develop enabling technologies to further miniaturize, and increase the reliability of, complex scientific instrumentation systems. In this sense, in the framework of national and international research programs, collaboration within CSEM, as well as externally with universities and research institutes, is increasing. Not to forget that CSEMs instrumentation research activity relies on the local Swiss precision-mechanics industry and the time & frequency domain for realization and future series production, while specific design, prototype assembly, and testing, as well as the first critical model validations and verifications, are performed in-house. This was also the case for the highlight of the instrumentation research activity in 2012 the MOSFIRE instrument (Multi-Object Spectrometer for Infra-Red Exploration) that was delivered to the worlds biggest terrestrial telescope, the KECK, on Hawaiis Mount MaunaKea in February, 2012. The MOSFIRE instrument provides near infrared multi-object spectroscopy using a reconfigurable mask developed by CSEM and manufactured by its local industrial partners. MOSFIRE successfully started observations in mid-2012 and the details of CSEMs support activities are described in the corresponding scientific report. Automation Automation and quality-control equipment is a continually growing market. Low production costs are a requirement for production in Switzerland to remain competitive. With its strong competence in machine development and equipment for lab automation, Switzerland needs to be provided with innovative concepts to guarantee its industries competitiveness in the worlds markets. CSEMs multidisciplinary Automation research activities will significantly influence the competitiveness of Swiss industries product innovation. With emerging markets in low-wage countries, a key success factor for global competitiveness lies in the degree of automation that can be achieved in production processes. As a result, demand for automated solutions for complex but easy to operate processes exists and is increasing. Tomorrows machines must be able to carry out complex tasks currently performed by humans in a fast, reliable, and cost-effective way, while human-machine interaction must be minimized. The automation research activities will have an important impact on the further miniaturization of products and on their fabrication. Furthermore, it is expected that these research activities will lead to a significant cost reduction in the assembly and packaging of microsystems. With sensor-driven robotics and the development of a software platform for action patterns, the CSEM robot software framework is constantly being improved. Moreover, new sensors are designed to penetrate systems and get closer to objects of interest and their physical parameters. As the number of sensors increases, cost, reliability, and system integration become major concerns. As a result, optimal and automated exploitation of information, flexible low-cost sensing elements, and modular subsystems are becoming a precondition in many applications. In 2012, major progress was made in the real-time monitoring of plastic welding processes, details of which are summarized in the corresponding scientific report. 61

The in situ detection of bad welding spots is of utmost importance in order to guarantee a tight and reliable welding seam and, thus, verifiable compliance with tightened environmental regulations. Up to now, this has not been possible, and instead extra tests of the finished welding seam were required. CSEM has developed a novel sensor with device-integrated electrodes and a real-time analyzing method based on dielectric spectroscopy. By interpreting the dipole relaxation behavior inside the thermoplastic material, the mass/volume and temperature of the welded material can be calculated. This information can be directly used to monitor the welding process and determine the quality of the welding seam. The enhancement of welding machines by the inclusion of this built-in, real-time quality control will give customers an innovative and competitive advantage. Cleantech Without innovative environmental technologies, Switzerland will not be able to meet its targets for energy efficiency and energy savings as defined by its initiative Energiewende 2050. Energy efficiency and rational use of resources constitute cornerstones of sustainable industrial policy in the energy domain. Improving energy efficiency is by far the most effective measure and covers effective commissioning, better operation, and maintenance. The traditional leadership of Swiss industry in the control-system and instrumentation sectors leads to smart, user-controlled appliances; highefficiency lighting technologies; and modern HVAC systems. Nevertheless, new control and sensing technologies are needed to provide energy savings through the whole value chain technologies that are cost effective, easy for consumers to use, and have limited environmental impact. CSEMs Cleantech research activity covers energy-efficient control- and sensing-system applications within buildings, and smart energy-control and grid-enhanced user concepts, as well as monitoring and diagnostics of energy production facilities and transport installations. A representative example of the cleantech research activity, carried out in the domain of energy efficient sensor and control systems for buildings, has demonstrated that energy savings of up to 25% are feasible for domestic heating systems. A novel control system has been developed that, in contrast to traditional heating controllers, not only takes into account current climate conditions, but also includes predictions, as well as the characteristics of the building as heating target. Based on the predicted free gains, the heat flow temperature is optimized over a given time horizon by means of an adaptive building model, which integrates outside temperature, solar radiation, and room temperature. Besides the savings on energy consumption, such a system, which is self-learning, also minimizes installation costs. After successful testing of the pre-industrialized version of this novel control system, the system was launched on the market by Neurobat AG in 2012. It is worth noting that Neurobat AG, with CSEMs transferred technology, won the prestigious Umweltpreis Schweiz prize in January, 2012 a major highlight of the years research activities. Medtech Todays technology is sufficient to measure physiological signals such as ECG, respiration, blood pressure, saturation 62

of oxygen in the blood, cardiac output, core body temperature, metabolic rate, etc. in hospitals or at a physicians office. However, it is in general highly challenging to measure them in ambulatory conditions (e.g., during daily activities and exercise) at specific body locations, and with body-sensor interfaces that allow one to feel comfortable while being discretely monitored (e.g., at work). The Medtech research activity investigates and creates innovative solutions to further develop technologies that make the monitoring of physiological signals wearable. This includes the development of new sensing approaches able to cope with motion, morphology, comfort, inconspicuousness, ease of use by nonmedically-trained personnel, accuracy, integration, manufacturability, and cost constraints, etc. Moreover, solutions that offer multi-signal capabilities are targeted, since they allow exploitation of the correlations that exist between physiological signals of different origin at different locations, and the synergies resulting from their sensing. The implementation of sensing and processing technologies in an embedded, continuous, on-body diagnostic system will provide continuous lifetime access to clinical excellence. Such personal (and portable) health systems, so called on-body biofeedback systems, have a wide range of applications including telemedicine and rehabilitation or homecare, in particular for elderly, as well as in sports and health promotion. Moreover, they will allow patients to be discretely monitored (for diagnostic or long-term measurement) as they go about their daily business, including work or sleep (for sleep diseases). In Switzerland, the monitoring of people participating in clinical trials of new drugs is also an important market. Chronic cardiovascular and respiratory diseases (e.g., hypertension, arteriosclerosis, CHF, COPD, sleep apnea, asthma, etc.), sleep disorders, depression, obesity, or diabetes, are a significant burden for the patient concerned and for society. Tools to better manage such ailments have the potential not only to reduce costs, but also to improve the lives of the patients concerned, and those of their caregivers. CSEMs medtech research activity targets bringing innovative solutions to some of these important societal and technological challenges. A typical example of this is our research into the development of a non-occlusive, continuous blood-pressure monitor. Being able to accurately measure blood pressure continuously without disturbing the patient and the measurement by inflating a cuff, as is the case with current technology, is an ability which has been highly sought after for decades. This revolution is about to come about thanks to advances made at CSEM during 2012, based on combining the measurement of several physiological signals. Clinical experiments made with a first demonstrator showed that the method is compatible with Grade A of the British Hypertension Society, the body which rates the accuracy of blood pressure measurement instruments. This highlight of the medtech research activity is described in greater detail in the scientific report Continuous non-occlusive estimation of blood pressure via a chest sensor. In the near future, CSEM will focus its efforts on the development and clinical validation of an integrated blood pressure monitoring prototype.

A Table-top Femto-laser Printer for the Micromachining of 3D Small Scale Opto-mechanical Components
F. Barrot, C. Meier, S. Droz, J.-M. Breguet
In the frame of the European research project FEMTOPRINT, involving eight European partners, CSEM has contributed to the design and fabrication of a novel table-top printer to be used for the micromachining of opto-mechanical components. In 2012, the design of all major components and building blocks were was finalized and the whole machine has been successfully integrated, tested and delivered to the partner AlphaNov in Bordeaux and presented on the occasion of the Photonics West exhibition.

The FEMTOPRINT consortium is composed of eight European research partners with the aim to develop a simple table-top system targeted for rapid prototyping of 3D microsystems combining optical, fluidic and mechanical functions. The femtoprint machining process consists in affecting locally, below the ablation threshold, a silica glass sample with a low energy femtosecond laser. Then, it is possible to remove the material of the laser affected zones by chemical etching. Using this technique, 2D and 3D microstructures with a submicron resolution and including patterns even smaller than the laser wavelength itself has been produced [1]. Another key advantage of this technique is the fact that, in a single process, micromechanical, optical and microfluidic functions can be implemented in these micro-structures, paving the way to a wide range of applications in various industrial fields [2]. In 2012, CSEM finalized the opto-mechatronic integration of the overall system, developed the controller to operate the system, implemented the graphical user interface, calibrated the machine, conducted several tests and edited the user manual. The calibration procedure is a two steps process. In a first step, a SIOS interferometer was used to measure simultaneously the X & Y positions of the stage used to precisely position the glass sample below the femtolaser beam. Then, the corresponding error mapping table was derived. After this first calibration step, the positioning error was reduced from 100 m down to 4 m on the full 80x80 mm scanning range. The second calibration step consisted in printing pre-defined patterns on glass samples. The printed patterns were then measured under a precision microscope. Figure 1 shows one of the first patterns obtained after a preliminary test. The lines exhibit small oscillations, especially visible in the diagonal line. The cause of these small oscillations has been identified: the focusing stage, which holds the objective, had a low rigidity resulting in a low natural frequency (65 Hz). After a careful redesign of the focusing stage, its natural frequency was pushed up to 200 Hz to reach the specifications and suppress all oscillations while printing.

Figure 2 shows the FEMTOPRINT logo printed on a glass sample and offered to the visitors of the First European Innovation Convention in Brussels where the machine was presented during 2012.

Figure 2: Printed FEMTOPRINT logo

After the system integration and calibration with final functional tests, the prototype was delivered to the partner AlphaNov in Bordeaux. AlphaNov is now in charge of validating the printer performance through the realisation of three demonstrators. Early in 2013, the prototype was shipped to San Francisco to be exposed at Photonics West on the booth of our partner Amplitude System (Figure 3).

Figure 3: The FEMTOPRINT prototype at Photonics West

The project has raised great interest within the research community. Its great flexibility allows fast prototyping of 3D microsystems and photonics devices and opens new possibilities for advanced research and high tech products. A start-up company is being envisaged to be founded by the FEMTOPRINT consortium participants. This work is funded by the European Commission, the Swiss Confederation and the Canton of Neuchtel. CSEM thanks them for their support.
[1]

[2]

M. Beresna, M. Geceviius, P. G. Kazansky, T. Taylor, A. V. Kavokin. "Exciton mediated self-organization in glass driven by ultrashort light pulses", Applied Physics Letters, Vol. 101, 2012, 053120 A. Schaap, T. Rohrlack, Y. Bellouard. "Lab on a chip technologies for algae detection", Journal of Biophotonics, Vol. 5, (2012), 661-672

Figure 1: Printed pattern on a glass sample (50 m grid)

63

Configurable Slit Mask Contributions to KECK-MOSFIRE First Light


P. Spanoudakis, L. Lisowski, E. Onillon, P. Schwab
After the integration of the cryogenic slit-mask mechanism (CSU) in the Multi-Object Spectrometer (MOSFIRE) in 2009, the instrument was intensively tested during 2011 and in February 2012 delivered to the Keck Telescope. During commissioning, CSEM provided technical support and in April 2012 first light was successfully obtained.

The Multi-Object Spectrometer for Infra-Red Exploration (MOSFIRE) instrument was delivered to the Keck telescope in Hawaii on February 2012. It provides Near Infrared (NIR) multi-object spectroscopy over a field of view of 6.1'x6.1' (arcmin) using a reconfigurable mask developed by CSEM that allows the formation of 46 optical slits in a 267x267 mm2 field of view. The Configuration Slit Mask Unit (CSU) was delivered to Caltech for integration in the instrument back in 2009. Since then an extensive test campaign was carried out that involved a series of cryogenic cool-downs (down to 120 K) to verify the performance of the instrument and its critical subsystems. Intensive lab testing with the CSU showed typical masking bar positioning accuracy over the spectroscopic field of 6 m, easily exceeding the accuracy requirement.

One of the first images taken by MOSFIRE was a mask on stars in NGC5053, a globular cluster 53,500 light-years from the earth. From the sky image, the celestial objects are selected by the astronomer and a mask is configured in order to mask out unwanted light and objects. The CSU aligns the bars corresponding to the programmed mask and a spectroscopic image is taken by the infra-red detector.

Figure 4: Full sky image of stars (left), slit mask allows light of selected objects through to the detector (right)

Figure 1: CSU mounted at the top of the MOSFIRE instrument during integration at Caltech

In early 2012, the MOSFIRE instrument was transported from Los Angeles to Hawaii by boat followed by ground transport to the summit of Mauna Kea at 4200 m.

Figure 5: Spectra of selected objects

The MOSFIRE instrument has successfully observations with the main objectives to: take spectra of objects and not only pictures study distant objects that are very faint

started

study the first galaxies and stars that formed the universe

Figure 2: MOSFIRE lifted by crane out of laboratory (left), transport by truck to summit (right)

Figure 6: W. M. Keck Observatory on summit of Mauna Kea, Hawaii W.M. Keck Observatory

MOSFIRE is a joint project between Caltech and the University of California. This work is funded by CARA (California Association for Research in Astronomy). CSEM thanks them for their support.
Figure 3: MOSFIRE installation on platform of Keck 1

64

Spare Parts for the NASA-DLR SOFIA Telescope Secondary Mirror Mechanism
I. Kjelberg, L. Lisowski, P. Schwab, S. Droz, L. Giriens, J. Bennes
A decade after having delivered the Secondary Mirror Steering Mechanism (SMM) for the NASA-DLR SOFIA airborne infrared (IR) astronomical telescope a tip/tilt chopper and hexapod positioning head, all mechanics, electronics and software, CSEM has been mandated to provide spare parts, including the renewal of a full spare SMM mechanics and related electronics, plug compatible with the first version. This has led to intense activities to update the design to the modern CAD tools, to identify the obsolescence of the original electronics and compatible electronic boards and components. It is to be noted that this activity is providing challenging work to the local sub-contractors specialized in precision mechanics.

mirror, must be able to compensate for static thermal contraction of the telescope structure at these low temperatures and to provide the desired tip/tilt chop motion at ultimate precision. This chopping motion is done continuously up to 20 times per second for several hours of observations. Finally the mechanism is also designed to provide active line of sight control, to compensate for airplane vibration due to turbulences.
Figure 1: The NASA - DLR SOFIA Airborne Telescope

The SOFIA Airborne Telescope [1] had its official first light in May 2010 after many years of development, integration, tests and not to say airplane rebuild and adaptations. This 2.7 meter infrared telescope is mounted in a modified Boeing 747 SP airplane, and allows for direct infrared observations from the plane flying typically at 10 to 14 km altitude. A full retractable panel, on the side of the plane structure, opens up to allow direct observation of the deep sky, allowing for direct IR observations, otherwise only possible from the Hubble space telescope. The humidity and absorption of the atmosphere block these interesting wavelengths even on earths highest mount tops. The only way today, to catch the signature from biological and carbon rich molecules, coming from deep space, is from a satellite telescope, or from SOFIA.

Figure 3: During SMM integration on the telescope in 2004 at Waco

CSEM delivered the original SMM mechanism, drive electronics and control software back in 2003. Now has come the time to provide spare parts, as well as a full second spare SMM and electronics, plug compatible with the original delivery. The main issue for CSEM is the obsolescence of most of the commercial electronics boards, the identification of new components and the related implications and required changes on the electronics and to the software. On the mechanics side, the preparations of the high quality materials have changed, as well as the software for CAD treatment and CNC machining (CAM) requiring rebuilding and detailed verifications. Presently, CSEM is ready to start manufacturing (machining, validation, surface treatments etc.) of the new parts, sub-contracted to the local precision mechanics industry, before being assembled, integrated and tested by CSEM. This will still take at least two years. The delivery also includes the custom made linear actuators, designed to operate with <0.5m backlash at the operational cryo temperature. The SMM update is done in tight collaboration with the Deutsches SOFIA Institut at the University of Stuttgart, and is supported by the Deutsche Luft- und Raumfahrtgesellschaft (DLR).
[1]

Figure 2: SMM with final SiC mirror during tests at CSEM in 2002

Very special technologies are required to survive at these altitudes, also for the delicate instruments on the telescope. The ambient temperature is below -55C, the airplane vibrations and motion must be compensated for with ultimate precision. Furthermore, for IR observation, the image sensors must constantly be alternating (chop) between the stars and the neighbor background, to perform differential thermal measurements. This implies that the secondary Mirror Mechanism (SMM), controlling precisely the position of the M2

www.dsi.uni-stuttgart.de

65

Miniaturized 3D Imaging Device for Space Applications


V. Mitev, J. Haesler, S. Lani, B. Schaffer, P.-F. Redi, S. Beer, H.-R. Graf, A. Pollini
This article presents the project (Miniaturized Imaging LiDAR Systems) MILS, an activity funded by the European Space Agency through its Basic Technology Research Program (TRP), started back in 2011 for 18 months. The objective of the activity is to develop, demonstrate and validate novel technologies for the miniaturization of imaging LiDAR technology leading to its use in future ESA space exploration missions.

The smooth landing of the Curiosity Rover on Mars in August 2012 with a pin-point precision never previously achieved is a remarkable example of what can be accomplished by autonomous exploration space crafts. In the near future, several planned European and international exploration missions (Mars Sample Return, Marco Polo-B, Osirix-Rex, etc.) will also include a phase of controlled descent and softlanding with even higher precision requirements. The success of these missions stands largely in the ability to perform Terrain Relative Navigation (TRN), surface Hazard Detection and Avoidance (HDA) and Hazard Relative Navigation (HRN) and to land softly and precisely in areas pockmarked by craters, crevasses and boulders. The Miniaturized Imaging LiDAR Systems (MILS) project is a contribution to maintain the autonomy of Europe for space exploration. It targets the development, the demonstration and the validation of a vision sensor based on Light Detection and Ranging Techniques (LiDAR). Vision sensors receive currently a high attention to replace in the future the RADAR sensor used for the Curiosity controlled descent and landing. They provide an extended set of functionalities to navigation systems allowing TRN and HRN in addition to the provision of altitude, attitude and velocity. The targeted space applications for this sensor architecture are not only the controlled descent and landing but also applications such as in-orbit rendez-vous, rover navigation, and the autonomous removal of space debris orbiting the Earth. This last application represents a critical environmental challenge for the international community in the future.

breadboard. In addition, CSEM provides two essential building-blocks: an in-pixel photo-demodulation time-of-flight (TOF) detector array a micro-opto-electro-mechanical system (MOEMS) magnetic mirror

Figure 2: Detector array (left) and MOEMS magnetic mirror (right)

These key building-blocks were originally developed with other applications in mind (e.g. fluorescence imaging microscopy). As such their characteristics need to be optimized for LiDAR systems. The detector array is one of the two essential parts of a flash imaging LiDAR. The other is the illumination head. The detectors used in flash imaging LiDAR architectures are able to measure the TOF of photons hitting the pixels of the array. Such types of detector capture two images in one snapshot: an intensity image (as for any other detector used in a standard camera) and a distance image. In this way three dimensional images of the scene of interest are created. The mirror is used in the illumination head. It enables directing the laser beam in various directions. While the flash imaging LiDAR technology is so far essentially considered in the frame of space operation, it has a high potential for terrestrial applications as well. This vision sensor architecture generating three-dimensional snapshot images of the scene of interest will have a strong impact in various fields. Such sensors are for example considered for the surveillance of national borders due to their unique capability of seeing through foliage or camouflage where they are part of the payload of unmanned aerial vehicles (UAV). The capability of generating in real-time 3D images of the environment it is also of interest to drive autonomously cars and trucks in urban environments or on off-road terrains [1].

Figure 1: MILS demonstration breadboard

In Europe, MILS is a pioneer activity allowing European actors lead by CSEM to collaborate in the development and assessment of novel technologies used as building-blocks for a miniaturized flash imaging LiDAR. The consortium includes: the Fondazione Bruno Kessler, EADS Astrium SAS Toulouse, the Laser Centre of Hannover and EPFL. The technical contributions of CSEM as a coordinator of the project are the overall system design, the system operation simulation and the commissioning of the demonstration 66

2011 and 2012 were dedicated to the detailed design, the assembly and the assessment of the demonstrator. The project will be achieved during the first semester of 2013 by defining a roadmap depicting the steps to go through to be in position to build miniaturized flash imaging LiDAR flying models.
[1]

See for example DARPA grand challenge and urban challenge videos.

Ultrafast Monolithic Blue Laser Diode for Biomedical Applications


D. L. Boiko, X. Zeng, T. Stadelmann, S. Grossmann, A. Hoogerwerf, N. Gardjean , U.T. Schwarz
In the frame of the European FET-Open project FEMTOBLUE, CSEM has developed an innovative technology for ultrafast semiconductor laser diode devices producing ultra-short optical pulses in the blue and violet spectral ranges.

Biomedical diagnostics and next-generation optical data storage require ultrafast bursts of blue and violet laser emissions. Complex, cumbersome and bulky Ti:Sapphire lasers are providing these picosecond pulses today. The GaN laser developed in the frame of the European Future and Emerging Technologies (FET) project FEMTOBLUE [1] with the aim to be used in portable devices in the future is simpler and cheaper also the spectral range of wide bandgap GaN-based laser is in a perfect match for the absorption spectrum of many organic components. It has several key advantages over the incumbent Ti:Sapphire laser, including low cost, small size and maintenance-free operation. These characteristics enable the GaN laser to be the first portable source of blueviolet ultrashort pulses, opening up the opportunity for a portable, time-resolved fluorescence measurement system to be placed at the point of care for biomedical diagnostics. FEMTOBLUE development of ultrafast GaN lasers is not the only work in this field. A Japanese collaboration between Sony Corporation and Tohoku Universitys New Industry Creation Hatchery Center has recently reported the output of 3 ps mode-locked pulses with peak power of 100 W at 1 GHz repetition rate from a Master Oscillator Power Amplifier (MOPA) system with total length of few tens of centimetres [2]. The FEMTOBLUE technology demonstrator has reached similar pulses in a monolithic 800 m long GaN chip, driving it to produce bursts of superfluorescence (SF), also known as Dicke superradiance [3]. Several InGaN/GaN/AlGaN multiple quantum well (QW) heterostructure and multi-section cavity designs have been numerically simulated and realized before the optimal configuration was reached. Separately contacted gain and absorber sections were defined in the monolithic index-guided cavity using standard processing techniques. Figure 1 depicts the schematics and images of the best cavity design and driving conditions for SF regime. The active region composition and the cavity drastically differ from GaN lasers used in Blu-ray data storage devices.

Figure 1c. A saturable electro-absorber is placed at the middle of the cavity in order to prevent lasing. At high negative bias (-20V) applied to the middle section, solitary SF pulses are produced in the pulseon-demand mode within a narrow transient region between amplified spontaneous emission (ASE) and Q-switched lasing. Figure 2 displays several characteristic features of the output optical pulses recorded on a single-shot streak camera with 2 ps resolution. The spectro-chronogram in Figure 2a shows the deconvolved pulse with a width below 1.1 ps. The estimated number of injected electron-hole pairs in InGaN/InGaN QWs is about 8109. An overexposed spectro-chronogram in Figure 2b indicates that the majority of carriers recombine, contributing to a single SF burst. The absence of blue-shifted ASE after SF burst confirms the cooperative character of recombination. The estimated peak power is 70 W.

Figure 2: a) SR pulse captured on a single-shot streak camera with 2 ps resolution. Deconvolved pulse width is 1.1 ps; b) overexposed spectro-chronogram showing no spontaneous emission after SR pulse

In other devices with different cavity geometry and QW composition, the FEMTOBLUE consortium reached generation of ultrashort mode-locked pulses in the passive regime (peak power 0.3 W @ 3ps and 41 GHz) and hybrid mode-locking regime (0.07W @ 4 ps and 29 GHz ). The project partners of the FET-Open project FEMTOBLUE are EPFL, FRAUNHOFER IAF, TUB, UCAM and LPI. This work was funded by the European Commission, the SFOTE, the Canton of Neuchtel, and the Cantons of Central Switzerland. CSEM thanks them for their support.

Figure 1: a) Cavity design and driving conditions; b) functional tests of fabricated lasers; c) delivered components for final performance tests

[1] [2] [3]

Lasers implemented in Group-III nitride alloys exhibit high voltage drops across the structure and are subjected to severe thermal loading. The fabricated devices enable efficient thermal heat sinking when two gain sections are driven by 10 ns current pulses at 1A amplitude as shown in

EPFL Ecole Polytechnique Fdrale de Lausanne, Switzerland Fraunhofer Institute for Applied Solid State Physics IAF, Germany Project web page http://femtoblue.epfl.ch/ R. Koda, et al, Appl. Phys. Lett. 97, (2010), 021101 D. L. Boiko, P. P. Vasilev, Optics Express 20, (2012), 9501

67

Full Stabilization of Mode-locked Femtosecond Laser


S. Kundermann, E. Portuondo-Campa, J. Benns, S. Lecomte
A multi-mode diode pumped solid-state passively mode-locked laser has been fully stabilized regarding its degrees of freedom which are the repetition rate and the carrier envelope offset frequency.

Since their discovery in the early 1980s, pulsed femtosecond lasers have conquered numerous technical and scientific applications including ultrafast coherent phenomena, spectroscopy, surgery, and even machining. In the late 1990s the use of femtosecond lasers as gears between optical and radiofrequencies and stable frequency normal were discovered [ 1 ]. The lasers can serve to measure optical frequencies, which are in a frequency range of hundreds of terahertz (1014 Hz) with a precision of a few microhertz. In order to achieve such a performance, the stabilization and control of all degrees of freedom of the femtosecond lasers is needed. These degrees of freedom are their repetition rate (number of laser pulses per second) and the so-called carrier envelope offset frequency. Actually a femtosecond laser is a laser, which is composed of thousands of optical frequencies, which are all equally spaced by the amount of the repetition rate frequency (the laser used about 90 MHz, see Figure 1, frep). These optical modes are locked via a mode locking mechanism, which makes them all oscillate in a synchronized way enabling the pulsed operation of the laser. A typical spectrum of such a laser is shown in Figure 1.
fCEO frep
}

Figure 1). The doubled light has also a wavelength of about 700 nm and is mixed with the 700 nm light directly coming out of the fiber (blue lines). This is done by shining the light on a photodiode, which detects the frequency difference of the spectral lines of the two 700 nm beams (see right magnified box). Actually this frequency difference corresponds to fCEO as indicated in Figure 1. fCEO is controlled by changing the current of the pump diode and similar to the case of the repetition rate, a servo loop controls the pump diode current. Stability measurements for the repetition rate and fCEO are represented in the Allan deviation plot in Figure 2. The repetition rate has a stability of 4*10-10 at 1 second and drifts when the laser is not locked (indicated by the black dotted curve slightly increasing with measurement time). When frep is locked (black solid curve), stability at 1 second is 8*10-13 with the stability descending by one order of magnitude per order of magnitude in time indicating that frep remains constant. The corresponding curves for fCEO are indicated in red. The free running fCEO has a stability of 8*10-5 and drifts. When fCEO is stabilized, stability of fCEO at 1 second is increased by almost six orders of magnitude to 2*10-10.
1E-03 1E-04 1E-05 1E-06 1E-07 1E-08 1E-09 1E-10 1E-11 1E-12 1E-13 1E-14 1E-15 1 10

fCEO

Power

100 MHz 215 THz

300 THz Frequency

430 THz

Figure 1: Schematic representation of the optical spectrum of a femtosecond laser and a supercontinuum

Allan Deviation

frep

frep free running frep locked fCEO free running fCEO locked

laser @ 1054 nm

700 nm

The modes of the laser have a relative intensity with an envelope corresponding to a Gaussian or similar distribution, which determines the pulse duration and temporal shape of the laser. Imagine spanning the frequency lines corresponding to the modes from the optical frequency of the laser (in case about 300 THz) down to zero frequency, the nearest line to zero frequency will typically have a frequency between zero and half the repetition rate (see left magnified box in Figure 1). This frequency is called carrier envelope offset frequency (fCEO, see also Figure 1). The stabilization of the repetition rate is done by measuring the repetition rate with a rapid photodiode and by acting on the length of the laser cavity (usually using piezo actuators) with a servo control loop. The detection of fCEO is more complex. To detect it, the spectrum of the laser (model GLX-200 from Time-Bandiwdth Products) centered at 1,055 nm, is broadened in a highly non-linear fiber and finally ranges from the visible red around 700 nm to about 1400 nm. The light with the long wavelength (1400 nm, red lines) is then frequency doubled in a non-linear crystal (red dotted lines in 68

1400 nm

Tau (s)

100

Figure 2: Allan deviation of frep and fCEO

Even if there is progress in the obtained stability of the laser degrees of freedom, there is still a need to improve the frequency stability of fCEO to achieve state of the art frequency comb stability [ 2 ] due to unexpected detrimental effects and which are linked to the use of the multimode pump diode. The present work has been funded by the CTI in collaboration with the Swiss industrial partner Time-Bandwidth Products.
[1]

[2]

Theodor W. Hnsch, Nobel Lecture: Passion for precision, Rev. Mod. Phys. 78 (2006) 1297 S. A. Meyer, J. A. Squier, S. A. Diddams, Diode-pumped Yb:KYW femtosecond laser frequency comb with stabilized carrier-envelope offset frequency, Eur. Phys. J. D 48, 19-26 (2008)

Automated Fault Detection Using Deep Belief Networks for Quality Inspection
J. Sun, P. Glocker
Acoustic and vibration inspection of electro-mechanical components and systems is an important tool for reliable automated online inspection as well as post-process production quality assurance. Furthermore, vibration inspection is essential for early failure detection in critical systems. A novel automated fault detection method, named CSEM Tilear, based on Deep Belief Network (DBN) using vibration signals is proposed.

The Deep Belief Network (DBN) is a multi-layer generative neural network model. DBNs are usually constructed by stacking Restricted Boltzmann Machine (RBM) layers. With a hierarchical structure, DBNs learn a probabilistic generative model that captures the statistical structure of the input data. Trained in a greedy layer-wise fashion, DBNs perform fast inference and extract high-level representations of the input data. The core of Tilear [ 1 ] is an auto-encoder constructed by unfolding the trained RBM layers. Instead of extracting highlevel features for direct discrimination, this auto-encoder tries to reproduce the inputs from the extracted high-level features as closely as possible. Taking this advantage, Tilear is structured in two parts: a training part and a decision-making element. In the training phase, the DBN auto-encoder is trained only with informative features from good samples. In our case these informative features are extracted from preprocessed vibration signals of good electromotors, which enable the trained DBN auto-encoder to only reconstruct good electromotor vibration signal features. In the decision-making part, comparing the recorded signal from a test electromotor and the reconstructed signal from the trained DBN autoencoder, enables measurement of how well a recording from a test electromotor matches the DBN model learned from a good electromotor. A reliable decision is then made based on the difference.

a) Good electromotor sample G72b from Database 1

b) Defective electromotor sample B5b from Database 1 Figure 2: Original (left) and reconstructed (right) vibration signal spectrograms of electromotors

Blocks in the reconstructed spectrogram represent the area where a reconstruction error occurs. The darker it is, the higher the reconstruction error is. It is obvious that the reconstructed spectrogram of G72b has fewer marked areas than that of B5b, which enables us to sort out the defective electromotor B5b. The evaluation of the detection performance of the Tilear for the whole database is shown in the following picture:

Figure 4: Experiment results of Tileye for Database 1

Figure 1: Vibration Signal Acquisition for the electromotor sample with attached accelerometer

A vibration signal database was built through two onsite data acquisition sessions that included more than 100 electromotors directly taken from the production line. The vibration data was acquired using an accelerometer that was directly attached to the electromotor samples (see Figure 1) with a sampling rate of 51.2 kHz. The feasibility of Tilear was demonstrated by conducting experiments with acquired databases: Tilear was first trained with the extracted vibration signal features (spectrogram) of the good electromotor samples. Once trained, Tilear was tested on the entire dataset of the database. The original and reconstructed vibration signal spectrograms of both good and bad electromotor samples are shown in Figure 2.

The left figure displays the score distribution of the samples in database 1. The green part stands for the scores of the good electromotor samples, while the red part for those of the bad ones. The clear deep gap between these two parts demonstrates ability of Tilear to separate the bad ones from the good ones. The right figure (see Figure 2) is the Receiving Operating Curve. A high Area Under Curve (AUC) value (0.962) proves its good stable performance for anomaly detection. Additionally, performance of Tilear on the acquired database was compared with other fault detection techniques, including support vector machine, and industrially used methods. First results indicate that Tilear exceeds the results of the current industrial state of art systems. Future work of this project will focus on optimizing the model Tilear, and exploring the online learning ability of Tilear.
[1]

J. Sun, et al., Generative Models of Acoustic Systems for Automatic Quality Control, CSEM Scientific and Technical Report (2011), 66

69

Lightweight Photovoltaic Cleaning Robot Concept


P. Glocker, S. Widmer, M. della Torre, M. De Angelis
In collaboration with the HSLU Lucerne a working prototype of a solar panel cleaning robot was developed. This lightweight device clears photovoltaic panels from pollution like dust, dirt and leaves, which decreases the efficiency of its electricity generating ability. The innovative combination of rotating brushes for locomotion and cleaning as well as the vacuum generating ventilator outperforms already existing devices by production cost, autonomy and maximum permitted panel inclination.

Photovoltaic panels are exposed to harsh environmental conditions: dust, debris and even leaves can cover their surfaces and highly degrade their efficiency. Especially photovoltaic installations with small inclination angles (less than 30) suffer from pollution in the absence of the rain natural cleaning. In most cases, solar panels are installed on roofs, which are very difficult to reach and make their manual cleaning dangerous. In collaboration with the (Lucerne University of Applied Sciences and Arts) CHSLU the concept of a lightweight robot was designed with the objective to clean solar panels autonomously.

The current state of the project is a demonstrator to prove the concept and to verify targeted specifications: Production costs less than CHF 100. Weight of the robot less than 400 grams Solar panel inclination angle of at least 25 Ability to cross panel frames (gaps of at least 20 mm) to reach neighboring panels with high reliability The cleaning procedure does not degrade the panel The next steps are the implementation of the autonomous controller with additional proximity sensors, development of a water resistant prototype and design optimization in view of a future mass production.

Figure 1: Robot on the testing bench

The innovative main actuation principle consists of three rotating brushes which serve two functions in parallel: locomotion and cleaning. Only one motor is required to actuate the three brushes and an additional servo motor is used for steering. A vacuum fan increases the attachment to the surface and exerts a force on the brushes for an efficient cleaning. Due to the lightweight design, the robot is able to navigate on panels which could be inclined up to 30 and it is able to cross mounting frames and small gaps to reach other panels. The robot is controlled and managed by a microcontroller board called Lisa-M. An (Inertial Measurement Unit) IMU is directly integrated on the controller board. This IMU contains a 3 axis gyroscope, a 3 axis accelerometer, a 3 axis magnet sensor and an onboard pressure sensor. These sensors enable an accurate determination of the state and are used for the path planning tasks. The robot will autonomously operate on roof tops which are unreachable for user. To provide status feedback for the owners, a bidirectional wireless communication with a ground station was integrated.

Figure 2: Possible path on a photovoltaic installation

In parallel to the ongoing development, industrial partners have been contacted and future industrialization steps discussed.

70

Liquid Bridge Control with Image Processing


P. Glocker, P. Schmid, M. Hchemer
For the fully automated control of a liquid bridge between two capillaries with a diameter of 150 m, a self-learning image processing algorithm was developed to detect different liquid states and positions in a capillary gap sampler. The sampler has potential in high-throughput sample analysis applications where samples are only available in very limited amounts. Characterization of the liquid bridge as a new microfluidic building block showed a miniaturization-friendly behavior based on self-stabilization and which opens the door for further reduction of sample volumes.

Especially for the pharmaceutical industry new methods are required to reduce the volume of samples for analytical tests. Today, micro-capillaries are already standard in the pharmaceutical industry and are used to feed samples to different instruments. Typically, the capillaries have an outside diameter of 150 m and an inner diameter of 20 m. The polyimide coating of these fused silica capillaries prevents contact with organic solvents, acids or alkaline solutions on the outside. If such a micro-capillary is broken and pulled for about 500 m, a gap is generated to be used as a liquid bridge. This liquid bridge can now be used to collect samples from a probe as depicted in Figure 1.

Documentation is available in HTML format and directly accessible from within the web-application. This CSEM Tileye scanner software is used to learn features from capillary states of droplets which are relevant for the description of the liquid bridge. The image processing software labels the features by identity and location (see Figure 3). The location information is used to control the process of the liquid bridge by regulating the flow in the capillaries and pressure of the atmosphere.

Figure 1: Two 150 m capillaries system with probe dispenser

CSEM developed a vision algorithm to control the liquid bridge between the two capillaries (see Figure 1). The image processing software is based on CSEM generic algorithm Tileye [1], an image scanner software with automated model generation (see Figure 2) using machine learning techniques.

Figure 3: Labeled and localized features

The advantages of the CSEM Tileye Scanner machine learning algorithm for image processing are the following: Self-learning from features Automated model generation using neural network technologies Tolerating significant degrees of deformation, changes in contrast and lighting The liquid bridge sampler has considerable potential in highthroughput sample analysis applications where samples are only available in very limited amounts. Characterization of the liquid bridge as new microfluidic element showed a miniaturization-friendly behavior based on self-stabilization, which opens the door for further reduction of sample volumes for analytical instruments.
[1]

Figure 2: CSEM Tileye Scanner learning system

The scanner tool can be trained to find arbitrary features within an image by learning from labeled sample images. After training, the tool is able to localize similar features in new images while tolerating a significant degree of deformations, changes in contrast, lighting and many other visual properties. The tool is therefore well suited to localize regions of interest or measure relative geometrical properties of an object. The algorithms are implemented in C++ and embedded in a web application, i.e. the software is configured and controlled via a web browser. The software provides a built-in interface to control uEye cameras (www.ids-imaging.de). Furthermore, it can make use of modern CUDA GPU computing technology from NVidia to speed-up learning and processing.

R. Wyss, et al., Bio-inspired Quality Inspection, CSEM Scientific and Technical Report (2009), 102

71

A Customizable Pressure Strip for Rapid and in-situ Aerodynamics Testing


T. Burch, N. Schmid, S. Bitterli, S. Kathriner, D. Fengels, P. Sollberger , L. Kressebuch
A system to test the pressure strip aerodynamics has been developed, combining micro-fluidics with miniaturized pressure transducer arrays and low-power electronics. A film with integrated micro-channels guides pressure signals from arbitrary points on a three dimensional surface to the sensors and the processing electronics. The system consists of the following building block elements: pressure strip, pressure scanners, wireless base station and a PC software tool for configuration and data acquisition management.

The retrofit mounting of pressure strips onto test surfaces makes the system ideally suited for outdoor testing on objects in their natural environment, such as aeroplanes, cars, windturbines, sailing boats or even paragliders. The pressure strips are made of polymer films with integrated microchannels. The film thickness is chosen between 0.2 and 2 mm depending on the required pressure bandwidth, strip length and bending radius. A PC software tool with graphical user interface facilitates the system configuration and the measurement process. In order to eliminate electrical cables, a wireless sensor interface is included in the system. In 2012 the pressure strip system was complemented with a miniaturized wireless pressure scanner unit. Each scanner provides 16 differential pressure sensors, a 16 bit data acquisition chain and a wireless interface to the base station. Electronics and battery fit into a matchbox sized housing as shown on the left in Figure 1.

Pressure range Pressure accuracy Pressure resolution Pressure bandwidth Strip thickness Strip length Sensors per scanner unit Scanners running in parallel Dimensions pressure scanner Dimensions base station

10 kPa / 15 kPa 0.5 % full scale 1 Pa 2 100 Hz 0.2 mm 2 mm 100 mm to 3000 mm 16 6 65 x 44 x 13 mm 140 x 95 X 25 mm

Vibration-, temperature- and humidity tests showed that the pressure strips and the scanner units can cope with harsh environments. The system is functional over a temperature range of -40C to + 85C with 0 to 100% relative humidity and stands vibrations from 10 Hz to 2 kHz with up to 80 g. A pressure strip evaluation kit has been composed to minimize the entry barrier in applications. The kit includes all necessary hardware and software components to set up a basic aerodynamics test within a few minutes (Figure 3).

Figure 1: Pressure scanner (left), Pressure Strip with adapter (right)

It was demonstrated that the pressure strip significantly facilitates setup of complete aerodynamic measurement systems. A novel pressure strip adapter provides quick and reliable connection between scanner module and pressure strips. This makes the pressure strips reusable and interchangeable. A few standardized pressure strips in the aerodynamic tester toolbox and one scanner module are often sufficient to get first insights into the aerodynamic parameters of a new test object. For more sophisticated measurements CSEM designs and manufactures customer specific strips for completely seamless fitting to the test object (Figure 2). In the following the key figures of the testing system are summarized.

Figure 3: Pressure strip evaluation kit

This work was supported by the Micro Center Central Switzerland (MCCS) and HSLU Lucerne University of Applied Sciences and Arts. CSEM thanks them for their support.

HSLU Lucerne University of Applied Sciences and Arts, School of Engineering and Architecture

Figure 2: 3D pressure strip tailored to fit on the wing of a 1:10 commercial aircraft model

72

A Cost-effective Approach to Real-time Liquid Arrival Check in 96-well Microliter Plates


D. Fengels, R. Limacher, S. Bitterli, T. Burch, Q. Lai
A capacitive sensor underneath the multiwell plate measures the liquid volume inside a well, thereby verifying the arrival of a droplet. The setup of the sensor is such, that it can easily be expanded across the complete multiwell plate, i.e. real-time measurement of the liquid volume in each individual well becomes feasible.

Modern laboratory automation systems include fluid handling modules such as pipetting automates. They are deployed whenever different fluids must be dispensed into one or multiple wells where they react with the well content. Dispensing the correct doses is effectively controlled by flow or pressure sensors in the dispensing unit [1]. While measuring pressure within the dispensing head is an important tool for quality monitoring, it does not cover the entire process. A significant threat is cross contamination, which usually occurs on the pipette tip and well level. Hence, it cannot reliably be detected within the aspiration / dispensing unit. The proposed sensor will provide reliable means for liquid arrival monitoring (Liquid-Arrival-Check, LAC), in applications where dimension of the well-plates allow the placement of electrodes between wells (e.g. 96-multiwell plate). A key challenge is the cross talk between wells which has been addressed.

300 250

measured weight [ug]

irregular droplet distribution in well

linear range

200 150 100 50 0

0.2 0.15 0.1 measured capacitance with LAC module [pF]

0.25

Figure 2: Measurement results

Each measurement shown in Figure 2 above was performed within 70 ms. Therefore, the LAC can be used for an in-situ real-time measurement (with or without feedback control), allowing control bandwidths of approximately 14 Hz. Cross-talk is one of the most significant challenges for liquid level detection in multiwell plates based on capacitive principles. The field distributions around each well influence each other. Measurements of the influence of neighbouring wells have been carried out with the electrode and electronics configuration described above. Figure 3 below shows the measured influence of neighbouring wells when they were completely filled (~300 l). It was assumed that the worst case scenario with maximum crosstalk exists when all wells are full.

Figure 1: Implementation of LAC in a dispensing system

The presented LAC device measures a liquid level in a well between four carefully designed and shielded electrodes reaching into the well gaps of the multiwell plate from below (Figure 1). The electrodes are part of an array that enables measurement of liquid levels in all wells of the plate. Furthermore, it does not require modification of disposable multiwell plates and the solution is highly cost effective. In order to minimize cost of the electrode design, a manufacturing process was chosen that can be fully automated. The electronics of a first prototype were realized with commercial-off-the-shelf components that measure capacitances with a resolution of ~10aF and a dynamic range of 4pF. One concern is the influence of measurements by electrostatic charge of the polymer. However, tests showed no indication of any charge or influence. The risk is reduced by making an AC measurement to ignore any slow varying charge that may occur due to electrostatic charging or discharging. The system was characterized with de-ionized water. A simple calibration step allows the use of the LAC sensor for almost any liquid. The measurement accuracy in the linear region of Figure 2 is better than 1 % for a single well.

0.25

capacitance [pF]

0.2

0.15

0.1 0 10 20 40 30 time [s] 50 60 70

Figure 3: Cross-talk in multiwell plate dispensing system (300l wells)

The measurements show a C of approximately 170 fF at the main well (well to be measured). The C caused by cross-talk of neighbouring wells is approximately 12 fF. Thus, the maximum measurement error due to cross-talk is approximately 7 %. The influence of neighbouring wells is expected to decrease with decreasing liquid level in those wells.
[1]

H. F. Knapp, et al., CHAP Cell Handling and Analysis Platform, CSEM Scientific and Technical Report (2011), 14

73

Real-time Plastic Welding Process Monitoring Based on Dielectric Spectroscopy


R. Limacher, D. Fengels, M. Wannemacher, S. Ott, B. von Wyl
Thermoplastic polymer tarpaulins, sheets or geomembranes are used in many application areas such as roofing, civil engineering, tunneling and landfills and for which reliable and tight welding bonds are mandatory. CSEM developed in the frame of a CTI project with Leister Technologies AG a novel approach for the in-situ analysis of welding quality. By measuring the dielectric properties of the hot welding seam and interpreting the dipole relaxation behavior inside the thermoplastic material the welding process and the quality of the welding seam is determined.

In any application where polymer tarpaulins, sheets or geomembranes are deployed, it is of utmost importance to guarantee a tight and reliable welding seam. In some applications it may even become mandatory to guarantee a verifiable compliance with tightened environmental regulations. Up to now, real-time analysis of the welding seam was not possible. State of the art welding machines are only able to track and record certain parameters like temperature and welding speed. However, these parameters cannot be used to guarantee an error free welding result. Instead, extra mechanical or pneumatic leak tests of the finished welding seam are required. In the frame of a CTI project with Leister Technologies CSEM developed a novel approach for in-situ detection of defective welding spots. The presented solution combines a deviceintegrated sensor with a real-time analysis method based on dielectric spectroscopy. An enhancement of welding machines with this innovative built-in quality control will provide the customer with clear advantages over competitors by addressing increasing quality requirements in the most direct way: In-situ welding seam quality monitoring Reliable welding (enough melted substance) Traceable welding process Differentiation by innovation Dielectric spectroscopy measures the dielectric properties (complex permittivity) of a medium over a frequency range. It is based on the interaction of an external field with the electric dipole moments of the sample. Since certain polymer materials show characteristic dipole relaxation behavior for different material phase conditions, the material temperature value below the surface is extracted from the complex, frequency dependent permittivity. Figure 1 shows related characteristic, temperature dependent relaxation peaks in the frequency spectrum of PVC.

whether sufficient amounts of the polymer have actually melted. By integrating a corresponding sensor into a welding machine, bad welding spots are immediately detected and corrected as they are being produced. Furthermore, by combining extracted information with traditional parameters like welding speed and temperature, the resulting data is stored and allows complete documentation of the process results. In a closed loop configuration, a real-time control of the process parameters can be envisioned, therefore guaranteeing error free welding by taking human factors out of the equation.

Figure 2: Simulation model for the device-integrated electrode

First tests revealed that the frequency shifts of the relaxation peaks of polyethylene (PE) are not significant enough to allow robust measurements. Therefore the project focuses on polyvinyl chloride (PVC) based materials, which show better behavior. The permittivity is best measured by access to the material from both sides. However, in order to expand this analysis method to welding machines with only single side access to the material, unilateral electrodes have been developed. Extensive simulations (Figure 2) resulted in an optimized geometry for the electrodes. As a preliminary step, the new sensor was tested in a static heating environment.

Figure 3: Welding machine, Leister Technologies AG

In a further step, the sensor was integrated in a welding machine from Leister (illustrative Figure 3). First measurements indicate that quality monitoring of the welding seam is also possible with a unilateral sensor on a dynamic system. This work was funded partially by the Commission for Technology and Innovation (CTI). CSEM thanks them for their support.
Figure 1: Temperature dependency of the relaxation frequency
[1]

By using dielectric spectroscopy, the characteristic relaxation peaks can be analyzed in-situ [ 1 ], which enables a determination of welding seam quality in a volumetric view, i.e. 74

D. Fengels, et al., In-Situ Impedance Spectroscopy for Process Control and Quality Monitoring, CSEM Scientific and Technical Report (2011), 62

Energy Flux Optimization in Future Smart Buildings


E. Onillon, P. Theurillat, C. Meier, L. Lisowski, Y. Stauffer
The steady increase of electrical power demand is observed in parallel to the increasing availability of alternative green energy solutions. The Nano-Tera GreenPower concept aims at controlling the energy flow in a smart house that is equipped with photovoltaic solar panels, energy storage systems and a hydrogen car for green mobility. The goal of the project is two-fold, on one hand relieving the electric grid and on the other hand realizing energy savings of the global eco-system. The GreenPower concept has been thoroughly validated in simulation and is now being tested on CSEMs so-called Villa-office building in Neuchtel.

An environmentally friendly transportation system is of paramount importance for the decrease of emission of greenhouse gases to the environment. Belenos Clean Power (BCP) has been created as a Holding company whose aim is to accelerate the necessary revolution in clean energy production and consumption using solar energy, converting and storing it in the form of hydrogen and oxygen for mobility and other purposes. For the first time at national level, this initiative is considering green mobility as a part of the entire energy chain. The concept proposed by BCP, which is based on domestic photovoltaic electricity generation combined with green mobility based on a hydrogen-powered electrical vehicle, is illustrated in the following figure.

power generation as well as needs of the user. Such models were developed for the photovoltaic panels, the domestic electricity usage as well as the power needs for the car. Simulations of an imaginary average house were done and reported [2]. The purpose of that simulation was to assess the validity of the cost function and to determine its constraints. Various simulation conditions were tested. In the reference case, no PV panels are present, i.e. all the energy comes from the grid. In the second case, PV panels are installed but no optimization process is done, i.e. PV energy is sold to the grid entirely. In the third and fourth cases, the GreenPower concept is deployed. In one case the house battery is used as an energy buffer from the grid, i.e. the battery can take energy from the grid and resell it later. It was shown that savings in the range of 65% could be achieved for this case. In the meantime, a small office building was selected as test site. It is equipped with 24 m2 of PV panels. The optimization software for the control station was ported from a Matlabbased PC application to a standalone controller. The system is currently being evaluated under real-life conditions.

Figure 1: Concept overview from the GreenPower project

The concept foresees a house that is equipped with solar panels as well as the required electronics to provide household electricity, e.g. an inverter. The electric vehicle is a fuel cell powered hybrid car with an electrolyzer system, which includes the electrolyzer, a compressor as well as gas storage for the vehicle energy production and local storage. As a second energy storage system a set of high-end batteries, which directly stores electrical energy, is also foreseen. The final piece of equipment is the control station, which can be viewed as the brain of these energy production and storage devices [1]. Within the GreenPower Nano-Tera project CSEM developed and implemented the energy management strategy. The control station allows the optimization of the energy flow distribution, which includes the locally stored energy. This is done by combining physical measurements with user and internet data (e.g. the weather forecast) for the global energy flux optimization. The optimisation of the energy flux aims to minimize the energy use and the associated costs via the preferred use of renewable energy. The optimization relies on a cost function that takes into account all energy fluxes within the building. The aim of the optimization is to provide for each time interval the amount of energy to be sent to the grid or to the various storage elements. Self-adaptive models are used to predict the future

Figure 2: Office building with PV and fuel cell car (left) and illustration of control station indication screen for power production (right)

The GreenPower project partners are Belenos Clean Power Holding, PSI and EPFL. This project was partly funded by the Swiss government via the Nano-Tera initiative. CSEM thanks them for their support.
[1]

[2]

Y. Stauffer, E. Onillon, L. Lisowski, C. Meier, P. Theurillat, B. Roustom, R. Marquis, A. Closset, A. Toth, The Greenpower house: from simulation to reality, Proc. International Conference on Clean Electrical Power, (2013) Y. Stauffer, E. Onillon, L. Lisowski, C. Meier, P. Theurillat, B. Roustom, G. Francecscutto, R. Marquis, A. Closset, A. Toth, Energy flux optimization in future buildings, Proc. Electrical Power Quality and Utilisation (2011)

75

Monitoring in Harsh Conditions for Predictive Maintenance


M. Correvon, J.-M. Koller, R. Gentsch, P. Pilloud
CSEM is currently designing a high data throughput monitoring system dedicated to the predictive maintenance of hydraulic penstock installations as first objective. The challenge is to detect cracks on the surface and below the surface by means of a magnetic probe based on distributed transmitting and receiving coils. In the long term the system is envisioned to have a direct connection with an autonomous robot that is able to record the location and characteristics of the cracks with high repeatability over the long term.

So far, hydraulic penstocks installations have not been monitored systematically for the detection of cracks. The welded areas where defects have been detected during the installation of the penstock section are typically monitored manually and on a regular basis. Areas under strong mechanical strain are also monitored manually. Parts of the Swiss hydroelectric economy, which are in charge of the exploitation and maintenance of the penstock installations, have an economical interest to run their installations as long as possible since their replacement is rather costly and time consuming. Based on the legal requirement, which require the operators of the penstock installations to prove the good health of their infrastructure, the development of autonomous inspection systems is desired. Visual inspection of the penstock is not possible because of the surface coating of the pipes. Only small areas can be inspected after removing the coating and thus just few points are monitored due to the time each measurement takes. A magnetic and automatic solution is explored in this research project. An Eddy-current non-destructive testing (NDT) inspection system generally measures the complex impedance of an array of coils (absolute mode) or the coupling between excitation coils and pickup coils (sendreceive mode). The high-end current probes tend to be extremely bulky (>10 kg). The goal of the described new design is to achieve the same functionality in a much smaller package, in order to be compatible with autonomous inspection robots. The envisioned instrument for non-destructive testing is based on the magnetic behavior of an area of distributed active and passive coils (e.g. a send-receive mode configuration) placed on a conductive surface. The complex impedance and the magnetic coupling are strongly dependent on the Eddy current circulating in the target. In addition, the depth of penetration has a relationship with the frequency of the excitation signal. In order to measure the impedance or the coupling of the probe coils, NDT systems include one signal generation unit, which usually generates a sine wave. The sine wave feeds the probe, and the output voltage of the probe is measured with a signal-processing block by lock-in detection at the excitation frequency. The lock-in block performs phase-sensitive detection, i.e., measures the in-phase and out-of-phase components of an input signal at the excitation frequency. It is useful for Eddy current NDT to perform this operation at several frequencies simultaneously. High-end instruments can typically perform lock-in demodulation at 4 frequencies on 4 to 8 channels simultaneously. In order to inspect the large area of the penstock in a fast way, the instrument has to be able to perform multi-frequency measurements on 8 channels in parallel. Larger arrays can be read-out using channel multiplexing. The instrument should embed two independent signal generation blocks: one to generate the excitation signal, 76

and the other to generate a compensation signal, which can be used to compensate the direct coupling between the excitation waveform and the output voltage of the probe. The main decision in terms of system architecture is between an analog and digital front end. Most of the Eddy current NDT instruments perform an analog quadrature demodulation in order to be able to operate at frequencies > 1MHz. However, the performance of current digital signal processing solutions and Analog-to-Digital Converters (ADC) is high enough to perform these functions fully digitally. As a short summary of the advantages and drawbacks of both methods it can be mentioned: Analog multiplication & low-pass filtering, followed by an ADC: Advantages: Low-frequency ADC can be used with wide input voltage range Drawback: Multi-frequency operation is more difficult to achieve, the reference signals have to be generated

Fully digital signal processing: Advantages: Multi-frequency operation relatively easy to achieve, parallel processing of several channels is possible on a single FPGA. The use of a FPGA enables the system reconfiguration without any hardware change Drawback: A high-performance ADC is necessary, both in terms of sampling rate and input range

Based on the above described drawbacks and advantages, a digital architecture combined with an analogue front-end electronics for the input signals shaping was selected for the project. The spectral frequency lines are defined in the range from 1 kHz to 2 MHz and the sampling frequency at 20 MHz. The data throughput, after signal processing and compression, is about 20 MByte/s and thus the amount of data to be stored and analysed is quite important. Besides this, an efficient user interface to display the processed results is also required. In the project the first prototypes of the electronics, which is based on three distinct cards, and the associated low-level device software were developed and successfully tested under laboratory conditions. In a next phase the full system will be deployed and tested under real conditions. This project, in collaboration with Sensima Inspection and Hydro Exploitation was funded by The ARK Energy, the foundation for the promotion of innovation in the energy domain of the canton Valais. CSEM thanks them for their support.

Load Profile Segmentation of Domestic Electricity Consumption


S. Arberet, S. Dasen, P. Renevey, A. Hutter
This contribution describes the results of the initial scoping study that was conducted to determine the feasibility and limitations of non-intrusive appliance monitoring for domestic applications, where the objective is the automatic separation of the electric consumption of the different appliances found in households from aggregated measures, e.g. obtained from the measurement of the total power consumption at the domestic electricity meter.

The importance of energy management has been increasing for several years due to ecological and economic factors. There is actually a strong political and economic willpower to manage efficiently the consumption of energy. Actions are taken at different levels (countries, states, towns and industries) to ensure an efficient use and management of energy. Unfortunately, people that are aware of the problem are relatively inexperienced to understand how they could become actors of this intelligent management of energy. The proposed approach represents an attempt to give sufficient information to the end-user to allow him to understand his energy consumption and to implement adequate behavioral changes to also manage it. The proposed approach focuses on the electrical energy consumption of households and represents a step in the direction of a better management of the ecological and economic impact. Note that the approach will not only benefit the end-user in the form of an economical advantage but also the energy suppliers by allowing a better understanding and a better planning of energy requirements and provision. The recent development of electricity metering devices (smartmeters) they can be used for other functions than that of ensuring a correct billing [1]. State-of-the-art devices are able to measure the instantaneous power consumption and can transmit information directly to the electricity suppliers. The availability of this information allows the development of signal-processing and data-mining algorithms enable an automatic analysis of the power consumption.

The objective of the proposed approach is to separate the power consumption of every electrical device present in the household from the total consumption measurements in order to provide to the end-user valuable information about his consumption and to improve it. Figure 1 depicts the proposed algorithm for the disaggregation of the electric loads based on the total consumption curve. The algorithm operates in different stages. The first stage consists in the detection of significant changes that are associated with the on and off switching of electric loads. The second stage matches on and off pairs and finally the last stage regroups the loads activity into predefined classes.

Figure 2: Typical result for the detection of the active period of two fridges base on the total current (black). Detected active intervals

Figure 2 illustrates a typical result for the detection of two fridges operating simultaneously. In order to obtain a quantitative evaluation of the performances of the developed algorithms, a simulation software has been implemented. This simulator is based on statistical models of the different electric loads and uses Monte-Carlo methods to generate power consumption curves. With these results the scoping study was successfully completed and further exploration is now being continued in a development project. The scoping study was carried out together with the HEVS at Sion. This work was funded by The ARK Energy, the foundation for the promotion of innovation in the energy domain of the canton Valais. CSEM thanks them for their support.
[1]

Figure 1: Illustration of the proposed algorithm

In the framework of a scoping study several households have been equipped with data-logging systems that record the electric-power consumption (current, active and reactive power of the three phases) continuously with a set of measures acquired every second. The recorded data are stored locally on the system and can be downloaded via an internet connection.

C. Laughman, K. Lee, R. Cox, S. Shaw, S. Leeb, L. Norford, P. Armstrong, Power Signature Analysis, Power and Energy Magazine, IEEE, Vol. 1, 2003, 56-63

77

Energy Management System at Domestic and District Level


E. Onillon, Y. Stauffer
CSEM takes part in the AMBASSADOR FP7 project, which is led by Schneider Electric and was launched in December 2012 for a 4 year duration. The project aims at developing energy flow management at district level by exploiting local energy production (electric and thermal) and by leveraging energy storage. In the AMBASSADOR concept, each building is turned into a flexible building that is able to establish in real time an energy contract with the so-called District Energy Management System, which coordinates the overall energy exchange in the district.

AMBASSADOR is a European project, which was launched in December 2012 and that will last for 48 months. The project is coordinated by Schneider Electric and the consortium is composed of 14 partners, all of whom are key players in the Energy Efficiency domain.

components of the system as well as adequate energy storage and production capacities is thought to be the ideal solution, especially for a large number of district users. The solution will overcome the cumbersome problems of individually customized but fixed (i.e. not self-adaptive) energy management solutions through its holistic approach. On the energy contracting level the AMBASSADOR concept foresees that each building will receive an adequate energy contract that is continuously updated by the district. The adaptation of this contract will rely on the optimization of a cost function [1], where the use of energy storage is exploited as main leverage. Novel building management systems will be proposed so as to reduce the carbon footprint of the buildings. A similar optimization will also be performed at district level, where all energy players (producers, consumers and storage) are to be considered in the energy balance in a holistic manner.

Figure 1: The AMBASSADOR consortium

The AMBASSADOR project aims at providing an answer to the following energy constraint: the worldwide energy consumption will double by 2050 while the CO2 emissions are to be divided by two, in order to avoid dramatic climatic changes. Cities today cover approximately 2% of the earth surface, but create 80% of the global CO2 emissions. It is globally expected that the world population living in cities will grow from 50% today to 70% by 2050. The AMBASSADOR project seeks to provide efficient energy management solutions for districts or cities that reduces the overall carbon footprint. In AMBASSADOR a novel energy management system is to be developed for use at building and district levels. The main idea is to leverage flexibility that is declared by each individual building and which originates from the underlying stochastic processes. These processes are evaluated and analyzed by adequate models and suitable parameters. The resulting information is processed at district level and an adequate feedback is provided to the generation and storage units as well as to the individual building management systems. In the framework of the project a variety of districts with different parameters, climate situations and various renewable energy production capacities will be analyzed in order to demonstrate the viability of the approach. A central element of the AMBASSADOR concept is its selflearning and adaptive systems components. The combination of these elements with an effective communication among all 78
Figure 2: District energy management

The AMBASSADOR concept will be deployed and tested on three test sites: in Chambry (France), in BedZed (UK) and in Lavrion (Greece) so as to show the system potential. This work is funded by the European Commission and CSEM thanks the Swiss Government for its support.
[1]

Y. Stauffer, et al., ''Energy flux optimization in future buildings'', IEE, EPQ4, 11th International Conference (2011)

Development of a Multi-parameter Probe for Monitoring of Neonates


D. Ferrario, J. Sol, C. Verjus, J.-A. Porchet, A. Falhi, O. Chtelat
Arterial oxygen saturation is one of the key parameters routinely monitored using pulse oximetry (SpO2) in neonatal intensive care units. However, available SpO2 probes for neonates are based on so-called transmission photoplethysmography (PPG) technique, limiting its use to body locations such as the limbs. To overcome this limitation, a novel multichannel reflective probe was developed, integrating ECG electrodes and respiration monitoring. After Swissmedic approval, the probe is currently being validated on neonatal patients at the University Hospital Zurich (UZH).

For preterm infants and severely ill newborns undergoing intensive or intermediate care, it is crucial to monitor their vital signs, in particular their cardiorespiratory function. Heart and respiratory rate are routinely monitored by electrocardiography (ECG) and impedance plethysmography (IPG) to assess correct breathing and cardiac activity. Additionally for more than 40 years, pulse oximetry (SpO2) has been used to monitor the amount of oxygen in blood and is even referred to as the fifth vital sign. However, most current SpO2 probes for neonates are based on transmission photoplethysmography (PPG) (Figure 1), which requires an additional sensor and limits the placement of it to the arms and legs of the neonate. Unfortunately, such a sensor position has several limitations. Firstly, newborns continuously move their limbs leading to movement artefacts and thus false alarms. And secondly, hands, forearms, feet and lower legs are preferred sites for insertion of peripheral and central catheters, thus complicating the work of the medical staff.
LED Photo-diode Absorbed & scattered light

Particular attention was taken about the materials in contact with the neonate: in order to avoid skin irritations sensor probes were encased in medical grade silicon. Even more, low adhesion non-sensitizing silicone gel was used to attach the probe to the skin, and stainless steel was used as as electro-conductive surface.
Photodiodes Accelerometer Rings for ECG and respiration monitoring Red & Infrared Leds

2 cm
Reflective SpO2 probe Second probe for ECG & Respiration

Figure 2: Multiparameter probe for neonate monitoring


Transmission pathway Reflection pathway

Figure 1: Transmission vs reflection photoplethysmography

To overcome the limitation of currently-used SpO2 probes, CSEM has developed an innovative SpO2 probe (Figure 2) based on reflective PPG. The new design is composed of two sensors allowing the monitoring of the following vital signs: Physiological parameter Heart rate Respiratory rate SpO2 Technology ECG IPG Reflective PPG

The novel probes were initially validated on healthy adults undergoing normobaric hypoxia (Figure 3). After successful validation and approval from the ethics committee and Swissmedic, a second validation is currently being performed on neonatal patients at the University Hospital Zurich (UZH).
100

95

90

SpO2 [bpm]

85

80

75

Reference SpO2 3 NEOSENSE SpO2 500 1000 1500

70 0

Time [heartbeats]

Figure 3: Example of a validation result on healthy adults

The use of transmission PPG theoretically enables the positioning of the sensor anywhere on the body. In addition to the potential new locations, the integration of ECG and respiration monitoring to the SpO2 probe, leads to a highly integrated miniaturized multi-parameter probe thuse freeing space on the neonate body and reducing considerably the number of connected cables.

This developed neonatal probe is expected to improve the quality of neonatal vital-sign monitoring, and to decrease the workload of NICU care givers. Last but not least, by reducing the amount of cabling to the baby and the occurrence of false alarms, is expected a greatly reduced parental anxiety. This research is being developed at CSEM in collaboration with the UZH in the frame of the NeoSense project, funded by the Nano-Tera program. CSEM thanks them for their support.

79

Wound Healing Monitoring System Integrating Plastic Optical Fibre Sensors


G. Voirin, D. Ferrario, J.-A. Porchet, E. Scolan, B. Schyrr, A. Falhi, L. Zhou, S. Pasche, J. Luprano
A system was developed for the remote monitoring of pH and metalloproteinase in a wound dressing composed of biosensing plastic optical fibres and a modular electronic system.

In the frame of the Nano-Tera project TecInTex [1], a system for monitoring several biomarkers in a wound was developed. The ultimate goal is to monitor the wound healing process remotely and without intervention. It is not only necessary to develop sensors compatible with the dressing format to be in contact with the wound but also to develop the electronic system that will have to be close to the patient and even be worn. The electronic system comprises an interface to the sensors, processes the signals to obtain relevant information, records the data that will be examined later and also sends the most relevant information to the physician in charge of the patient by a wireless link. A modular system was designed: it is composed of plastic optical fibre sensors, a sensor interface board that is adapted to the nature of the sensors and a main board comprising the microprocessor, memory and communication interfaces. After a skin injury, the wound healing process takes place and follows successive main phases: inflammation, proliferation and remodelling. During these wound healing phases, different biological processes occur and can be monitored by measuring the concentration of relevant biomarkers. This project focuses on two biomarkers: pH for inflammation status and matrix metalloproteinase (MMP) for the proliferation status. Therefore two types of fibres were developed for the biomarkers monitoring [ 2 ]. Biosensing optical fibres measure optical changes within a sensing layer chemically grafted around the fibre core. A light source and a detector are placed at both extremities of the fibres to detect the changes in absorption induced by the sensitive layer.

done by the analogue chain. For each wavelength and for no illumination (dark), a sample and hold circuit captures the voltage of the photodiode. The data are then processed in real time in the microcontroller to obtain the pH value and the protease activity. Communication with a remote computer is done via USB or via Bluetooth (BT) to either control the device or stream the data. In addition, to guarantee the integrity of the data when neither USB nor BT communication is available, all data are saved locally on a SD Card. The system is powered by a LiPolymer battery which is automatically recharged with the help of the USB link. Electronic components were packaged in the box shown on Figure 1. A user interface was developed in JAVA to operate the system from a remote computer. It communicates through Bluetooth and allows streaming the data in real time and starting or stopping the recording on the SD card. While data is streamed, it is displayed and recorded on the remote computer for further analysis. It is also possible to send the calibration values for each fibre. An example of the data recorded on three pH sensitive fibres is presented on Figure 2. a)
0.9 0.8

pH:
8 7

8.5 7.5 6.5 5.5 3 4.5 5 3.5 4 6

Optical signal A.U.

0.7 0.6 0.5 0.4 0.3

OF #3 OF #2 OF #1

20

Time [min]

40

60

Figure 2: Simultaneous measurement of different pH sensitive fibres

Optical connector

Light coupler

Electronics

Figure 1: Wound healing monitoring system

Because of the increasing health care cost and the ageing of the population, remote nursing of patients will become increasingly popular. In this perspective, a modular system for wound healing monitoring was developed. It allows easy adaptation to different sensors. After successful development for the measurement of pH and MMP, it is now being adapted for measurement of sensors integrated in underwear for a paraplegic in order to prevent the apparition of pressure ulcers. This work was done in the frame of the Nano-Tera program and CSEM thanks them for their support.
[1] [2]

The electronic system was developed to control 6 optical fibres simultaneously. The sensor interface board consists of an optical duplexer to inject two different wavelengths in the fibre and a photodiode to receive the transmitted signal through the fibre. At one wavelength, the absorption changes with pH or MMP concentration, the other is used as a reference. The main board controls the illumination and the measurement of the intensity of light at the output of the optical fibre. The microprocessor allows more flexibility in the type of illumination sequences that are adapted to each application. The measurement of the photodiode voltage is 80

www.nano-tera.ch/projects/69.ph B. Schyrr, E. Scolan, R. Afshar, S. Pasche, G. Voirin, Optical Fibre Functionalization for Measurement of pH and Matrix Metalloproteinases (MMPs) in Wound Dressing, this report page 52

Continuous Non-occlusive Estimation of Blood Pressure via a Chest Sensor


J. Sol, M. Proena
The clinical demand for technologies to monitor blood pressure (BP) in ambulatory scenarios with minimal use of inflation cuffs is strong: new generations of BP monitors are expected to be not only accurate, but also non-occlusive. During the past few years, CSEM has been extensively working on the development of a novel technology to estimate BP based on the so-called pulse wave velocity principle. Associated to a dedicated CSEM patent portfolio, the new technology has the potential to provide a first ever portable BP monitoring solution relying on wearable sensors placed on the chest. This scientific report highlights the working principle and main results of the novel chest sensor.

For more than one century, the non-invasive measurement of blood pressure has relied on the inflation of pneumatic cuffs around a limb, typically the upper arm [1]. In addition to being occlusive, and thus cumbersome, cuff-based methods provide only intermittent BP readings, i.e. every twenty minutes, hence impeding the suitable monitoring of short-term BP regulation mechanisms. Moreover, cuff-based methods may not yield representative BP during sleep as repeated inflations induce arousal reactions, leading to non-representative overestimated BP values. Therefore, the development of novel technologies that reduce the recurrent use of pneumatic cuffs is clearly justified. CSEM has recently introduced a novel concept of a BP monitor that can be fully integrated in a chest sensor. The sensor is based on the so-called pulse wave velocity principle [2]: the velocity at which a pressure pulse propagates along the arterial tree depends on the underlying mean arterial pressure (MAP). Accordingly, CSEM patent portfolio describes a sensor concept [3] that provides non-occlusive beat-by-beat estimations of (MAP) by measuring the pulse transit time (PTT) of arterial pressure pulses travelling from the ascending aorta towards the subcutaneous vasculature of the chest (Figure 1). A simple initial calibration procedure is required once via the use of a brachial inflation cuff.

Figure 2: Two examples of the performance of the BP chest sensor. Red curve depicts Mean Arterial Pressure (MAP) as estimated by the chest sensor. Light boxes comprise reference MAP 5 mmHg, and dark boxes reference MAP 10 mmHg

In a cohort of 15 healthy male subjects, a total of 462 simultaneous reference MAP and chest PTT readings were recorded. Each subject was recorded at three different days: on D, D+3 days and D+14 days. The cumulative percentage of MAP values provided by the chest sensor falling within a range of 5 mmHg compared to reference MAP readings was of 70%, 91% within 10 mmHg, and 98% within 15 mmHg. These results point to the fact that the chest sensor complies with the British Hypertension Society (BHS) requirements of Grade A BP monitors, when applied to MAP readings.

ICG: Impedance-Cardiogram ECG: Electro-Cardiogram PPG: Photo-Plethysmogram PEP: Pre-Ejection Period (T1) PAT: Pulse Arrival Time (T2) PTT: Pulse Transit Time BP: Blood Pressure Aortic-valve opening detection

Figure 3: Day-dependent evaluation of the BHS criteria for the novel chest sensor. Error plots depict mean and standard cumulative percentage of readings for all subjects enrolled in the study L. A. Geddes, Handbook of blood pressure measurement, Humana Press, 1991 J. Sol, et al., Ambulatory monitoring of the cardiovascular system: the role of Pulse Wave Velocity, I-Tech Education and Publishing, Vienna, ISBN 978-953-7619-57-1, 2010 J. Sol, Continuous non-invasive blood pressure estimation, ETHZ PhD dissertation No. 20093, Dr. Sc., November 2011

ICG

T1
PEP Initial calibration
[1]

ECG Pulse Arrival Time estimation PAT

PTT

PTT to BP conversion

Continuous BP

[2]

PPG

T2
[3]

Figure 1: Measurement strategy for the chest BP sensor. Continuous, non-occlusive and unsupervised BP values are estimated via three wearable technologies to measure ECG, ICG, and PPG

81

Novel Wrist-worn Device for Sleep Analysis


P. Renevey, J. Sol, M. Lemay, E. Muntan, P. Theurillat, O. Grossenbacher, M. Bertschi
Aiming at improving the comfort of subjects undergoing sleep analysis studies, a novel optical sensor worn at the wrist is presented. In collaboration with CHUV University Hospital of Lausanne, a polysomnographic survey involving 26 patients was performed. Accordingly, the novel sensor provided estimates of heart beat-to-beat intervals in agreement of those measured by an electrocardiogram.

Sleep is a critical determinant of health and well-being. While the incidence of sleep disorders is continuously increasing in modern societies, there is a clear demand for technologies to assess sleep-related parameters under ambulatory conditions. The gold-standard for sleep analysis is polysomnography [1]. Unfortunately, a complete polysomnographic measurement setup is nowadays too obtrusive and, therefore, not suitable for long-term analysis of night-sleep (Figure 1).

Figure 2: Illustrative example showing 100 heart beats of reference RR intervals (RRECG), and the associated RR intervals as estimated by the wrist device (RRPPG). Lower plot depicts the instantaneous difference between synchronous RR intervals Figure 1: A complete setup for polysomnographic studies (left) and in comparison a CSEM wrist worn sleep analysis device (right)

The analysis of the variability of heart beat-to-beat intervals (RR) in time and frequency domains (HRV) provides noninvasive information about the control of the autonomic nervous system (ANS) [ 2 ]. This control is directly related to different events occurring during night-sleep such as sleep stages, arousals and sleep fragmentation [ 3 ]. The current research at CSEM aims at assessing whether a CSEM patented wrist pulse monitor (Figure 2) is capable of providing RR interval estimates that are accurate enough to be further used in the estimation of HRV during night. Achieving this goal would represent a first step in the development of a revolutionary unobtrusive system for night monitoring. Note that the optical monitoring technology was originally developed by CSEM for the strapless estimation of heart-rate at the wrist during physical activities. The technology is based on the so-called Photo-Plethysmographic (PPG) technique. In 2012, CSEM included its novel wrist monitoring device in a study conducted in La Paz (Bolivia) by the University Hospital of Lausanne (CHUV). In total 26 subjects participated in the study (age: 48.114.4, BMI: 28.35.2, Indian). Data was recorded during the entire night without interfering with subjects. A total of 13991 minutes of data was recorded, containing a total number of 932948 heart beats. The RR intervals extracted from a reference electrocardiogram (ECG) signal and from the optical signals of the wrist device (PPG) where aligned and their differences were analyzed. Figure 2 illustrates 100 consecutive heart-beats, as extracted from the reference ECG device and the novel PPG wrist monitor.

The overall average error when comparing RRECG and RRPPG was of 0.05 17.96 ms. While a standard deviation of 10 ms is justified by the different PPG and ECG sampling frequencies, the remaining 8 ms is due to intrinsic PPGECG methodological differences. An early analysis has shown that the reported small differences do not significantly degrade HRV indicators: an additional on-going study is validating this finding. The resulting RR intervals validate the usability of a wrist worn device to perform heart rate variability analysis during the night. Even more, the fact that good agreement is obtained even under cardiovascular stress conditions, induced by chronic exposure to high altitude, indicates that the novel wrist device approach is robust against non-stationary cardiovascular states. The use of a comfortable, non-obtrusive monitoring device might in the future provide novel insights into cardiovascular regulation mechanisms occurring during sleep. This research is being developed at CSEM in collaboration with the University Hospital of Lausanne (CHUV) in the frame of an internal research program, funded by the SFOTE. CSEM thanks the Swiss Government for its support.
[1]

[2]

N. Butkov, L.-C. Teofilo, Fundamentals of Sleep Technology, endorsed by the American Association of Sleep Technologists (AAST), Lippincott Williams & Wilkins, 2007 J. Sztajzel, "Heart rate variability: a noninvasive electrocardiographic method to measure the autonomic nervous system." Swiss Medical Weekly 134 (2004), 514-522 M. H. Bonnet, D. L. Arand, "Heart rate variability: sleep stage, time of night, and arousal influences, " Electroencephalography and clinical neurophysiology, 102.5 (1997), 390-396

[3]

82

Reflective Thoracic Pulse Oximetry via Textile-integrated Flexible Sensors


M. Proena, J. Sol, C. Verjus, J.-M. Koller, A. De Sousa, J. Luprano
Arterial blood oxygen saturation is a vital parameter routinely monitored via pulse oximetry. The current use of transmission probes (e.g. fingertip or ear lobe probes) induces motion sensitivity and user discomfort for ambulatory monitoring. In order to overcome these limitations, a reflective multichannel thoracic oximeter is developed.

Pulse oximetry (SpO2) is a surrogate value for estimating in a non-invasive way the oxygen saturation in patients blood. Often referred to as the fifth vital sign, its monitoring is of paramount importance notably for neonates, patients undergoing unstable blood oxygenation (e.g. in intensive care units), or those encountering extreme situations (e.g. high altitude) or sleep-related disorders (apnoea). Pulse oximetry is usually measured in transmission mode, that is by injecting red and infrared light through a patients limb (usually a finger or an earlobe) and recovering the transmitted light via a photodiode. While this method offers the advantage of producing strong and clean photopletysmographic (PPG) signals, it suffers from being used in body locations (extremities) where large movements occur and induce massive motion artefacts (in particular when it comes to neonates). This is why reflective pulse oximetry is a valid alternative: reflective sensors are placed at locations where motions remain small, such as the chest. Unfortunately, body parts such as the chest present low blood perfusion, producing PPG signals with very low signal-to-noise ratios.

A dedicated shirt (from the company Clothing+, Finland) firmly maintains the optical sensors in contact with the irrigated tissues at the sternum region. The ECG is measured via two textile electrodes woven into the shirt. An innovative algorithm developed and patented at CSEM [ 1 ] exploits the cardiac information of the ECG to robustly extract the perfusion characteristics of the PPG signals. Further classification based on the computed perfusion indexes and their temporal variance enables the assessment of reliability indexes for each of the 3 optical channels, which are then combined accordingly to produce one single SpO2 estimation. The system has been tested on 7 healthy male subjects undergoing a decrease in blood oxygenation via mild hypoxic exposure (using the Altitrainer altitude simulator).

Figure 3: Validation setup for inducing hypoxic conditions. The subject breathes a low-oxygen mixture of ambient air and nitrogen

Figure 1: Transmission versus reflection pulse oximetry

In order to overcome the limitations of the state of the art, a novel reflective oximetry technique is under development at CSEM. The oximeter consists of an electronic box where the optical signals are emitted and acquired via three silicone rubber optical sensors, pre-processed for noise reduction and stored for offline SpO2 estimation. An electro-cardiogram (ECG) is acquired too. Embedding the optical components within a rubber silicone support ensures an optimal contact between the perfused tissues and the sensing devices.

Figure 4: Example of SpO2 estimation via CSEM chest sensor, compared to a standard commercial fingertip probe

For all subjects, a mean error of 0.44 and a standard deviation of the error of 1.49 [% of SpO2] has been obtained. The percentage of values falling within a 3 and 5 [% of SpO2] confidence intervals were 89.6% and 99.0% respectively. This research is under development at CSEM in the framework of the FP7 European project HeartCycle. CSEM thanks the SFOET for its financial support.
[1]

Figure 2: Shirt, flexible optical sensors and electronic box

R. Vetter, M. Correvon, L. Rossini, A. Ridolfi, J. Sol, Monitoring device and method for estimating blood constituent concentration for tissues with low perfusion, WO2011/026669 A1, 2011

83

ULTRA-LOW-POWER INTEGRATED SYSTEMS


Dragan Manic, Christian Enz, Christian Piguet, John R. Farserotu, and Nicolas Blanc The Ultra-Low-Power (ULP) Integrated Systems program is fully aligned with the observed green everything, wireless everywhere, and smart everything mega-trends faced by the semiconductor industry in global markets. It performs applied research and development of ultra-low-power, embedded smart systems, with a strong focus on mixed-signal processing and wireless and vision technologies. Integrated systems are the key enablers of systems integration, important for system (and microsystem) miniaturization, and performance and cost optimization in a broad range of applications. Our smart wireless and vision systems take advantage of CSEMs application-specific integrated circuits and dedicated embedded software to achieve the lowest power or best performance for a given application. ULP circuit design and, to a greater extent, embedded software are both key factors in reducing power consumption by, for instance, developing low-power protocols, low-energy power-management schemes, and low-power real-time software. It is of primary importance that the development of hardware (together with integrated circuits) and software (embedded software applications) are performed jointly to achieve the global power- and hardware-resource optimization of the complete system. The ULP Integrated Systems program is structured into the following three research activities: System-on-Chip (SoC) Wireless Vision The positioning of the ULP Integrated Systems roadmap against the ITRS roadmap is shown in Figure 1. More than Moore through functional diversification is our roadmaps direction.
More than Moore: Diversification
Analog/RF Passives HV/Power
Sensors Actuators

at minimum energy consumption to make possible battery operated systems with long-term autonomy, or even fully energy autonomous systems which rely on energy scavenging (green everything). Offering such systems requires competencies in several domains, including sensor interfaces, energy scavenging, ultra-low-power data processing, power management, and the assembly of complex and heterogeneous systems. The System-on-Chip (SoC) activity aims to meet these demands. The four long-term SoC objectives are: (1) sub-threshold or near-threshold logic design, which consists of developing very low voltage (0.3 to 0.4V) and therefore extremely low-power digital circuits; (2) design for energy scavenging, including power-management circuits capable of generating required voltages with an excellent yield; (3) the accumulation of design experience and IP on different application-specific lowpower sensor interfaces; and (4) design methodologies for complex SoC, comprising processor cores, memories, digital and analog peripherals, and RF wireless connectivity. The SoC activity was highly intense and productive during 2012, and focused on digital-, analog-, or mixed-mode design. Regarding digital design, library cells and standard cell libraries that are less sensitive to temperature were proposed. Another activity was the design of the largest SoC CSEM has ever contributed to over 90 million transistors, and containing four types of processors. It is a very heterogeneous platform and future activities will include the design of embedded software for it. One cannot discuss mixed-mode activities within the SoC program without mentioning the design of a low-power CMOS sensor interface. The sensor is a DNA type sensor for pointof-care biosensing. It is composed of a low-noise front end, followed by a clock-less delta-modulation ADC converter. Integrated in 0.18 m, it consumes 55 A from a 1.8 V Vdd. This domain is extremely important as it will result in lab-onchip medical devices to be used for early disease identification, drug delivery systems, and large-scale DNA sequencing. An excellent example of a very-low-power SoC is the one designed for the Icyheart project for the remote monitoring of a patients electrocardiogram (ECG). This SoC contains an icyflex1 core with its memories, a low-power RF transceiver (863-928 MHz), digital peripherals, and mixed-mode sensor interfaces. The latter are based on an amplification chain followed by a 12-bit ADC for 3-lead ECG. The DC component of the signal is first removed, and the signal is then amplified. The ADC was specifically designed for this SoC, to digitize the signals from the three amplification chains.

Biochips

Baseline CMOS: CPU, Memory, Logic

180nm 130nm 90nm 65nm 45nm 32nm 22nm 16nm

Functional

More Moore: Miniaturization

Interaction with people and environment Non-digital content System-in-Package (SiP) Information Processing Digital content System-on-Chip (SoC) Beyond CMOS

Diversification

Technology Scaling

Figure 1: Positioning of the ULP Integrated Systems roadmap against the ITRS roadmap [1]

SoC (System-on-Chip) There is, today, a growing market for sensors (smart everything). Widespread use of sensors will be considerably facilitated if they can be embedded in microsystems able to bias the sensor, and to collect, process, and store its data, and transmit only relevant information. All this should be done 85

Wireless Machine-to-machine (M2M) communication is growing exponentially and wireless connectivity is a catalyst for this growth (wireless everywhere). Experts predict that up to 50 billion devices will be connected via wireless by the year 2020, with the growth in short-range wireless connectivity, such as Wireless Sensor Networks (WSN) and Wireless Body Area Networks (WBAN), at the forefront of this expansion. Given its recognized expertise in low-power, short-range wireless and miniaturization, CSEM is well placed to play a leading role in the design and development of solutions for ultra-low-power (ULP) short-range wireless communication and sensing. The objectives of the Wireless activity are: (1) the design and development of ULP radios and protocols for WSN/WBAN (i.e., miniature radio devices, antennas, and wireless systems, proprietary or standards based); and (2) the investigation and acquisition of the scientific and technical competency needed to provide robust, fast, miniature ULP solutions for next generation short-range wireless communication and sensing devices. The number of applications which require miniature wireless solutions that incorporate long battery-life, simple interfaces, environmental awareness, and security features is rapidly expanding. Today, they include health monitoring, building automation, energy metering, security, automotive, and the emerging fields of energy (e.g. the monitoring and control of solar plants), the environment (e.g. water-quality monitoring), cleantech (green radio, and green homes and buildings), and social networking. In 2012, much was achieved within the wireless activity. Highlights include: A 11.5mW Tx-Rx 2.45 GHz 200kbit/s System-in-Package in less than 13 mm3 ULP Bluetooth Smart integrated solution icyTRX BT-LE chip in 90 nm CMOS Autonomous Strain Sensor for avionics applications Distance wireless-powering at RF frequencies And 2012 also saw the introduction of activities with respect to new topics in wireless including infrastructureless, selforganized systems; liquid antennas (first trials); and highdensity wireless-sensor networks. Vision The global objective and strategy of the Vision activity are the mastery of all key elements in the image-processing chain, including sensor front ends and electronics, optics, image and visual processing means, and tools and software, in order to globally optimize image and vision systems (smart everything). The vision activity is structured as follows: Vision front end Vision algorithms Vision systems

In 2012, CSEM developed several state-of-the-art CMOS imaging sensors that provide very high performance, three of which with a specific focus on achieving ultimate speed and dynamic range, or a significant increase in the degree of integration are briefly described here. Area and line scan sensors are widely used in machine vision. Where the objects under investigation move on a conveyor belt, high-speed line scan sensors often offer a very advantageous and cost effective alternative, enabling the acquisition of smear-free images without cumbersome stroboscopic illumination. Most high-speed, digital line scan sensors on todays market contain one or two lines of 10244096 pixels with scan rates of up to 80000 fps. CSEM has completed the design and testing of a multiline, ultra-highspeed, digital, color image sensor which shows excellent performance at frame rates of up to 200000 fps. For resolving phenomena that take place in the even shorter time scale of a few nanoseconds, an image sensor with 256x256 pixels was developed and manufactured in a standard CMOS process. The sampling of signals has been successfully demonstrated at frequencies of up to 100 MHz and with a contrast above 92%. Such image sensors can be of use to life sciences applications, notably in fluorescence lifetime imaging microscopy. Finally, in terms of higher degrees of integration, the DVSense circuit is the most recent implementation of a vision sensor at CSEM. It is a 320x240-pixel CMOS digital image sensor that provides a high dynamic range (130 dB) and an intensity resolution independent of illumination. It combines, on a single chip, image capture and processing, including contrast magnitude and direction. The DVSense circuit has been integrated in a 0.18 m optical process and is planned to be mass produced. In terms of achievements in vision algorithms, the implementation of a combination of a novel detector class and a traditional convolutional neural network to read one or several logos in an image is presented on the following pages. This technology needs only a modest amount of computation power and can be used to replace barcodes, for example inside automated vending- or food processing machines, in inventory management applications, or on production lines. Finally, in 2012, vision systems activities were highlighted by a high-precision sun-tracking device: a spaceCoder adapted to measure the suns azimuth and elevation. Thanks to the spaceCoders intrinsic characteristics, this sun-tracking device provides high precision performance, and proves to be extraordinarily reliable even under cloudy conditions.
[1]

http://www.itrs.net/Links/2011ITRS/Home2011.htm

86

Temperature-aware Standard Cell Design


M. Pons, J.-L. Nagel, C. Piguet
For applications where the ambient temperature varies in an important range (e.g. from -40C to 125C), controlling the impact of temperature variations in the circuit behavior is critical to ensure a correct operation. For the standard cell methodology in advanced technology nodes, even when temperature variation is not specifically a design constraint, circuits suffer from temperature-induced delay uncertainty that leads to failing designs. This motivates the need of investigating new temperature-aware standard cell methodologies.

Possible techniques to deal with delay uncertainty due to temperature variations are working with high threshold voltage standard cells (less sensitive to temperature) and tuning the supply voltage to the zero temperature coefficient voltage value (VZTC, where delay for a given cell is stable with temperature) [1]. Layout modifications to tune pull-up and pulldown networks to their respective temperature-insensitive operating points have also been proposed. However, each cell, for the different functions, will present different temperatureinduced delay sensitivities considering the rise or fall output transitions or different input signal slews and output loads. CSEM methodology is based on the individual evaluation of each library cell, and on the selection of a group of cells that are less sensitive to temperature variations. This group of cells is used for synthesizing the design without modifying the rest of the flow. It is expected that the circuits synthesized with this more robust cell subset are less sensitive to temperature variations [2], [3]. Two methodologies have been selected for cell selection based on the study of the cell maximum delay sensitivity to temperature variations, depending on the cells input slew and output load combinations (that define the possible scenarios where cells will work in the design): MDV1 (Maximum Delay Variation method 1): cell selection limiting the maximum variation in the whole range of input slew and output load MDV2 (Maximum Delay Variation method 2): cells are selected only for the input slew and output load combinations where their variation is lower than the maximum allowed variation The synthesis flow was applied to the icyflex2 [4] processor to show the delay variability reduction obtained for the worstcase delay libraries supplied in a 65 nm low power technology: LIB1 synthesis: Process = Slow-Slow with high-Vt transistors; Voltage = 1.08V; Temperature = -40C and 125C; Clock Frequency = 50 MHz LIB2 synthesis: Process = Slow-Slow with high-Vt transistors; Voltage = 0.9V; Temperature = -40C and 125C; Clock Frequency = 20 MHz Table 1 compares the use of the complete libraries (referred as ALL1 and ALL2 for LIB1 and LIB2 respectively) vs. applying MDV1 (MDV1-LIB1 and MDV1-LIB2). The amount of cells available for the synthesis in each case and the number of different cells used are shown, as well as the total number of cells in the design and the resulting area. Finally, the average delay variability for all paths in the design is also given. It can be seen how the number of cells used is effectively reduced when reducing the set of cells available. However, it also implies an increase of the total number of cells (different combinations of cells are required to replace

the cells that are eliminated) and of the final area (up to 9% for LIB1). In terms of variability, it can be seen that for LIB1, even with already very small delay variations for the reference synthesis ALL1, with the proposed MDV1 has been developed. Regarding LIB2, the average variability is not reduced (1% increase). In fact, temperature-induced variability is more critical for LIB2 than for LIB1. That is why for LIB2 the MDV2 method (that is a more fine-tuned cell selection methodology and that requires more time). Results are shown in the last row of Table 1. In this case, the reduction in variability is around 16% with a 27% area overhead. The effectiveness of the proposals (up to 36% variability reduction with up to 27% area overhead) depends on the particular circuit and on the capacity of finding the right subsets of cells adapted to the design. Further research is required to be able to choose which method is more suitable in each case and to accelerate their automation. Moreover, the cell evaluation methodology developed gives the required guidelines to redesign the most sensitive standard cells to temperature variations.
Table 1: Results Subset of cells ALL1 MDV1-LIB1 ALL2 MDV1-LIB2 MDV2-LIB2 #Cells used/available 188/543 79/199 216/543 108/252 227/492 Area (m2) 39.9k 43.4k (+9%) 42.4k 43.5k (+3%) 53.9k Average variability 0.11ns 0.07ns (-36%) 2.15ns 2.17ns (+1%) 1.79ns (-16%)

Research was partially funded by EU FP7 ICT Therminator project.


[1]

[2]

[3]

[4]

A. Calimera, I. Bahar, E. Macci, M. Poncino, Temperature-insensitive dual-Vth synthesis for nanometer CMOS technologies under inverse temperature dependence, VLSI Systems, IEEE Transactions on, 18 no. 11 (2010) 1608 Therminator project from the European Community Seventh Framework Programme (FP7/2010-2013) under grant agreement number n [248603] M. Pons, J.-L. Nagel, C. Piguet, Maximum delay variation temperature-aware standard cell design, in proceedings of the IEEE International Conference on Electronics, Circuits, and Systems, (2012) 296 C. Arm, A. Corbaz, J.-M. Masgonty, M. Morgan, V. Moser, J-L. Nagel, P. Volet, The icyflex processor family, CSEM Scientific and Technical Report, (2008) 22

87

GENEPY Heterogeneous Multiprocessor Platform


J.-L. Nagel, R. Lemaire , S. Thuries , M. Morgan, F. Bertrand , C. Piguet
The GENEPY multiprocessor System-on-Chip (MPSoC) was designed as a collaborative research work between CEA-LETI and CSEM in the frame of the EU FP7 project Therminator. This MPSoC is highly heterogeneous and showcases advanced low-power IP blocks from the two research centers.

The GENEPY architecture features a high-performance processing and communication infrastructure based on a Network-on-Chip (NoC) technology and a high-density of digital signal processing (DSP) cores. Control software executed on general purpose cores guarantees flexibility, while DSP cores and NoC deliver high-performance dataflowprocessing. Power consumption is optimized thanks to dynamic frequency scaling and activity monitoring. A first MPSoC instance of the general GENEPY architecture, comprising an array of five clusters interconnected by a NoC, was integrated in 2012 in a CMOS 65 nm STMicroelectronics technology (Figure 1). This first integration embeds 2 icyflex4 [ 1 ] DSPs, 8 Mephisto DSPs [ 2 ], 4 MIPS controllers, 1 icyflex2 [ 3 ] controller and 8 Mbits of SRAM constituting almost 100 million MOS transistors.

from one type of cluster to another during the application optimization phase, a redesign of the communications and synchronization is then required. To avoid this overhead and to increase portability, a higherlevel abstracted communication library is needed. Unlike libraries requiring shared-memory symmetric multiprocessor architectures (Posix threads, OpenMP), which cannot be easily deployed on a heterogeneous system, messagepassing communication libraries seem more suitable. At this time, the most widespread library in the high-performance computing domain is the MPI library. For embedded systems however, smaller libraries are required. For this reason, the MCAPI library [ 4 ] was selected for communication within clusters of the GENEPY SoC (or possibly between SoCs) as described in Figure 2.

Figure 2: Software architecture on top of the hardware architecture

Figure 1: Die of the GENEPY SoC

The definition of the node topology is statically described at compile time. DSP cores expose fixed endpoints, while controllers (MIPS and icyflex2) organize the communication at runtime. The code running on the MIPS and on the icyflex2 is based on C code, with calls to MCAPI. Changing the deployment of an application onto the platform consists of redefining the endpoints in the MCAPI calls and recompiling the application at the platform-level. This work is partly supported by the EU FP7 ICT projects Therminator and ToucHMore.
[1]

Among the two types of clusters, the icysmep cluster that was designed by CSEM delivers a high degree of flexibility, thanks to the combination of the icyflex2 and icyflex4 cores, being able to target a large number of application domains (image and audio processing, communications, etc.). Programming heterogeneous multiprocessor platforms is however a very challenging task. Due to the heterogeneous nature of the communication mechanisms (NoC, sharedmemory) and of the instruction sets, the mapping of an application (or a set of independent threads of execution) onto the platform involves a complex combination of manual tasks. Communication can be packet-based when using the asynchronous network-on-chip (NoC), based on sharedmemory when exchanging data between controller and DSPs within the icysmep cluster, or even using hardware FIFO queues. Handling these communications is done at very lowlevel using calls to hardware abstraction layer functions in order to execute the transfers. If a task needs to be moved 88

[2]

[3]

[4]

CEA, LETI, 38054 Grenoble, France, www-leti.cea.fr J.-L. Nagel, C. Arm, A. Corbaz, M. Morgan, V. Moser, P. Volet, The icyflex4 processor, a scalable DSP architecture, CSEM Scientific and Technical Report, (2009) 30 C. Bernard, F. Clermidy, A low-power VLIW processor for 3GPP-LTE complex numbers processing, in Design, Automation Test in Europe Conference Exhibition (DATE), (2011) 1 J.-L. Nagel, C. Arm, A. Corbaz, M. Morgan, V. Moser, P. Volet, The icyflex2 processor architecture, CSEM Scientific and Technical Report, (2009) 31 www.multicore-association.org/workgroup/mcapi.php

Low Power CMOS Sensor Interface for PoC Biosensing


V. Balasubramanian, P.-F. Redi, C. C. Enz
Within the framework of the IsyPeM Nano-Tera project, a low power CMOS sensor interface for Point-of-Care (PoC) biosensing (DNA type biosensing) is realized. The proposed sensor interface includes a low noise canceling front-end followed by an activity based clock-less A/D converter. The total current consumption of the sensor interface is 55 A operating from a 1.8 V supply. The input referred noise power spectral density (PSD) achieved in the front-end is 1.7 pA/rt(Hz) and the THD is -55 dB over a 88 dB input range. The peak SNDR achieved in the ADC is 67 dB in 100 Hz BW.

Sensing biomolecules like DNA, proteins using an integrated CMOS platform (also called lab-on-chips) provide several advantages compared to conventional optical methods such as miniaturization, reduced cost and rapid point of care (PoC) treatments making it highly attractive for applications like automated drug delivery systems for personalized medicine, large scale DNA sequencing etc. However, the use of CMOS methods for biomolecule detection also has certain inherent disadvantages such as low signal-to-noise (SNR) ratio, instability of biochemical reactions and sometimes the need for post-processing after fabrication. To cope with such disadvantages, better fabrication methods are required on the one hand in order to have more robust and compatible biosensing electrodes and at the same time, precision sensor interfaces are also required to process the sensed signals reliably. In this work, the focus is on the latter aspect which is the design of a CMOS sensor interface targeted for integrated biosensors. It requires low noise performance necessary to detect signals in pAs range and low power consumption.
Icg Rcg,p Icg Rcg,m Vcg,p Vcg,m Mcg,p Mcg,m Vb Vin,p Vin,m Rs,m fchop Ics Rcs,p Vrcs Ics Rcs,m Vcs,p Vcs,m

1E-10 1E-11 1E-12 1E-13

Input PSD [A/rt(Hz)] w/o Canceling, Chopping 1.7 pA/rt(Hz)

w/ Canceling, Chopping 1 10

100 1000 Frequency (Hz)

10000

Figure 2: Input referred noise PSD of the NCCS TIA

Vb Iin,p Iin,m Rs,p

Figure 3: Quantization noise of the ADC

Vn,1/f

Mcs,p

Mcs,m

fchop

Figure 1: Schematic of the NCCS transimpedance amplifier

The proposed sensor interface architecture includes a fully differential front-end followed by an asynchronous ADC. The front-end is based on a noise canceling chopper stabilization (NCCS) [ 1 ] topology (Figure 1) which is a low noise, highly linear transimpedance amplifier (TIA). It employs noise canceling and chopping to reject 1/f noise, distortion canceling to reduce input distortion and uses optimized biasing in weak and strong inversion to lower the power consumption. Furthermore, the proposed front-end by virtue of its continuous time operation is not limited by slow conversion speeds, supports high speed operation as required in microarrays, and is also capable of sensing biosignals over a wide range (sub-Hz to MHz) at low power consumption. The ADC employed in this work is based on an asynchronous delta-modulation (AS-DM) [ 2 ] principle which performs quantization without using sampling and provides the advantages of activity based power consumption and improved SNR by virtue of lack of quantization noise aliasing. The digital part of this ADC is conventional and synchronous based.

The entire sensor interface (Figure 4) is validated in a 0.18m CMOS process consuming 55A from a 1.8 V supply. Shown in Figure 2 is the input noise PSD obtained from measurements illustrating the reduction in noise achieved using canceling. In Figure 3, the spectrum of the digitized signal from the ADC and the corresponding integrated quantization noise power are shown and compared with the nyquist case illustrating the improvement achieved in SNR.

I2C
Filter

FE

AS-ADC

Figure 4: Microphotograph of the chip V. Balasubramanian, C. C. Enz, et al., A 0.18 biosensor front-end based on 1/f noise, distortion cancelation and chopper stabilization, be published in IEEE TBioCAS V. Balasubramanian, C. C. Enz, et al., Analysis of ultralow-power asynchronous ADCs, IEEE Int. Symp on circuits and syst. (ISCAS) (2010)

[1]

[2]

89

IcyHeart, an ECG Sensor Interface in a SoC


F. Giroud, P. Persechini, D. Sverac, B. Schaffer, C. Monneron, R. Caseiro, P.-F. Redi
This article describes a System-on-Chip (SoC) developed within the IcyHeart European project to perform 3-lead ECG monitoring. The IcyHeart SoC incorporates a 3-lead ECG analog front-end and ADC, a 32-bit icyflex processor and a 863-928 MHz transceiver. It develops tiny battery powered smart portable ECG systems with on-chip ECG signal acquisition and processing.

With the increase of population aging, healthcare costs become a real issue. Tele-healthcare is seen as an answer for reducing hospitalization costs and to offer more autonomy to impaired people. The major concern for tele-healthcare systems is to achieve this purpose with a high accuracy and a long autonomy while being non-invasive. The objective of the IcyHeart project is to investigate and demonstrate a highly integrated and power-efficient microelectronic solution for remote monitoring of a subjects electrocardiogram (ECG) signals. A complete System-on-a-Chip (SoC) has been developed based on the icycom [1] SoC. It incorporates on a single chip an ultra-low-power signal acquisition front-end with analog-to-digital converter (ADC) for 3-lead ECG, a low-power digital signal processor (DSP) and a low-energy radio frequency (RF) transceiver. These features, for the first time, coexist on a single die. The SoC runs from a 1 V supply, compatible with a single alkaline cell, and is optimized for long battery life. The analog front-end is illustrated in Figure 1. It consists of 3 ECG leads, each followed by an amplification chain with a gain programmable up to 46 dB and an adjustable cut-off frequency from 100 to 480 Hz. It features a measured total harmonic distortion (THD) of 54 dB with the nominal gain setting and an input referred noise of 1 V. For a maximal input voltage of 4 mV, the achievable resolution of the amplification chain is 12 bits.
lead 1
2.5M
16 bits

chains. Measurements show that it achieves a resolution of 14 bits and a THD of 52 dB for an input signal of 300 mV. The digital core of the Icyheart SoC is built around the icyflex DSP, a 32 bit low-power microcontroller with DSP functions (parallel dual MAC), whose purpose will be to analyse in realtime the ECG data provided by the analog front-end. The low-power RF transceiver operates in the SRD 863-928 MHz range. The receiver part is ultra-low-power, not only optimized for continuous operation but also for RF channel power sampling. This allows efficient implementation of low-power protocols minimizing the receiver activity. Additional peripherals such as a voltage-divider to also address lithium batteries, SPI, I2C, UART, I2S are all included on the same chip, resulting in a compact system solution.

5.314 mm

5.000 mm

VGA

LP

ADC

lead 2
1 2 2.5M

VGA

LP

ADC

MUX

lead 3
3 4 2.5M

VGA

LP

ADC

Figure 2: Microphotograph of the IcyHeart chip


Vcom

Active ground lead gnd


Ro

Rf Cf Ri

1.2M

Lead-off detection
lead 123

The state-of-the-art 12 bits ADC based analog chain, the ECG optimized signal processing, the low-power microcontroller with dedicated instructions and the ultra-low-power wireless transceiver turn the IcyHeart SoC into a smart and novel sensing-processing-transmitting ECG product. The outcome of the IcyHeart project is expected to create high societal impact for several categories of European citizens requiring miniature, comfortable and easy-to-use wireless tele-healthcare solutions. The research leading to these results has received funding from the European Union Seventh Framework Program under grant agreement n [286130].
[1]

Offset control

Figure 1: Analog front-end

The sensor interface also incorporates an active ground control to cancel large common voltage variations. It probes the common mode voltage of the three leads through a simple star connected resistors network and feeds back a signal corresponding to the inverted value of the common mode. Finally, the sensor interface also implements a lead-off detection by injecting an AC square signal via the active ground connection and detecting it on the 3 ECG leads. A low power and low voltage sigma delta ADC was specifically designed to digitize the signals out of the 3 amplification

E. Le Roux, et al., A 1V RF SoC with an 863-to-928MHz 400kb/s radio and a 32b dual-MAC DSP core for wireless sensor and body networks, International Solid-State Circuit Conf., (2010) 464

90

Modeling (RF) Wave Propagation for Communications in Large Premises


C. Hennemann, O. Vorobyov, P. Dallemagne
The complexity and size of infrastructures, such as buildings, factories or bridges, make the deployment of wireless systems very difficult and error-prone. CSEM uses a systematic methodology based on generic propagation models, instead of relying on costly and risky empirical deployments.

Deploying a wireless sensor network (WSN) inside and/or outside large premises such as buildings, factories, bridges, ships, trains, planes, etc. becomes more and more frequent. Properly configuring a network requires a good and thorough understanding of wave propagation characteristics inside the premises, since the electromagnetic signals encounter a complex environment made of floors, walls, bulkhead, stairways, open spaces, deck, corridor, etc. whose materials expose various electromagnetic properties. The traditional approach is based on the empirical deployment of reference wireless nodes and on the evaluation of the coverage by measuring the signal. Additional nodes may be deployed if needed, based on the measurements. This proves to be a working but ineffective approach in terms of electromagnetic resource and number of nodes. CSEM has adopted and experimented a more systematic and rational approach, illustrated in Figure 1, based on the definition and characterisation of generic models for electromagnetic propagation (especially in the ISM 868 MHz industrial band and the 2.4 GHz band). These models are then specialised by adjusting parameters that are specific to the target premises, such as dimensions, materials, etc. CSEM then plans optimised deployments of WSN by running simulations using the model.

Insertion Loss

Insertion Loss

Figure 2: Setup for path loss measurement

2) The generic propagation model is then derived from the numerous measurements done in the first step. The main benefit of the model is the ability to account for obstacles (different types of obstruction), like floors and walls, as well as the propagation environment. An example of a simple wave propagation model is provided by Equation 1, where TPL stands for total path loss, G is the gain of the antennas, n is the propagation exponent, k is the number of floors crossed and f is the attenuation per floor as well as for p and w for the wall crossed.

Equation 1: Model of wave propagation

Note that the model takes also into account different phenomena which alter propagation such as diffraction, standing waves (fading), polarisation mismatch etc. The model indirectly provides information regarding the communication range and thus the number of sensors and sinks to deploy. Once the path loss propagation is known, it is straightforward to compute an estimate of the link budget and thus the communication range. The margin is easily extracted from the link budget. Finally, this model also provides an estimation of the coverage. Using such a generic model has the advantage of being less time consuming and cheaper because it is readily adaptable to each new case in terms of materials, dimensions, size, etc. Analyzing actual deployments and validation measurements has shown that a rather simple generic model such as in the equation above matches well to the real propagation environment. It takes into account different parameters such as attenuation in structures and diffraction. The standard deviation of this model compared to the measured signals is only a few dB. The model has been introduced in discrete event network simulators such as OMNeT++ (http://omnetpp.org) to simulate complete network protocols. It can also be used to validate an existing model or select the most appropriate pre-existing model.

Figure 1: CSEM systematic approach

A number of steps are required to compute a realistic model of wave propagation: 1) A measurement campaign on representative environments aims at characterizing the communication channel between transmitters and receivers, as shown in Figure 2. Wireless communication channels are usually described by considering few separable phenomena, which also include path loss. The estimation of signal decay due to propagation loss is very important in the determination of the necessary transmission power and the coverage area. The measurement campaign is done using different kinds of antennas, such as omnidirectional (dipole antenna as an example) or capable of radiating in one of hemisphere (ex. patch antenna).

91

An Autonomous Strain Sensor for Avionics Applications


D. Piguet, L. von Allmen, J.-D. Decotignie
Wireless monitoring of strain in airplane structures brings unprecedented benefits such as ease of installation and maintenance as well as weight reduction for flight tests and commercial operations. CSEM and its partners in the StrainWISE CleanSky EU project realized a demonstrator of a wireless monitoring system capable of unattended operations for the life time of the plane (35 years) thanks to ultra-low-power design and energy scavenging. The solution supports 500 Hz data acquisition rates and synchronization accuracy better than 1 ms.

The CleanSky initiative of the European Union has been launched to help the European aviation industry innovation efforts towards environmentally friendly aircraft. The Strainwise project contributes to this goal by realizing a wireless and energy-autonomous strain monitoring system in cooperation with Europes leading commercial aircraft manufacturer Airbus. The system helps to save fuel through the reduction of aircraft weight via the replacement of the numerous cables by radio communication and, more importantly, a better assessment of strain in aircraft structures leading to lighter parts. The measurement platform is composed of a number of sensing nodes (SN) that wirelessly communicate with the avionics through a wireless data concentrator (WDC). In the demonstrator delivered by the project, the aircraft avionics is replaced by a server which collects the measurements samples and provides storage, display and analysis tools. As depicted in Figure 1, the system supports several cells and redundant WDCs for an increased robustness.

CSEM took the lead in the project, developed the main electronics board that fulfills the controlling, sensing and communication functions and implemented the embedded software. The latter includes the development of an adaptive Time Division Multiple Access (TDMA) MAC (Medium Access) protocol. A TDMA approach was chosen because of regulatory, simplicity and energy consumption reasons. The protocol supports several modes so that power consumption is always minimized with respect to the system operational phase. A key aspect is the absence of off-line SN configuration. WDCs are also remotely configured with the channel they use and the list of SNs they should communicate with. When first installed on board the aircraft, a SN runs in an ultra-low power configuration mode during which it alternates between long periods of sleep and short scans on all channels to search for a WDC advertising its address. It is then associated to that WDC and receives the acquisition parameters. In data transfer mode, the protocol handles data acquisition rates up to 500 Hz. A lightweight synchronization mechanism integrated with the TDMA protocol and the data acquisition algorithm enables time-stamping of the measurements. The maximal synchronization error measured during tests was below 600 s within a single cell and below 900 s within several cells.

Figure 1: Strain monitoring demonstrator topology

One of the major requirements of the SNs is to be able to operate for the entire lifetime of the plane without any maintenance. A wired power supply is out of question and batteries are heavy and expensive to replace given the difficult access to the SN devices. SNs must hence embed their own source of energy through scavenging (developed by Imperial College London). Still, energy consumption should be reduced to a minimum. This is even more important as planes may remain unused for long periods of time during which it is not possible to scavenge energy. In addition, for analysis and maintenance, it is important to capture the maximum strain that a particular structure endures. The system must be reactive enough to capture these events. In addition to these ultra-low energy and fast reactions, the system had to prove its ability to withstand the avionics environment with difficult propagation conditions due to metallic parts, large temperature changes, reliability. Also, it went through radiated emission tests to prove that it does not disturb other aircraft systems. 92

Figure 2: Sensor node with thermo-electrical energy scavenger

CSEM continues to collaborate with Airbus and the StrainWise consortium (CSEM, Imperial College (GB) and Serma Ingnierie (FR)) in a new Cleansky project in which the system will be improved to support a higher number of sensors and acquisition rates up to 50 kHz. This work was partly funded by the CleanSky Joint Undertaking of the European Commission under Grant Agreement 270658. CSEM thanks them for their support.

Distance Wireless Powering Demonstrator at RF Frequencies


A. Vorobyov, C. Hennemann, J.-D. Decotignie
Wireless power (WP) transmission is the process that takes place in any system where electrical energy is transmitted from a power source to an electrical load, without interconnecting wires. Recently, with the development of electronics and information technology, short distance wireless power transmission has become popular in consumer electronics. In parallel, it has been shown that reasonable efficiency may be obtained at distances of a few meters.

The benefits of WP extend beyond cost and convenience. Certain conditions, environments, and applications are simply unsuitable for conventional wired sources of electricity. These include industrial applications, such as mechanical systems with high speed rotating or flexing joints, which require energy transfer across a distance of a few centimetres or a few meters, and miniature sensor networks across systems that operate in environments that are inaccessible or inhospitable to wiring. Figure 1 shows the current distribution of the market.

that laser light is much more intense than sunlight, it can be focused at any desired location, and it can deliver power 24 hours per day. Microwave: many longer-range power transmission ideas also rely on using rectifying antennas, or rectennas. Rectennas represent a very efficient way to convert microwaves into electricity. Power beaming by microwaves has the difficulty that for most space applications the required aperture sizes are very large due to diffraction limiting antenna directionality. Inductive coupling is well known and has been used in many projects at CSEM including implants. Here some results obtained using magnetic resonances are reported. An example of a resonance-based wireless power transmission system operated at 13.57 MHz is presented in Figure 2. The receive coil is connected to a LED indicator.

Figure 1: Wireless power market

According to the transmission distance, wireless powering can be divided into three categories: short distance, mid distance, and long distance. These categories include five different technologies: Induction; magnetic resonance coupling (MRC); radio frequency (RF); microwaves and lasers. Inductive coupling: the action of an electrical transformer is the simplest instance of wireless energy transfer. The primary and secondary circuits of a transformer are not directly connected. The transfer of energy takes place by electromagnetic coupling through a process known as mutual induction. In electromagnetic induction, the air gap is short (10 cm) and the alignment must be accurate Magnetic resonance coupling: employs near field inductive coupling through magnetic fields, which interact far more weakly with surrounding objects, including biological tissue. It is not known exactly why this technology had not been developed. The electromagnetic resonant couplings method has a long air gap and is forgiving when it comes to alignment. Radio frequency (RF): a transmitter broadcasts a low-power RF signal at a specific frequency across several tens of cm of empty space. A receiver built into one or more remote devices captures enough energy to continuously recharge batteries, or to power the devices directly. Laser: laser power beaming is the wireless transfer of energy (heat or electricity) from one location to another, using light beams. The basic concept is the same as solar power, where the sun shines on a photovoltaic cell that converts the sunlight to energy. Here, a photovoltaic cell converts the laser light to energy. The key differences are
Figure 2: Wireless powering demonstrator operated at 13.57 MHz

A source coil (Tx), or inductor, is driven by a generator through an impedance matching network that adapts the reactive load of the inductor and source referred impedances to the source impedance for maximum power transfer. The current in the source coil generates a quasi-static magnetic field that couples to a receiving coil (Rx). The coupling is quantified by the coupling coefficient, k, and the mutual inductance, = . A voltage is induced on the receiver coil due to the coupled field from the source coil, which is used to drive a load.

Figure 3: k as a function of the separation between aligned coils (left) and measured values (right)

For short distance, the energy transfer is adequate. For longer spacing (> 50 cm), it is possible to add a RF lens this extending the useful distance to a few meters.

93

A Versatile Timing Microsystem Based on Wafer-level Packaged XTAL/BAW Resonators with Sub-W RTC Mode and Programmable HF Clocks
D. Ruffieux, N. Scolari, F. Giroud, T.-C. Le, S. Dalla Piazza, F. Staub, K. Zoschke, C. A. Manier, H. Oppermann, J. Dekker, T. Suni, G. Allegato
MEMS oscillators have recently successfully entered the timing market owing to the packaging revolution enabled by wafer scale technologies. This paper explores how XTAL resonators could similarly benefit from such technologies with the demonstration of a miniature generic timing module.

Over the past several years, the decades long quartz-dominated timing industry has been increasingly challenged by the introduction of new products or demonstration of prototypes based on silicon MEMS resonators. One of the true advantages of the technology is the fact that well-proven semiconductor manufacturing technologies amenable for high volume production are exploited to produce wafer-level encapsulated low-cost components. This paper explores how crystal (XTAL) resonators could benefit from similar packaging technologies and demonstrate superior stability performances. Figure 1 presents the architecture of the proposed system [1]. A low frequency, 131 kHz, quartz-based oscillator associated intermittently to a low duty-cycled temperature sensitive 10 MHz RC oscillator is used to derive a temperature compensated 32768 Hz clock after fractional division (R) for frequency adjustment that is fed to a conventional real time clock (RTC) circuit with calendar, alarm and timer functions.
M P BAW N RC T Sens TCP FSM REG OTP LF PFD CP XTAL Q R RTC M U X GPIOs SCL SDA RC M U X CK2_(V)HF CK1_(V)HF

interposer ultimately the thinned CMOS wafer- and sealed at wafer level with a patterned cap wafer using electro-plated Au/Sn eutectic bonding.

Figure 2: Cross section of a XTAL packaged in a silicon housing

Early system level validation was demonstrated with resonators packaged in a standard way. The frequency error of the 32.768 kHz temperature-compensated clock obtained after division of the 131 kHz XTAL oscillator signal over the industrial range -40 to 85C and reduced range 0 to 50C is shown in Figure 3 after a 5-points trim within the shown range.
2

Frequency deviation in ppm

1 0 -1 -2 -3 -4 -40 -15 10
cal 0 to50C cal -40 to 85C

CK_LF

TIMER I2C

Figure 1: Architecture of the timing circuit

Besides the RTC, HF clocks re-programmable between 1 to 50 MHz via a serial interface (I2C) can be generated in two ways depending on the jitter level and/or phase noise requirements. A low power version is derived with the help of a fully integrated RC PLL (osc RC, Q, PD/PFD and LF) referenced to the 131 kHz quartz signal for applications requiring accurate frequency, with moderate jitter. Up to three independent clocks with much lower noise and jitter performance, such as required for radio applications or high DR converters, are obtained after integer (M) or fractional division (N; P) of the signal derived from a temperature-compensated, ~2 GHz BAW DCO. To avoid bulky ceramic packages associated with XTALs (and possibly ASICs in RTCs) and achieve extreme timing module miniaturization, air-tight silicon housings with electrical feedthrough implemented with through silicon via (TSV) were developed in view of using ultimately the circuit as an active part of the package. Figure 2 shows a cross-section micrograph of a XTAL that is flip-chip bonded on a 100 m 94

Temperature in C

35

60

85

Figure 3: Temperature stability measurement after a 5-points trim

The power is sub-W in the temperature-compensated RTC mode, 8 W when the 10 MHz RC temperature sensor clock is activated permanently, 100 W with the RC PLL locked at 50 MHz and 10 mW when 48 MHz and 26 MHz clocks are generated by fractional division from the BAW DCO. Acknowledgements This work has been performed within the project Go4Time that is funded by the EC FP7 research program (www.go4time.eu).
[1]

D. Ruffieux, et al., A Versatile timing microsystem based on wafer-level packaged XTAL/BAW Resonators with Sub-W RTC Mode and Programmable HF Clocks, ISSCC Dig. Tech. Papers, (2013) 210

A 2.4 GHz MEMS-based PLL-free Multi-Channel Receiver with Channel Filtering at RF


A. Heragu, D. Ruffieux, C. C. Enz
Under the framework of the Nano-Tera.ch project Intelligent Systems for Personalized Medicine (ISyPeM), this work involves the design and realization of a low power wireless interface for biotelemetry. The intrinsically high quality factor (Q) of the bulk acoustic wave (BAW) resonators is exploited to perform narrow band filtering at RF and also to provide low phase noise LO signals for channel selection and down-conversion. A novel means of using integer dividers along with the BAW oscillator to address multiple channels with arbitrary/contiguous frequencies in the band of interest is proposed. This approach helps in eliminating the need for a PLL, which aids in scalability and in the reduction of the power consumption.

In a typical wireless body area network (WBAN) scenario, various implants are placed in different parts of the human body where the treatment is required. These implants need to operate at extremely low power levels in order to avoid the need for frequent replacement. Owing to this tight constraint on power, the implants can accommodate only radios with low data rates (LDR) and limited range (ie., less than a few centimeters). An on-body" patch is normally used as a gateway to establish the communication between the implants and an external monitoring unit. This patch houses a radio that communicates with the implants via LDR standards like medical implant communication service (MICS), or MBAN medical body area network (2.36 to 2.4 GHz) and with the monitoring unit using higher data rate standards like Bluetooth or, Bluetooth LE (2.4 to 2.48 GHz). The radio on the patch needs to be miniaturized and must operate at low power to facilitate longevity and to avoid frequent replacement of the battery.
Super-high IF (2.433 GHz) Input RF LNA (2.51 GHz) I

used to provide bandwidth and frequency tuneability to the lattice.

Figure 2: Chip microphotograph of the receiver

-R
AMF cell (77.09 MHz)

fs/2
(34.27 MHz) Q

Phase ADC

Digital demod

bit out

fs,n = fs/4 M
BAW (68.53 MHz) (2.467 GHz) 4 + dig logic P + dig logic

fs = 2(fbaw,o-fbaw,f)
Channel selection

The selected and filtered channel is down-converted to baseband in quadrature by a 2-stage sub-sampling mixer which also provides discrete time filtering and thus improves the adjacent channel rejection of the receiver. The baseband samples in quadrature are fed to a phase ADC and then to a binary frequency shifts keying (BFSK) digital demodulator to get the data. All the clocks required for the sampling mixer, phase ADC and the demodulator are provided by simple integer division of the BAW oscillator signal.

Figure 1: BAW based PLL-free receiver with channel filtering at RF

Aggressive CMOS scaling has improved the performance of radio frequency (RF) circuits by lowering down the power consumption and by pushing the transit frequency to higher levels. However, this is not complemented well by the passive elements which exhibit low quality factor (Q) as a result of the lossy silicon substrate and this has led to the exploration of new ways of designing RF circuits. At CSEM, recently, many transceiver architectures have been implemented using high- Q BAW resonators. The blocks that have been co-designed with the resonators are the LNA, the PA and the oscillator. The BAW oscillator exhibits superior phase noise performance at low levels of power consumption. However, it suffers from very poor tuneability which does not make it suitable for multi-channel receivers. This in-fact leads to the use of LC or relaxation based PLLs along with the BAW oscillator to address multiple channels in the band of interest. A PLL free approach to address multiple channels using integer dividers and the BAW oscillator has been proposed in this work [ 1 ] (Figure 1). With limited tuning on the BAW oscillator, it is shown how to address contiguous frequencies in the band of interest. A BAW based pseudo-lattice structure has been proposed in this work to perform channel filtering at RF. The pseudo-lattice has a superior filtering characteristic compared with that of a single BAW resonator. Further, a low power solution called the Amplifier-Mixer-Filter (AMF) cell is
Figure 3: Measured filtering response of the receiver

The proposed receiver has been designed and implemented in a 0.18 m CMOS process. The measured filtering response is shown in Figure 3. The receiver consumes a total of 5.94 mA from 1.8 V supply. For a BER of 0.1%, the measured sensitivity is -78 dBm at a rate of 268 kbps.
[1]

A. Heragu, D. Ruffieux, C. C. Enz, A 2.4-GHz MEMS based PLL-free multi-channel receiver with channel filtering at RF, ESSCIRC (2012)

95

A 1-1.5 mW Tx-Rx 2.45 GHz 200 kbit/s System-in-Package in Less than 13 mm3
E. Le Roux, M. Kucera, F. Pengg, E. Le Roux, N. Scolari, F. Giroud, D. Barras, D. Ruffieux, A. Vouilloz, N. Raemy, P. Persechini, R. Caseiro, C. Monneron, D. Sverac, M. Morgan, J.-L. Nagel, C. Arm, A. Corbaz, D. Sigg, V. Peiris
The WiserBAN EU FP7 project [1] coordinated by CSEM aims at the realization of an ultra-low-power miniaturized System-in-Package targeting wearable and implanted devices for healthcare, biomedical and lifestyle applications. The characterization of the first year [2] hardware results is reported below.

The dominant functional block, regarding the energy consumption as well as volume, because of associated passive components, is the radio transceiver. Classical architectures implementations are always a trade-off between overall volume and performances. This is especially the case concerning the energy consumption and particularly for the targeted applications for which all aspects are extremely constrained because of limited battery volume, i.e. capacity. In addition, the budget link needs to remain optimized because of very small antennas, disturbed and lossy propagation environments, etc. For this reasons, a novel architecture has been implemented in 65 nm standard CMOS technology, taking advantage of MEMS piezoelectric components, for further miniaturization (e.g. to get rid of quartz crystal), reduced energy consumption (e.g. to reduce star-up overhead) while conserving high-end radio performances (e.g. low-noise fine-step fully programmable frequency synthesis).

The radio receiver architecture, illustrated in Figure 1, also profits from the fast synthesizer and a sub-sampling at high IF to also minimize the start-up overhead (<10 s & <100 nJ), especially useful for channel sampling schemes. For the targeted datarate of 200 kbit/s, the power consumption is close to 1.5 mW.

Figure 3: Receiver start-up and steady state currents

To address different application contexts, the architecture and digital baseband covers physical layers of 802.15.4 (250 kbit/s), Bluetooth LE (1 Mbit/s) and a 2 Mbit/s MSK proprietary mode. The System-on-Chip also implements a CSEM-proprietary low-power (75 A/V/MHz) DSP for control, protocol and processing, with 96 kB RAM, RTC, SPI, GPIOs, etc. and is implemented with all the passives into the thickness of a FR4 System-in-Package illustrated in Figure 4 below that will be reduced to below 13 mm3 with the use of 2nd generation resonators in Waver-Level-Chip-Scale-Package form.
Figure 1: Radio transceiver architecture and associated layout

The radio transmitter architecture illustrated in the above Figure 1 profits from a 2 GHz BAW resonator and wide synthesizer bandwidth for direct digital modulation and very fast start-up (i.e. <5 s), to reduce associated energy overhead (<30 nJ) and minimize energy consumption for short data packets (9 nJ/bit at 0 dBm 2 Mbit/s). For the targeted datarate of 200 kbit/s, the power consumption is close to 1 mW.

Figure 4: 4.25 x 4.25 x 0.77 mm system-in-package

The project partners are CEA-LETI, VTT, Fraunhofer, University of Bologna, Technical University Berlin, Siemens, Sorin, Med-El, Debiotech, EPCOS, SignalGenerix and Talos. This work was partly funded by the European Commission.
[1] [2]

WiserBAN: EU Project 257454 www.wiserban.eu WiserBAN: A smart miniature low-power wireless microsystem for body area networks, CSEM Scientific and Technical Report (2011) 85

Figure 2: Transmitter start-up and steady state currents

96

An Ultra-low-power Bluetooth Smart Integrated Solution


V. Peiris, M. Kucera, F. Pengg, N. Scolari, A. Vouilloz, D. Barras, E. Le Roux
Bluetooth Smart is gaining significant market momentum for mobile phone centric applications in areas such as medical, sports and lifestyle applications. For such applications, miniaturization and low-power are key to successful deployment, and this paper presents an ultra-low-power and tiny 2.4 GHz RF transceiver called icyTRX that can be embedded into Bluetooth Smart enabled ASICs and SoCs.

The demand for wireless sensors for medical and sport and fitness applications is experiencing a rapid growth. One can regularly see the release of exciting and innovative devices such as heart rate monitors, blood pressure monitors, pedometers, that are connected wirelessly to a computer or smart phone for easy control and monitoring. For such applications, miniaturization is a key requirement which calls for System-on-Chip (SoC) approaches that combine on a single die a variety of low-power resources such as a microprocessor or a DSP, memory, analog/digital sensor signal conditioning chains, power management, versatile interfaces and wireless connectivity. Furthermore, low-power is needed to enable the use of tiny coin-cell batteries and satisfy the portability requirements, which puts significant pressure on usually power hungry peripherals such as the wireless link. Last but not least, a standardized connectivity approach is a must for enabling wide market adoption, in particular for enabling seamless interaction with external wireless-enabled devices and ultimately towards the Cloud or the Internet of Things (IOT). In this context, Bluetooth Smart [1] is expected to be the leading technology used in these wireless sensors, in particular for mobile phone centric solutions. The radio transceiver is a critical part of a wireless SoC, first because it is often the main contributor of the active power consumption, secondly because it is a costly IC item if it occupies a large silicon area or if it relies on costly RF and mixed-signal technology options in particular with ultra-deep-submicron CMOS. To overcome such challenges, CSEM has conducted research for developing a fully integrated radio for supporting Bluetooth Smart enabled SoCs operating in the 2.4 GHz ISM band, and code-named icyTRX. The innovative patent-pending icyTRX architecture provides an unprecedented combination of performance and ultra small silicon area. The icyTRX radio achieves low-voltage and low-power operation with less than 10mW in receive mode from a 1.2 V supply. In addition, it occupies less than 2 mm2 in 90 nm CMOS. It is also very cost-effective as it is integrated in a standard digital process, without using costly RF or mixed-signal mask options, and thereby not impeding the overall SoC cost if co-integrated with large processors, memory and digital peripherals. Furthermore all of the required RF passive devices are integrated on-chip. A block diagram of the icyTRX radio is illustrated below. It includes the entire RF front-end (RX and TX sections), the frequency synthesizer, the digital baseband up to the link layer, and interfaces for enabling easy usage with an external microprocessor.

Figure 1: Block diagram of the icyTRX transceiver architecture

The icyTRX radio consumes only 7.1 mA at 1.2 V in receive mode, with an excellent sensitivity of -94.5 dBm, and 9.2 mA in transmit mode (for 0 dBm output power). It yields rapid PLL settling, as low as 5 s in TX and 15 s in Rx. A photograph of the die is provided below.

Figure 2: The icyTRX transceiver IC yields <2 mm2 in 90 nm CMOS

The icyTRX transceiver is silicon IP that can be directly combined with the required protocol stack IP for realizing complete Bluetooth Smart solutions. For this purpose, CSEM has teamed with RivieraWaves to provide an ultra-low-power and flexible Bluetooth Smart integrated solution for the market that can be embedded into ASICs and SoCs, as illustrated below; thereby enabling chip makers and system integrators to offer low-cost and differentiated SoCs, as opposed to standard Bluetooth Smart ICs that can be found on the market.

Figure 3: Bluetooth smart SoC approach enabled with icyTRX www.bluetooth.com/pages/Bluetooth-Smart-Devices.aspx

[1]

97

An Automated Logo Reading Technology


D. Hasler, V. Moser, P. Volet, S. Cloix, P.-A. Beuchat, C. Gimkiewicz, S. Bechetoille
This work implements a combination of a novel detector class with a traditional convolutional neural network to read one or several logos in an image. The technology needs only a modest amount of computation power and can be used to replace barcodes, for example inside automated vending machines, food processing machines, inventory management applications, or production lines.

In our everyday life, many products are tagged with logos, rather than with a textual description. For example, a DVD may have an anti-piracy logo, a bottle cap may be tagged by the logo of the beverage brand, and a yogurt cap may have a logo or an image that defines the flavour. Even when a product contains a textual description, this description is often written with trendy characters that are difficult to recognise for an off-the-shelf character recognition system. The technology can be applied in applications where a 1D or 2D barcode is used today, but where, for aesthetic reasons, one would prefer avoiding them. CSEM has developed a system than can be trained to detect the presence of a logo and can distinguish among several different logos in an image. A logo can be anything from text to an image. The system is made of a camera with processing capability and an ad-hoc algorithm. The camera itself performs the detection without the need of an attached computer. The Algorithm was realised by combining two classes of algorithms: the first is a posed-indexed AdaBoost classifier, which performs the detection i.e. computes if and where the logo is , and the second is a convolutional neural network that distinguishes among logos. The first algorithm is very fast, and is used to extract the sub-image that contains the logo, and the second is more accurate but much more computationally demanding. Pose indexing in detectors [1] is a technique that proceeds in two steps: the first step consists in estimating the pose of the logo (for example its orientation or scale), and the second step concerns the detection itself using a set of features that adapt to the pose information. Both steps are integrated into a consistent learning framework. For example, if a feature looks for horizontal lines in an image, and the pose estimation gives an orientation of 90 degrees, this feature will adapt itself by looking for vertical lines. The AdaBoost detector is a combination of these features. In this particular work, the pose estimation is reduced to its bare minimum: the camera looks for a logo on a circular object, and the pose, i.e. the orientation, computed from the coordinate on the image given that the object centre is known. The pose estimation is robust and comes for free. This algorithm artefact reduces the computation burden and allows for a better algorithm integration. The low-level features are counting the number of edges with a given orientation in a little square area around the coordinate to test. This number is compared to the number of edges with a different orientation in a square area of same size somewhere else around the coordinate to test, as shown in Figure 1.

Figure 1: Pose indexing. The little white squares are the location where the image is locally tested by one of the many features used. Its position is adapted with respect to the red cross position and the DVD center

Once the location of a logo is identified, the logo is extracted and is processed by the convolutional neural network. The latter is a well-mastered algorithm that delivers an excellent recognition performance. It cannot be used alone, because applying it over the whole image would take too much computation time, besides the fact that the network itself is not robust to orientation changes. The logo reading technology has been used in examples illustrated in Figure 2. The training of the system involves recording and annotating about 1000 images of the logo.

Figure 2: Examples of logo detection, where the technology has been applied

To conclude, the technology can be used in various machines and replaces a barcode to enhance the product look while being competitive from a price point of view. The reading is also extremely reliable.
[1]

K. Ali, F. Fleuret, D. Hasler, P. Fua, A real-time deformable detector. IEEE Transactions on Pattern Analysis and Machine Intelligence, 34 no. 2 (2012)

98

High Precision Sun Tracker


E. Grenet, P. Masa, P.-A. Beuchat, A. Chebira
A spaceCoder device has been adapted to measure the azimuth and elevation of the sun. Thanks to the spaceCoder intrinsic characteristics, this sun tracking device provides high precision performances, and turns out to be extraordinarily reliable even under certain cloudy conditions.

The spaceCoder is an optical absolute measurement system, which detects the position of a light source in its field of view with an extreme precision. Based on shadow imaging, its principle [ 1 ] is simple: the light from an illumination source passes through a transparent plate on which an opaque pattern is drawn, and projects a shadow image onto a vision sensor. The shadow pattern cast on the sensor contains the information on the 3D position of the light source, which is extracted thanks to a dedicated processing of the shadow image. The spaceCoder device is mainly used as a precision encoder for most of its industrial applications in various configurations (rotary, linear, 2D, 3D up to 6D), and works with different light sources (LEDs, lasers) and wavelengths (UV, visible, IR). A new potential application is presented and demonstrated here, using the sun as illumination source to extract the solar azimuth and elevation.

are affected by the refraction effect through the filter and sensor package glasses, but a correction of the deviation is easily performed using the theoretical refraction equations. The azimuth and elevation angles of the sun are deduced from these latitude and longitude angles (Figure 2) as long as the spaceCoder position is well known: in a typical setup, the sun tracker is placed on a flat area and North-oriented. The measurements demonstrated an amazing sensitivity in the sun tracking: A displacement of the sun is detected in less than 10 ms. This is due to the extreme precision of the spaceCoder, which leads to a raw angular precision of about 250 Deg and an angular accuracy close to 50 mDeg without any calibration or post processing. Such performances are required for demanding spatial applications, like tracking either the sun or stars for the orientation of satellites. In typical sun trackers, the imager is usually mounted with optics, detecting the sun spot with a precision limited by the sensor pixel size. Intensive post processing algorithms are then required to achieve similar performances.

Figure 1: Sun tracker (left) and angles extraction (right)

The spaceCoder provides the angular position of a punctual light source independent of its distance, as long as a shadow is generated on the sensor. Despite its huge size, and thanks to its large distance, the sun appears as a punctual light source for the spaceCoder sensor. Taking advantage of the visible part of the solar spectrum irradiance, a cost-effective sun tracker was built (Figure 1), based on a standard CMOS imager. A neutral density filter with high optical density (ND OD4) was added to dramatically reduce the huge amount of light provided by the illumination of the sun, and to avoid any sensor dazzling. At the same time, this strong filter eliminates any possible parasitic light or reflection from the environment.

Figure 3: Different conditions with successful detection, reference image and spaceCoder shadow: (1) clear blue sky, (2) alto-cumulus cloudy sky, (3) cirro-stratus veiled sky and (4) alto-stratus cloudy sky

Figure 2: Sun Tracker coordinates system

In addition to its precision, another breakthrough of the spaceCoder-based sun tracker lies in its incredible reliability. In many situations, the sun is partially or totally covered by clouds. Depending on the cloud types, the system still works (Figure 3): some cirrus or stratus clouds produce high intensity blurred illumination, but the system still detects the position of the sun, albeit with lower precision. With classical sun trackers, the sun spot detection becomes simply impossible.
[1]

The shadow pattern moves as a function of the position of the sun, and its X and Y positions represent the latitude and longitude in the spaceCoder referential (Figure 1). These two angles are totally independent, and accurately computed from the X and Y positions of the pattern shadow, taking advantage of the spaceCoder high precision. These angles

E. Grenet, et al., spaceCoder: a nanometric 3D position sensing device, CSEM Scientific and Technical Report (2011) 89

99

ROIC for High Performance Low Cost Monolithic Uncooled THz fPA
A. Bischof, Y. Zha, S. Beer, N. Blanc
A CMOS-SOI readout circuitry has been developed and integrated on a single chip together with a focal plane array (FPA) of THz sensors.

The terahertz (THz) band of the electromagnetic spectrum extends from the upper edge of microwaves to the infrared. THz radiation is non-ionizing and its associated radiation power is low, therefore it is considered as safe. At the same time, the THz radiation is penetrating. The THz technology opens the door to new and extraordinary applications in the field of real-time spectroscopy or security/surveillance imaging, as a THz image can reveal hidden weapons. The goal of the Teratop project is to develop a device that has the potential to reduce the cost and improve the capability of terahertz uncooled passive imaging in a wide range of applications, with a focus on security. Three leading technologies are combined: THz antennas/sensors, CMOSSOI (Silicon on Insulator) devices and NEMS (Nano Electro Mechanical Systems). A completely new type of THz sensors is developed, and integrated on a single chip together with the CMOS-SOI readout circuitry (ROIC). Two different types of sensors are being evaluated: thermal antennas [ 1 ] and EM coupled MEMS antennas [ 2 ]. With this new approach, it is expected to achieve a breakthrough in functionality (passive THz imaging at room temperature), performance (noise equivalent temperature difference of 0.5 K), component size (THz imager on a single chip) and cost (< 7000 per unit). In this project, CSEM contributes with the development of the CMOS-SOI readout circuitry as well as the integration of ROIC and pixel field on a single chip. In a first phase, two designs were finished, with identical read out circuit but with different focal plane arrays. In one design, a focal plane array of 24x11 pixels (thermal antennas) was incorporated, whereas in the other design, an array of 19x8 pixels (EM Coupled MEMS antennas) was included. The chips were integrated in a 0.18 m CMOS-SOI process, and taped out in September 2012. The architecture of the test chip is shown in Figure 1.

The focal plane array contains a test row, which is connected to dedicated test pads and thus enables operation independent of the ROIC. Besides the pixel field, there is also a shielded row with pixels that are insensitive to THz radiation. An additional test row allows evaluation of the ROIC without the pixel field. The analog data path is shown in Figure 2. The sensor consists of a thermal antenna and a NMOS transistor in diode connection, which is biased with a current source in each column. The THz signal causes a change in temperature of the antenna and thus of the sensor transistor, leading to a voltage change on the output of the sensor.

Figure 2: Analog data path

In each column, the difference in output voltage of selected sensor and shielded sensor is amplified. The voltage difference is afflicted with offset due to mismatches of sensor transistors and biasing currents. As this offset is one order of magnitude larger than the actual signal range, offset calibration is needed. For calibration, an 8-bit segmented current DAC has been implemented. The column amplifier has two stages and provides 50 dB gain. A chopper is used to reduce flicker noise. The low pass filter is needed after the chopper is put off-chip. The 24 column amplifier outputs are multiplexed to 6 pseudo differential outputs. The output buffers are implemented with PMOS source followers. The research leading to these results received funding from the European Union Seventh Framework Program (FP7/2007 2013) under grant agreement n 288442.
[1]

[2]

D. Corcos, I. Brouk, M. Malits, A. Svetlitza, S. Stolyarova, A. Abramovich, E. Farber, N. Bachar, D. Elad, Y. Nemirovsky, The TeraMOS sensor for monolithic passive THz imagers, IEEE International COMCAS (2011) B. Klein, T. Morf, M. Despont, U. Drechsler, D. Corcos, N. Kaminski, D. Elad, L. Kull, M. Braendli, T. Toifl, R. Hahnel, D. Plettemeier, Design of a wide-bandwidth on-chip antenna for uncooled passive THz imaging, IEEE IWAT (2013)

Figure 1: Chip architecture

100

An Ultra-high Speed 4-line Optical Sensor


P. Buchschacher, B. Schaffer, Y. Zha, S. Beer, A. Bischof, N. Blanc, P.-F. Redi
An optical line sensor able to acquire simultaneously and in a single shot white, red, green and blue (WRGB) lines at an ultra-high rate (4x 200000 lines per second) was developed. Such a sensor fits perfectly to high-power white LED illuminations, which are now finding widespread use in many applications. The sensor is implemented in a 0.18-m optical CMOS process.

Line-scan image sensors are widely used for industrial vision where the object moves perpendicularly to the line of pixels in the image sensor, especially because smear free images are acquired without cumbersome strobing and / or frame overlap post-processing. Most high-speed digital line-scan sensors on the market contain 1 or 2 lines x 10244096 pixels with a scan rate of up to 80000 (Ips) lines per second, though some newer devices have higher resolutions, up to 24576 pixels. These sensors have however two issues for colour imaging: first, they require 3 successive expositions with an alternating RGB light, which makes the illumination bulky, reduces the scan-rate by a factor of 3 and introduces colour-smearing; and second, the sensitivity decreases when the resolution increases, because small pixels (for example 3.5 m2 in 16 kpix sensors) cannot collect enough photons within the very short exposure time imposed by the high scan rate. Also, dedicated colour line-scan sensors on the actual market do not offer digital outputs and / or are not so fast. To circumvent this, a different sensor has been designed, which contains 320 x 4(RGBW) lines of rather large but highly sensitive 24m2 pixels with selectable small (50ke-) or large (250ke-) full wells. The sensor acquires in a single shot 4 (WRGB) lines at an unprecedented rate of 4x 200000 lps. Also, the exposure time for each colour is programmed separately to correct for the spectral in balance of the white LED illumination. Figure 1 depicts the architecture of the sensor. The scanning of the 4 lines is implemented with a rolling shutter, as in a conventional area-scan sensor. The readout of each column consists of a correlated double sampling (CDS) stage (amplifier) followed by a 10bit SAR ADC, both running at 1.2 s rate. This short readout time still allows very reasonable 4.5 s exposure time per line when the sensor operates at 200000 lps (5 s rate). The ADC digital outputs are subsequently stored in a line register before being multiplexed to the output ports.

The sensor is packaged in a custom 161-pin CLGA package and evaluated in a custom-made test bed (Figure 2).

High-speed sensor

Custom 161pin CLGA

High-speed camera prototype

Optical test set-up

Figure 2: High-speed sensor in its custom package (top), camera prototype (middle) and optical test set-up (bottom)

The sensor operates as expected up to 4x 200000 lps. The measured conversion gain is 60 / 400 e-/DN and the signal-tonoise-ratio 46 / 54 dB, for small / respectively large full wells. The dynamic range is 60 dB at gain 1. The dark signal nonuniformity is below 1% and the photo response non-uniformity below 3%. The pixels are free of lag. Figure 3 shows the image of a moving QR code acquired with the sensor at full-speed. The magnified portion shows an excellent resolution down to the pixel level.

Figure 3: High-resolution image taken at 200000lps

This work was partly funded by the CTI/KTI; CSEM thanks them for their support.
Figure 1: 4-line sensor architecture

101

Optical Flow Correlator Implementation


P. Buchschacher, E. Innerhofer, V. Revol, B. Schaffer, N. Blanc
An optical flow correlator for a visual navigation has been built with off-the-shelf components.

As exposed in an earlier report [1], the goal of this project is to develop an optical flow correlator in a so-called elegant breadboard box (EBB) with off-the-shelf components. The aim is to later embark the EBB on an unmanned helicopter to simulate in real-time the precision landing of a satellite. The present contribution emphasizes the implementation of the system (please refer to report [1] for more information about its scope, purpose and design). Figure 1 shows the implemented image sensor control (ISC) and laser control (LC) electronics, which hosts the two highspeed image sensors developed by CSEM: the correlation image sensor (CIS) and the monitoring image sensor (MIS).

the box, which acts as a passive radiator. All walls are realized in a honeycomb-like aluminum sandwich construction to reduce the weight and increase the stiffness of the box.

Figure 1: ISC & LC electronics, with CIS (left) and MIS (right) sensors

Figure 3: EBB box design (top) and implementation (bottom)

The design and implementation of the optoelectronic module (OEM) is illustrated in Figure 2. This highly complex module, which comprises 15 optical and over 900 mechanical parts (mainly out of Titanium and some of them out of Invar) was built and optically aligned down to an accuracy of 5 m. Once the full functionality of the optical system was confirmed, all opto-mechanical components were adhesion bonded to fulfill the vibrational, temperature and shock requirements.

Figure 4 left shows recorded correlation images on the CIS at full operating speed. The distance and orientation of the 2 side peaks with respect to the center peak are proportional to the optical flow vector of the image fragments. Figure 4 right shows a test image recorded on the MIS, which checks the correct de-flexion of the SLM pixels.

Figure 4: Recorded correlation (left) and test (right) images

The OEM works as specified and is able to process 256 image fragments (optical Fourier transform and digital preprocessing) within 125ms. In a next step, the system-level software will be ported to a single board computer, which will be added in the EBB box to form the final standalone system. The project partners are the group of Prof. K. Janschek at the Technical University of Dresden and RUAG Space in Zrich. This work was performed under the ESA GSTP-4 Contract 22726/09/NL/CP, Optical Correlator for Push-broom Imagers.
[1]

Figure 2: OEM module design (top) and implementation (bottom)

Figure 3 shows the mechanical design and system implementation of the EBB box. The heat generated by both the ISC & LC electronics and the laser diode is sunken through a large copper thermal strap towards a side wall of 102

P. Buchschacher, et al., Optical flow correlator, CSEM Scientific and Technical Report. (2010) 48

CMOS-compatible Imager for FLIM and TOF Applications


S. Beer, A. Bischof, B. Schaffer, L.-E. Bonjour
An image sensor with 256x256 pixels and a pitch of 6.3 m, suitable for resolving ultra-short optical phenomena, was developed in a standard CMOS process. The pixel comprises a pinned photo diode and three transfer gates allowing for versatile sensor operations such as repetitive exposure and integration. Demodulation of signals at the pixel level with contrast higher than 92% at up to 100 MHz has been achieved. Fluorescence lifetime imaging microscopy (FLIM) and 3D time-of-flight (TOF) imaging have been demonstrated.

Three-dimensional time-of-flight (3D-TOF) range imaging has gained a lot of interest over the last decade especially in machine vision, automotive, and space industries. But also the consumer market is in demand for new solutions for various ranging applications. Fluorescence lifetime imaging (FLIM), which is quite a different application field, has almost identical requirements with respect to the detector demodulation capabilities. While for 3D-TOF imaging solutions based on CMOS or hybrid CCD/CMOS processes are already available on the market, demodulating detectors have not yet been able to fulfill the requirements of FLIM in terms of sensitivity as well as spatial and temporal resolution. This work aims at developing a general purpose image sensor for resolving ultra-short phenomena. As megapixel resolution should be achievable at low cost, this first prototype features a small pixel pitch of 6.3 m and is realized in a standard CMOS process with pinned photodiode (PPD) option. It can generate 3D-TOF and FLIM images with monoexponential luminescence decays from image processing of only two frames at video rate.

on two samples of CdTe quantum dots (Plasmachem GmbH) with maximum spectral peak of 530 nm and 640 nm and fluorescence lifetimes of 6.9 ns and 53.0 ns respectively. FLIM images were generated at 35 frames per second. The measured lifetime was 11.5 ns and 54.0 ns respectively and proved to be independent of the optical signal intensity. The measurement of the shorter lifetime is shown in Figure 2. Its deviation from the nominal value is due to some mismatch of the time delay in the transfer-gate control signals, and will be corrected on- or off-chip in future work.

Figure 2: Intensity and FLIM image of quantum dot solution

The 3D-TOF setup includes an illumination source at 850 nm peak wavelength operated at 16 MHz. This limit was imposed by the driving circuit and not by the sensor, which demonstrated excellent demodulation capability up to 100 MHz [1]. The imager was evaluated in a dark lab with a target board fixed on a rail system, allowing automated distance sweeps from 1 m to 4 m. The imager has a low sense-node saturation capacitance of nearly 10 ke, which limits its performance with respect to the distance resolution and especially the background signal immunity. Under optimum conditions the standard deviation of the depth information is as low as 20 mm, which is very close to the physical limit given by the photon shot noise.
Figure 1: Schematic of the pixel

The imager features 256x256 pixels, each containing a pinned photo diode and three transfer gates (see Figure 1). The pixel layout development was based on thorough finite-element simulations executed with Synopsys TCAD tools. The alternating activation of the gates TX1 and TX2 separates the photo-electrons depending on their time of arrival into the two sense nodes. TXR works as a global photo-diode reset to drain charges from the PPD while activated. Thus FLIM autofluorescence is suppressed and charge integration is stopped during read out. The charge transferred to the two sense nodes is converted into two voltages and read out with source followers. The differential imager output signals are proportional to the charge difference between the two sense nodes of every pixel. The imager has been tested as well in a FLIM and in a 3DTOF application. Fluorescence lifetime imaging was executed

This work was executed within the frame of a PhD thesis [2]. The feasibility of large-array CMOS imager for optical temporal waveform analysis suitable for FLIM and 3D-TOF was successfully demonstrated.
[1]

[2]

Sensirion AG, Stfa, Switzerland L.-E. Bonjour, M. Kayal, N. Blanc, D. Beyeler, CMOS demodulation image sensor for nanosecond optical waveform analysis, IEEE Sensors Journal (2013) accepted, to be published L.-E. Bonjour, "CMOS demodulation image sensor for nanosecond optical waveform analysis", Ph.D. dissertation, EPFL Swiss Federal Institute of Technology (2013)

103

HDR Sensor for Smart Vision Systems


A. Corbaz, P.-F. Redi, P. Heim, N. Raemy, H.-R. Graf, S. Bechetoille, P. Persechini, C. Arm, F. Kaess, T.-C. Le, C. Monneron, R. Caseiro, J.-L. Nagel, D. Sigg, D. Manic, A. Vouilloz, P.-A. Beuchat, D. Sverac, D. Hasler, E. Le Roux
The DVSense circuit is a 320 x 240 pixels (QVGA) CMOS digital image sensor providing a high dynamic range and an intensity resolution independent of the illumination. It combines on a single chip image capture and processing like contrast magnitude and direction. DVSense circuit has been integrated in a 0.18 m optical process and implements all the classical production tests to be manufactured in mass production.

Real-time visual scene analysis in environments with uncontrolled and changing illumination conditions is a challenging task. The icycam [ 1 ] System-on-Chip (SoC) developed by CSEM a few years ago solved this problem by incorporating on a single chip a QVGA pixel array with 120 dB dynamic range, a 32-bit icyflex1 processor and a 128 Kbytes memory. However, icycam had 2 limitations: i) reduced lowlight performances; ii) lack of flexibility in processing power by incorporating the processor on-chip. To circumvent these limitations, a new circuit called DVsense was developed. DVsense is a 320 x 240 pixels (QVGA) CMOS Sensor with high dynamic range (HDR) operation based on the icycam pixel technology. This imager has specifically been designed to be interfaced to a wide variety of processor/DSP using either a high bandwidth parallel port for fast transmission of the image or a lower bandwidth I2S ports. The control interface is performed with a service provider interface (SPI) bus. The CSEM icyflex1 processor and its associated 128 Kbytes of memory were replaced by a small icyflex2 [2] microcontroller complemented by a data acquisition unit (DAU) to control and use the optical front end. The main features of the SoC are: In addition to the luminance information, the readout path extracts on-the-fly the local contrast magnitude and direction to reduce the processing power required to analyze a visual scene A programmable region of interest (ROI) valid for luminance, contrast and direction features with a granularity of 8 pixels horizontal and 4 pixels vertical Several image acquisition modes: free run mode with programmable frame rate or external trigger On-chip ambient light sensing in order to enable or disable external LED illumination

photodiode on a capacitor and stores in a 10-bit memory a digital word proportional to the logarithm of the time needed to reach a reference voltage. To increase the low light performances and target 0.1 Lux operation without LED illumination, an important effort to improve the image quality was realized. From the previous Icycam generation, the majority of the analog blocks have been optimized with respect to noise. In addition, microlenses are used to increase the fill factor.

Figure 2: High dynamic range visual scene (luminance)

To enable the use of the sensor even in complete darkness, the sensor can control an external LED. For this purpose, the ambient light may be sensed through integrated photo-diode or an external photo-diode. The integrated solution offers a high range of illumination measurement between 0.01 Lux to 100 Lux in order to enable or disable the LED illumination.The end-user can by-pass the integrated photo-diode and current reference in order to use an external on-board photo-diode and an external on-board threshold level. Currently the DVSense SoC is in validation phase and performs all of the intended logical functions correctly. The successful integration of the SoC is a major step for the vision sensor activity. It is ideal for vision application in environments with uncontrolled illumination conditions. The DVSense SoC will be produced in large volumes.
[1]

[2]

C. Arm, et al., Icycam a high dynamic range vision system, CSEM scientific and technical report (2008) 20 J.-L. Nagel, et al., The icyflex2 processor architecture, CSEM scientific and technical report (2009) 31

Figure 1: DVSense circuit

DVsense achieves a 120 dB intra-scene dynamic range thanks to a logarithmic compression implemented in the digital domain. Each pixel integrates the photocurrent delivered by a 104

ANNEXES
Publications
[1] A. Bieberle-Htter, J. Santis-Alvarez, B. Jiang, P. Heeb, T. Maeder, M. Nabavi, D. Poulikakos, P. Niedermann, A. Dommann, P. Muralt, A. Bernard, L. J. Gauckler, ''Syngas generation from n-butane with an integrated MEMS assembly for gas processing in micro-solid oxide fuel cell systems'', Lab on a Chip, 12, September 2012, 4894-4902 D. L. Boiko, P. P. Vasil'ev, ''Superradiance dynamics in semiconductor laser diode structures'', Optics Express, 20 (9), April 2012, 95019515 D. L. Boiko, ''Speeding up GaN for biomedical sciences '', Compound Semiconductor, 18 (January/February ), January 2012, 27-30 J.-F. Christmann, E. Beigne, C. Condemine, J. Villemin, C. Piguet (2012), ''Gestion de lnergie dans un microsystme autonome'', M. Belleville, C. Condemine (Eds.), Micro et nanosystmes autonomes en nergie ; des applications aux fonctions et technologies (pp. 331-354) 978-2-7462-2517-6 J.-F. Christmann, E. Beigne, C. Condemine, J. Villemin, C. Piguet (2012), ''Energy Management in an Autonomous Microsystem'', M. Belleville, C. Condemine (Eds.), Energy Autonomous Micro and Nano Systems (pp. 301-324) 978-1-84821-357-9 C. V. Falub, H. von Knel, F. Isa, R. Bergamaschini, A. Marzegalli, D. Chrastina, G. Isella, E. Mller, P. Niedermann, L. Miglio, ''Scaling hetero-epitaxy from layers to three-dimensional crystals'', Science, 335 (6074), March 2012, 1330-1334 M. Felder, P. Sallin, L. Barbe, B. Haenni, A. Gazdhar, T. Geiser, O. Guenat, ''Microfluidic wound-healing assay to assess the regenerative effect of HGF on wounded alveolar epithelium'', Lab on Chip, 12, January 2012, 640-646 D. Ferrario, B. Grychtol, A. Adler, J. Sola, S. Bohm, M. Bodenstein, ''Toward Morphological Thoracic EIT: Major Signal Sources Correspond to Respective Organ Locations in CT'', IEEE Transactions on Biomedical Engineering, 59 (11), November 2012, 3000 - 3008 M. Guillaume, L. A. Dunbar, R. P. Stanley, ''Description of the modes governing the optical transmission through metal gratings'', Optics Express, 19 (15), January 2012, 4740-4755 [10] A. Hutter, E. Onillon, S. Malik, J. Krauss, ''Nouveau concept de rgulation intelligente'', Bulletin SEV/AES Electrosuisse, Bulletin 8, August 2012, 33-37 A. Hutter, ''Schutzbekleidung gegen Laserstrahlung'', Technische Textilien (5), November 2012, 199-201 A. Hutter, E. Onillon, S. Malik, J. Krauss, ''Neues Konzept intelligenter Regulierung, bis zu 30 % Heizkosteneinsparung '', Bulletin SEV/AES Electrosuisse - ITG, Bulletin 10s/2012 (Numro spcial octobre 2012), October 2012, 19-23 B. Jiang, P. Muralt, P. Heeb, A. J. Santis Alvarez, M. Nabavi, D. Poulikakos, P. Niedermann, T. Maeder, ''A micro heater platform with fluid channels for testing micro-solid oxide fuel cell components'', Sensors and Actuators B: Chemical, 175, December 2012, 218-224 R. Jose James, J. Pierer, C. Bosshard, J. Haesler, T. Overstolz, D. Ruffieux, S. Lecomte, ''Miniature Atomic Clocks'', Smart Systems Integration 2012, March 2012, ISBN 978-3-8007-3423-8 T. Kinkeldei, G. Mattana, D. Leuenberger, C. Ataman, F. Molina Lopez, A. Vasquez Quintero, D. Briand, G. Nisato, N. F. de Rooij, G. Trster, ''Feasibility of printing woven humidity and temperature sensors for the integration into electronic textiles'', Advances in Science and Technology, 80, September 2012, 77-82 S. Lecomte, J. Haesler, ''Une horloge atomique dans une montre-bracelet: rve ou ralit?'', Bulletin SSC, 69, May 2012, 1-4 F. Loizeau, T. Akiyama, S. Gautsch, A. Meister, P. Vettiger, N.F. de Rooij, ''Two-dimensional cantilever array with varying spring constants and tip radii for'', Micro & Nano Letters, 7 (4), January 2012, 301-305 F. Montagne, N. Blondiaux, A. Bojko, R. Pugin, ''Molecular Transport through nanoporous silicon nitride membranes produced from self-assembling block copolymers'', Nanoscale, 4, July 2012, 58805886 C. Piguet (2012), ''Traitement du signal trs basse consommation dans les systmes autonomes'', M. Belleville, C. Condemine (Eds.), Micro et nanosystmes autonomes en nergie ; des applications aux fonctions et technologies (pp. 271302), Hermes Science, 978-2-7462-2517-6 105

[11]

[2]

[12]

[3]

[4]

[13]

[14]

[5]

[15]

[6]

[16]

[7]

[17]

[8]

[18]

[9]

[19]

[20]

C. Piguet (2012), ''Ultra-Low-Power Signal Processing in Autonomous Systems'', M. Belleville, C. Condemine (Eds.), Energy Autonomous Micro and Nano Systems (pp. 241-272), 978-1-84821-357-9 C. Piguet (2012), ''Digital Circuits'', UNESCOEOLSS Publishers Co Ltd, Oxford, UK V. Revol, C. Kottler, R. Kaufmann, A. Neels, A. Dommann, ''Orientation-selective X-ray dark field imaging of ordered systems'', Journal of Applied Physics, 112, December 2012, 114903 L. Sulmoni, J.-M. Lamy, J. Dorsaz, A. Castiglia, J.-F. Carlin, W. G. Scheibenzuber, U. T. Schwarz, X. Zeng, D. L. Boiko, N. Grandjean, ''Static and dynamic properties of multi-section InGaN-based laser diodes'', J. Appl. Phys, 112 (10), November 2012, 103112

[24]

B. Wenger, K. Kugelbrey, H. Chai-Gao, H. Sigrist, G. Voirin, ''Au-labeled antibodies to enhance the sensitivity of a refractometric immunoassay:detection of cocaine'', Biosensors and Bioelectronics, 34, February 2012, 94-99 F. Zamkotsian, B. Timotijevic, R. Lockhart, R. P. Stanley, P. Lanzoni, M. Luetzelschwab, M. Canonica, W. Noell, M. Tormen, ''Optical characterization of fully programmable MEMS diffraction gratings'', Optics Express, 20 (23), November 2012, 25267-74 X. Zeng, D. L. Boiko, G. Cosendey, M. Glauser, J.-F. Carlin, N. Grandjean, ''Optically pumped long external cavity InGaN/GaN surface-emitting laser with injection seeding from a planar microcavity'', Appl. Phys. Lett., 101 (14), October 2012, 141120

[21] [22]

[25]

[23]

[26]

Proceedings
[1] L. Balet, J. Haesler, S. Lecomte, ''VCSEL-based Raman frequency reference on Rubidium atoms'', 2012 European Frequency and Time Forum (EFTF), Gteborg (SE), 23-27 April 2012, 316-319 J. F. Christmann, E. Beign, C. Condmine, J. Willemin, C. Piguet, ''Energy Harvesting and Power Management for Autonomous Sensor Nodes'', The Design Automation Conference - DAC, San Francisco (US), 3-7 June 2012 J. F. Christmann, E. Beign, C. Condemine, C. Piguet, ''Event-Driven Asynchronous Voltage Monitoring in Energy Harvesting Platforms'', IEEE International NEWCAS Conference, Montreal (CA), 17-20 June 2012 R. Couturier, S. Domas, G. Goavec-Merou, M. Favre, M. Lenczner, A. Meister, ''A New Approach Based on a Least Square Method for Real-Time Estimation of Cantilever Array Deflections with an FPGA '', dMEMS 2012, Besanon (FR), 2-3 April 2012, 30-37 J. R. Farserotu, J. Baborowski, J.-D. Decotignie, et. al., ''Smart Skin for tactile prosthetics'', International Symposium on Medical Information and Communication Technology 2012 - ISMICT 2012, San Diego (US), 26-29 March 2012 D. Fengels, ''REHA- Aquabike - Revolutionre Rehabilitierung im Wasser'', Worl Medtech Forum, Luzern (CH), September 2012 [7] S. Giudice, R. Jose James, G. Spinola Durante, C. Bosshard, C. Muller, P. Niedermann, A. Dommann, C. Kottler, C. Urban, H.-R. Elsener, R. Longtin, J. R. Sanchez, P. Groening, ''Towards miniature carbon-nanotube based X-ray sources'', Smart System Integration 2012, Zrich (CH), 21-22 March 2012 N. Grandjean, L. Sulmoni, J.-M. Lamy, J. Dorsaz, A. Castiglia, J.-F. Carlin, X. Zeng, D. Boiko, W.G. Scheibenzuber, U.T. Schwarz, ''III-N based violet emitting short - pulse laser diodes'', SPIE Photonics Europe 2012, Brussels (Bg), 16-19 April 2012 J. Haesler, J. Benns, T. Overstolz, J. Pierer, R. J. James, D. Ruffieux, S. Lecomte, ''Swiss Miniature Atomic Clock: first prototype and preliminary results'', 2012 European Frequency and Time Forum (EFTF), IEEE, Gteborg (SE), 23-27 April 2012, 312-315 M. Hkanson, J. Ruppen, O. Guenat, L. Barbe, ''Microfluidic platform for anticancer drug screening on 3D cell culture models'', 3D cell culture: Advanced model systems, Applications and Enabling Technologies, Zrich (CH), 14-16 March 2012 S. Heub, D. Mller, L. Barbe, S. Follonier, ''Integrated and automated sample preparation platform for on-site monitoring of EDCs in water'', 8th NanoBio-Europe Conference, Varese (IT), 18-20 June 2012

[2]

[8]

[3]

[9]

[4]

[10]

[5]

[6]

[11]

106

[12]

H. Hui, Y. Yakoubi, M. Lenczner, S. Cogan, A. Meister, M. Favre, R. Couturier, S. Domas, ''Modeling, Filtering and Optimization for AFM Arrays'', dMEMS 2012, Besanon (FR), 2-3 April 2012, 46-52 R. Jose James, J. Pierer, C. Bosshard, J. Haesler, T. Overstolz, D. Ruffieux, S. Lecomte, ''Miniature Atomic Clocks'', Smart System Integration, Zrich (CH), 21-22 March 2012 F. Kehl, S. Follonier, J. Vrs, ''Angle Interrogating Optical Sensor ARGOS: Scanning MEMS Mirror for Waveguide Grating based Label-Free Biosensing '', Biosensors 2012, Cancun (MX), 15-18 May 2012, 39 F. Kehl, P. Bchel, M. Gross, S. Follonier, J. Vrs, ''Self-Referencing Label-Free Waveguide Grating Sensor For Remote Surveillance Of Toxins And Pollutants'', Elsevier-Label Free Technologies, Amsterdam (NL), 1-3 November 2012 J. B. Larsen, H. Graf, L. Barbe, U. Graf-Hausner, S. Generelli, O. Guenat, ''Electrochemical microsensors for monitoring the functions of liver cells in a modular based microfluidic system'', SEURAT-1 Annual Meeting, Lisbon (PT), 7-9 February 2012 J. B. Larsen, S. Generelli, O. Guenat, S. Prill, M. Jaeger, L. Barbe, ''Hepatic Microfluidic Bioreactor - Microsensors for monitoring the functions of liver cells in a modular based microfluidic polymer system'', 14th Annual Conference of EUSAAT 2012, Linz (AT), 05-08 September 2012 F. Lemonier, P. Millet, G. Marchesan Almeida, M. Huebner, J. Becker, S. Pillement, O. Sentieys, M. Joedam, S. Sinha, K. Goossens, C. Piguet, M. Morgan, R. Lemaire, ''Towards Future Adaptive Multiprocessor Systems-On-Chip: An Innovative Approach for Flexible Architectures'', International Conference on embedded Computer Systems: Architectures, Modeling and Simulation - SAMOS XII, Samos (GR), 16-19 July 2012 A. Lingamneni, K. Palem, C. C. Enz, C. Piguet, ''Synthesizing Parsimonious Inexact Circuits through Probabilistic Design Techniques'', ACM Transactions on Embedded Computing Systems ACM TECS, January 2012 A. Lingamneni, K. Palem, C. C. Enz, C. Piguet, ''Realizing Energy Parsimonious Systems through Inexact/Approximate Computing: A Survey and Future Directions'', Smart Systems Integration, Zurich (CH), 21-22 March 2012

[21]

[13]

A. Lingamneni, K. K. Muntimadugu, C. C. Enz, K. Palem, C. Piguet, R. M. Karp, ''Algorithmic Methodologies for Ultra-efficient Inexact Architectures for Sustaining Technology Scaling'', ACM Intl. Conf. on Computing Frontiers, Cagliari (IT), 15-17 May 2012 J. Luprano, ''Progress in interactive textiles for health monitoring'', CIMTEC 2012, Montecatini Terme (IT), 10-14 June 2012, to come M. Markovic, M. Rapin, M. Correvon, Y. Perriard, ''Design of a blood pump for a wearable artificial kidney device'', IEEE Energy Conversion Congress & Exposition - ECCE2012, Raleigh (US), 20 September 2012 S. Paoletti, S. Follonier, L. Barbe, ''Lab-in-a-pipette tip for rapid contaminant screening', Microscon conference, Olten (CH), 16-17 October 2012 S. Pasche, B. Schyrr, B. Wenger, E. Scolan, R. Ischer, G. Voirin, ''Smart Textiles with Biosensing Capabilities'', CIMTEC 2012, Montecatini Terme (IT), 10-14 June 2012 J. Pierer, T. Stadelmann, C. Bosshard, T. Volden, S. F. Graf, H. F. Knapp, J. Alvarez, D. Hill, M. Cretich, M. Chiari, P. Bettotti, L. Pavesi, F. Saharil, K. B. Gylfason, T. Haraldsson, G. Platt, M. Swann, K. Beyer, G. Skorsi, ''Photonic sensing of food allergy: integration and miniaturization'', Smart System Integration 2012, Zrich (CH), 21-22 March 2012 C. Piguet, ''Engineers, you have to go for GreenTech!'', IEEE Faible Tension Faible Consommation - IEEE FTFC, Paris (FR), 6-7 June 2012 A. Pollini, ''Flash Optical Snesors for Guidance, Navigation and Control Systems'', Guidance and Control 2012, M.L.Osborne, Breckenridge (US), 3-8 February 2012, 42 503-517 M. Pons, M. Morgan, C. Piguet, ''Fixed Origin Corner Square Inspection Layout Regularity Metric'', 15th Design, Automation & Test - DATE, Dresden (DE), 12-16 March 2012 M. Pons, J.-L. Nagel, C. Piguet, ''Maximum Delay Variation Temperature-Aware Standard Cell Design'', The IEEE International Conference on Electronics, Circuits, and Systems - ICECS, Seville (ES), 9-12 December 2012 M. Pons, F. Moll. C. Abella, C. Piguet, ''Process Variations Aware Design'', Winter School on Design Technologies for Heterogeneous Embedded Systems - FETCH, Alpes dHuez (FR), 9-11 January 2012

[22]

[14]

[23]

[15]

[24]

[25]

[16]

[26]

[17]

[27]

[18]

[28]

[29]

[19]

[30]

[20]

[31]

107

[32]

M. Pons, M. Morgan, C. Piguet, ''Fixed Origin Corner Square Inspection Layout Regularity Metric'', Design, Automation & Test in Europe DATE 2012, Dresden (DE), 12-16 March 2012 E. Portuondo-Campa, S. Kundermann, S. Lecomte, ''Compact Hz-level linewidth laser system'', 2012 European Frequency and Time Forum (EFTF), Gteborg (SE), 23-27 April 2012, 174-177 L. Rossini, S. Mingard, A. Boletis, E. Forzani, E. Onillon, Y. Perriard, ''Rotor design optimization for a reaction sphere actuator'', 15th International Conference on Electrical Machines and Systems (ICEMS), Sapporo (JP), 21-24 October 2012, 1-6 L. Rossini, E. Onillon, O. Chtelat, Y. Perriard, ''An Optimal Sensor Placement Strategy for Force and Torque Analytical Models of a Reaction Sphere Actuator for Satellite Attitude Control'', XXth International Conference on Electrical Machines ICEM, Marseille (FR), 2-5 September 2012, 2545-2551

[36]

[33]

A. Steinecker, J. Taprogge, et al., ''Parallel Packaging of Micro Electro Mechanical Systems Using Self-Alignment'', International Precision Assembly Seminar, IPAS 2012, Chamonix (FR), 12-15 February 2012, 28-35 L. Sulmoni, J.-M. Lamy, J.-F. Carlin, X. Zeng, D. L. Boiko, N. Grandjean, ''Self-pulsating multisection InGaN laser diode'', 39th International Symposium on Compound Semiconductors - ISCS 2012, Santa Barbara (US), May 28 - June 1 X. Zeng, D. L. Boiko, ''Towards First Active Clock Based on Mode-Locked InGaN VECSEL with Rubidium Vapor Cell Saturable Absorber'', European Frequency and Time Forum - EFTF 2012, Gothenburg (SW), 24-26 April 2012, 105-108

[37]

[34]

[38]

[35]

Conferences and Workshops


S. Abolhassani, C. Proff, L. Veleva, K. Samec, V. Malipudi, M. Dadras, ''On the origin of cracks in the oxides and their influence on the oxidation behavior-case study of zirconium and its oxide'', EMC2012 (The 15th European Electron Microscopy congress), Manchester (UK), 6-21 September 2012 S. Ahmed, M. Giazzon; M. Favre, S. Angeloni, N. Matthey, M. Liley, ''Transeptithelial Electrical Resistance Measurements as an Environmental Sensor'', Nano-Tera Annual Meeting, Zrich (CH), 27 April 2012 S. Angeloni, S. Ahmed, M. Favre, M. Giazzon, R. Limacher, D. Fengels, C. Jud, B. Rothen, M. Liley, ''Trans Epithelial Electrical Resistance (TEER) measurements: exploring new electrode configurations and dynamic flow conditions to validate TEER as cytotoxicity tool'', SAG meeting, Berne (CH), 20 November 2012 N. Blondiaux, G. Franc, R. Pugin, ''Manufacturing of superhydrophobic surfaces combining nanosphere lithography with replication techniques'', IEEE Nano 2012, Birmingham (UK), 20-23 August 2012 N. Blondiaux, R. Pugin, ''Manufacturing of nanostructured plastic parts by hot embossing'', CMI Days, EPFL, Lausanne (CH), 8 May 2012 N. Blondiaux, R. Pugin, ''IMPRESS, Plateforme modulable pour l'injection plastique de composants micronanostructurs'', Micro-Nanotechnologie dans les matriaux polymres, Fribourg (CH), 22 November 2012 N. Blondiaux, G. Franc, R. Pugin, ''Low cost manufacturing of functional plastic components combining nanosphere selfassembly and replication techniques'', 4M, Vienna (AU), 3 October 2012 D. L. Boiko, X. Zeng, T. Weig, U. T. Schwarz, L. Sulmoni, J.-M. Lamy, N. Grandjean, ''1.1 ps pulse-on-demand generation in tandem-cavity InGaN laser'', European Semiconductor Laser Workshop - ESLW 2012, Brussels (BE), 21 September 2012 A. Bojko, ''Fabrication of ultrathin nanporous silicon membranes using self-assembling polymers'', 7th International Workshop on Nano-Spectroscopy and Nanotechnology, Zrich (CH), 2-6 July 2012 C. Bosshard, ''Optoelectronic packaging: from challenges to solutions'', Seminar on Optical Packaging, Alpnach Dorf (CH), 16 May 2012 C. Bosshard, ''Mikrotechnik fr die Lasergassensorik: Integration und Packaging'', Microday 2012, Luzern (CH), 24 May 2012 C. Bosshard, G. Kotrotsios, ''The Photonics Sensing and Integration Platform of the Heterogeneous Technology Alliance'', 8th ESA Round Table on micro- and nanotechnologies, Nordwijk (NL), 15-18 October 2012 J.-M. Breguet, S. Henein, I. Kjelberg, M. Gumy, W. Glettig, S. Lecomte, D. Boiko, V. Mitev, ''Tunable Extended-cavity Diode Laser based on a novel flexure-mechanism'', International Symposium on Optomechatroncis Technologies, Paris (Fr), 29-31 October 2012 M. Dadras, M. Leboeuf, S. Lani, A. Lieb, S. Kliendiek, ''AFM in ESEM: new possibilities and applications'', EMC2012 (The 15th European Electron Microscopy congress), Manchester (UK), 16-21 September 2012

108

M. Dadras, O. Kocabiyik, P. Van der Wall, N. Mora, F. Rachidi, ''Polymer Matrix Composites with Nickel Nanoparticles for Electromagnetic Shielding Applications'', EMC2012 (The 15th European Electron Microscopy congress), Manchester (UK), 16-21 September 2012 N. de Rooij, ''Product Innovation enabled by MEMS'', MAM 2012, Villars-sur-Ollon (CH), 23 January 2012 A. Dommann, A. Schifferle, B. Neuenschwander, A. Neels, ''High resolution x-ray diffraction used for the qualification of laser dicing for microsystems'', Alt12 Advanced Laser Technologies, Thun (CH), 2-6 September 2012 L. A. Dunbar, S. Angeloni, G. Bergonzi, B. Timotijevic, M. Liley, P. Niedermann, R. P. Stanley, U. Vogler, A. Bramati, R. Kirner, D. Peterer, R. Vlkel, ''Advanced Mask Aligner Lithography'', Swiss NanoConvention, Lausanne (CH), 23 May 2012 L. Egli, J. Grbner, M. Smid, G. Porrovecchio, T. Burnitt, K. Nield, S. Gibson, J. Dubard, S. Nevas, M. Tormen, ''New Technologies to Reduce Stray Light for Measuring Solar UV with Array Spectroradiometers'', International Radiation Symposium 2012, Berlin (DE), 6-10 August 2012 M. Favre, S. Angeloni, E. Collnot, A. Ahluwalia, M. Liley, ''Ultra-thin microporous support for in vitro model of biological barrier'', Advances in in-vitro cell culture, Utrecht (NL), 22-23 May 2012 D. Fengels, ''In situ Prozessberwachung in der Laborautomation'', Swiss Symposium on Lab Automation 2012, Rapperswil (CH), March 2012 D. Fengels, ''Zerstrungsfreie Materialprfung von Schttgut on the fly in der vollautomatischen Produktion'', Automation Event, Solothurn (CH), March 2012 D. Fengels, ''REHA-Aquabike - Revolutionre Rehabilitation im Wasser'', MCCS Microday 2012, Luzern (CH), May 2012 S. Follonier, S. Cattaneo, L. Calzolai, ''Smart-Nano project presentation'', Joint workshop Nanolyse, 'Smart-Nano, Nanodetector, and Instant, Vienna (AT), 7 September 2012 O. Fryckova, ''CSEM Nanotechnologies enabling industrial applications '', 4th European Networking Event, Dsseldorf (DE), 8-9 March 2012 S. Generelli, ''Microfabrication using plastics'', HeMiBio winterschool 2012, Jerusalem (IL), 16-17 January 2012 M. Giazzon, M. Favre, S. Ahmed, R. Ischer, M. Liley, ''TEER measurement for 2D and 3D cell models'', 3D Cell Culture, Zrich (CH), 14-16 March 2012 S. Gray, ''Extending the battery lifetime of wireless sensors.'', BSN2012, London (UK), 5 May 2012 H. Heinzelmann, ''Anwendungsbeispiel aus der Nanotechnologie'', Technology Briefing "Nanotechnologie fr den Cleantech-Bereich", Berne (CH), 25 January 2012 H. Heinzelmann, ''Applications of Micro- and NanoStructuring'', Molecular Materials Meeting M3 Conference, Singapore (SG), 9-11 January 2012

H. Heinzelmann, ''Das CSEM als Innovationspartner fr die Industrie'', NanoEvent "NanoCompetence", i-net Nano, Basel (CH), 22 March 2012 F. Kehl, T. Guillod, ''Combined FEM and analytical method for the simulation and optimization of planar dielectric waveguide grating biosensors'', 8th Workshop on Numerical Methods for Optical Nano Structures, Zrich (CH), 2-4 July 2012 I. Kjelberg, ''Virtual Prototyping by Multiphysics Simulations at CSEM'', SWISS VPE Symposium, Rapperswil (CH), 19 April 2012 I. Kjelberg, ''Why Astrophysics Instrumentation at CSEM?'', CSEM Astrophysics and Space Exploration Conference, Berne (CH), 5 November 2012 G. Kotrotsios, ''Welcome Speech'', Smart System Integration, Zurich (CH), 2 April 2012 G. Kotrotsios, ''Pooling RTO Ressources for Scale and Scope'', EARTO annual conference, Geneva (CH), 7-8 May 2012 G. Kotrotsios, ''Stimuler les changes commerciaux par la recherche et la technologie'', Core du Sud: industrie MEM et march ICT (OSEC), Zurich (CH), 2 May 2012 G. Kotrotsios, CTI, Press Conference on Special Measures of the Swiss Confederation, Berne (CH), 21 February 2012 G. Kotrotsios, ''R&D: a tool to improve commercial exchanges'', KOTRA, Global Partnership Europe, Zurich (CH), 24-25 May 2012 G. Kotrotsios, ''Swiss Center for Electronics and Microtechnology (CSEM) a Swiss way to do innovations'', 2nd Swiss-Russian Industry Meeting, Congress Centre Ramada, Basel (CH), 6 July 2012 G. Kotrotsios, ''Technological Research as a Tool to Strengthen International Business Relations'', SwissSingapore Workshop on Microfluidics, 10 October 2012 G. Kotrotsios, ''Cross Border Commercial relations built on R&D'', Global Material and Component Cooperation Strategy Seminar, KAIT- MKE, Seoul (KR), 31 October 2012 C. Kottler, ''X-ray Grating-based Phase Contrast CT for Nondestructive Testing and Evaluation'', Conference on Industrial Computed Tomography, Wels (AT), 19-21 September 2012 J. Krauss, ''CleanTech activities @ CSEM'', Energy efficiency in buildings, Aarau (CH), 1 June 2012 M. Krieger, R.Wyss, ''Tileye - A self-learning optical inspection system for complex production environments'', 3rd Micronarc Alpine Meeting, Equipment for Microproducts, Villars-sur-Ollon (CH), 24 January 2012 M. Krieger, A. Neels, R. Kaufmann, C. Kottler, V. Revol, ''Non-destructive testing for micro-systems using X-ray imaging and diffraction methods'', 25th SMT Hybrid Packaging Conference and Exhibition, Nrnberg (DE), 8-9 May 2012 109

J. Kruis, et al., ''Design of a miniature laser head for highbandwidth, low-amplitude beam steering'', International Symposium on Optomechatronics Technologies, Paris (FR), 29-31 October 2012 J.-M. Lamy, L. Sulmoni, J.-F. Carlin, X. Zeng, D. L. Boiko, T. Weig, U.T. Schwarz, N. Grandjean, ''Self-pulsating regime from multi-section InGaN-based laser diode'', European Semiconductor Laser Workshop - ESLW 2012, Brussels (BE), 21 September 2012 M. Liley, ''InLiveTox'', Nanocluster workshop, Grenoble (FR), 29-30 May 2012 M. Liley, ''New devices for model biological barriers in nanotoxicology, nanomedicine and inhalation studies'', EUSAAT 2012, Linz (AT), 5-8 September 2012 M. Liley, S. Angeloni, M. Favre, S. Ahmed, H. Heinzelmann, ''New tools for model biological barriers'', 7th International Conference on the Environmental Effects of Nanoparticles and Nanomaterials 2012, Banff (CA), 10-12 September 2012 A. Lingamneni, C. C. Enz, K. Palem, C. Piguet, ''Realizing Energy-Parsimonious Systems through Inexact Circuits'', 5th IEEE LPonTR'12 workshop, Annecy (FR), 31-1 June 2012 N. Marjanovi, ''Combinatorial fabrication of OTFTs and alternative modelling approach using gm/Id normalization'', COSMIC/POLARIC Autumn School 2012, Printed Electronics and Foil Assembly, Munich (DE), 19-20 November 2012 A. Meister, ''Parallel AFM tools for cell analysis and manipulation'', SAOG 2012, Fribourg (CH), 27 January 2012 A. Meister, M. Favre, G. Weder, R. Ischer, F. Loizeau, S. Gautsch, M. Liley, H. Heinzelmann, ''Parallel atomic force microscopy platform for multiple cell analysis and manipulation'', NanoBioTech, Montreux (CH), 12-14 November 2012 F. Montagne, M. Klein, N. Blondiaux, R. Pugin, ''Ultrathin nanoporous silicon membranes for bioseparations'', WFC 11, Graz (AU), 17-20 April 2012 M. Morgan, ''icycom, an Ultra-Low-Power RF System-onChip'', Matinale Wear-a-BAN: textiles intelligents pour les jeux vido et la vie connecte, Paris (FR), 5 December 2012 F. Oliveira, Y. Leterrier, J.-A. E. Manson, O. Sereda, A. Neels, A. Dommann, ''Novel high diffusion barrier and piezoelectric PVDF-TrFE based materials for gas storage applications'', E-MRS Fall Meeting, Warsaw (PL), 17-21 September 2012 J. Pierer, M. Ltzelschwab, S. Grossmann, G. Spinola Durante, C. Bosshard, B. Valk, R. Brunner, R. Bttig, N. Lichtenstein, ''Automatisierte Montage von Mikrooptiken fr Hocheistungslaserdioden'', Mikromontage 2012, Stuttgart (DE), 12 June 2012

M. Pons, F. Moll, J. Abella, C. Piguet, ''Process Variations Aware Design'', 6th Ecole d'hiver Francophone sur les Technologies de Conception des Systmes embarqus Htrognes - FETCH, Alpe d'Huez (FR), 9-11 January 2012 R. Pugin, ''Manufacturing of nanostructured surfaces, films and MEMS components for applications in biotech, security and sensing, and ultrafiltration'', COMS 2012, Oslo (NO), 24-27 June 2012 V. Revol, C. Kottler, B. Plank, J. Kastner, ''Comparison of phase contrast X-ray computed tomography methods for non-destructive testing of materials'', World Conference on Non Destructive Testing, Durban (SA), 12-20 April 2012 B. Schyrr, S. Pasche, R. Ischer, D. Ferrario, J.-A. Porchet, G. Voirin, Y. C. Simon, E. Johan Foster, C. Weder, ''Biosensing optical fibers for real-time protease activity detection'', 8th NanoBio-Europe Conference, Varese (IT), 18-20 June 2012 B. Schyrr, S. Pasche, E. Scolan, R. Ischer, D. Ferrario, J.-A. Porchet, G. Voirin, ''Development of a polymer optical fiber pH sensor to assist in wound supervision'', E-MRS 2012 Spring Meeting, Strasbourg (FR), 14-18 May 2012 O. Sereda, F. Oliveira, A. Neels, Y. Leterrier, A. Dommann, J.-A. Manson, ''X-ray diffraction studies of the crystallinity of the PVDF-TrFE film'', Swiss Chemical Society Fall Meeting, Zrich (CH), 13 September 2012 R. Smajda, G. Weder, ''Melanoma elasticity for cancer diagnosis by AFM'', BNF Research Seminar, Berne (CH), 5 December 2012 P. Spanoudakis, ''MetOp interferometer as example of space mechanisms at CSEM'', CSEM Astrophysics and Space Exploration Conference, Berne (CH), 5 November 2012 A. Steinecker, ''Microassembly Enabling Technologies and Applications'', International Precision Assembly Seminar IPAS 2012, Chamonix (FR), 12-14 January 2012 L. Sulmoni, J.-M. Lamy, J. Dorsaz, J.-F. Carlin, D. L. Boiko, X. Zeng, N. Grandjean, ''Optical characteristics of multisection GaN-based laser diodes'', 36th Workshop on Compound Semiconductor Devices and Integrated Circuits WOCSDICE 2012, Island of Porquerolles (FR), May 28 - June 1 May 2012 B. Timotijevic, R. Lockhart, R. P. Stanley, F. Zamkotsian, P. Lanzoni, W. Noell, M. Canonica, M. Tormen, ''Microfabrication of Optically Flat Silicon Micro-mirrors for Fully Programmable MEMS Diffraction Gratings'', Eurosensors, Krakow (PL), 07-13 September 2012 M. Tormen, T. Overstolz, B. Timotijevic, J. Pierer, C. Bosshard, R. P. Stanley, ''MEMS Tunable filter operating in the InfraRed spectral region'', International Workshop on Infrared Technologies, Olching (DE), 12-13 November 2012

110

M. Tormen, T. Overstolz, B. Timotijevic, J. Pierer, C. Bosshard, R. P. Stanley, ''MEMS tunable filter operating in the Infrared spectral region'', International Workshop on Infrared Technologies, Olching (DE), 11-13 November 2012 J. Tuovinnen, A. Dommann, A. Pelka, D. Holden, G. Kotrotsios, ''Smart Integrated Sytems and Solutions the fast track to Innovation'', 8th ESA Round Table on micro and nanotechnologies, Nordwijk (NL), 15-18 October 2012 G. Voirin, J. Luprano, S. Pasche, A. Hutter, M. Seneclauze, M. Morgan, O. Chtelat, D. Manic, G. Dudnik, ''Actual Research related to Intelligent Working Environments'', Implications of the Development of Intelligent Working Environments for the Management and Ethics of Human Resources, Yverdon (CH), 15 February 2012 G. Weder, M. Giazzon, M. Liley, H. Heinzelmann, ''Microtopography for non-metallic bone implants'', Material and Surface Technology for Implants, Interlaken (CH), 23-24 April 2012

G. Weder, M. Favre, R. Ischer, F. Loizeau, S. Gautsch, A. Meister, H. Heinzelmann, ''Melanoma cell elasticity measurements by means of multicantilever atomic force microscopy'', Nano-Tera annual meeting, Zrich (CH), 26 April 2012 B. Wenger, E. Scolan, S. Pasche, B. Schyrr, R. Pugin, G. Voirin, ''Mesoporous sol-gel thin films for optical (bio)sensing applications'', Europt(r)ode XI, Barcelona (Sp), 01-04 April 2012 X. Zeng, D. L. Boiko, G. Cosendey, M. Glauser, J.-F. Carlin, N. Grandjean, ''Optically pumped long external cavity InGaN/GaN surface-emitting laser with injection seeding from a planar microcavity'', European Semiconductor Laser Workshop ESLW 2012, Brussels (BE), 21 September 2012

Research Projects
CCEM Electricity CCEM Mobility CCMX-NMMC Analytical Platform CCMX-NMMC Analytical Platform CCMX-NMMC Analytical Platform CCMX-NMMC Analytical Platform CCMX-NMMC Analytical Platform CCMX-SPERU Eurostars Eurostars Eurostars Foundation The Ark Foundation The Ark Foundation The Ark Hasler Fondation InterReg DURSOL Exploring and improving durability of thin film solar cells DURACAT Highly durable oxide-based catalysts for polymer electrolyte fuel cells FANOSENSE Ultrasensitive sensing transducer based on Fano interferences in plasmonic metamaterials FIBREPCI Failure and defect analysis of fibre composite materials by means of x-ray interferometry GRANTY Gantry-based x-ray phase contrast scanner for microCT application OMNY Tomography nano cryo stage PHM Study of complex interfacial properties with nanoscale resolution optical microscopy

SPERU High adhesive thick films with controlled hierarchical structured porosity enabling tailored structural and functional properties ORCA Development of ultra-stable high-finesse cavity REMIQUA Scalable and reconfigurable systems for microassembly and quality inspection SENSEMOTION Patch-like sensor system for skeletal muscle and motion monitoring IBATS Automatic electric load monitoring and identification PREDMAINT-II Maintenance predictive pour des installations hydrolectriques WATERFLOW Etude de faisabilit quant la conception d'un systme non-intrusif et automatique appliqu aux rseaux d'eaux potables EYEWALK Mobile ultra-light vision system for the handicapped OSCAR Optimization, simulation, control and application of AFM probe arrays

111

InterReg NanoArgovia Nano-Tera Environment Nano-Tera Environment Nano-Tera Environment Nano-Tera Health Nano-Tera Health Nano-Tera Health Nano-Tera Health Nano-Tera Health Nano-Tera Health Nano-Tera Health Nano-Tera Security NCCR-MUST NCCR-Nanoscale Science SNSF SNSF SNSF SNSF SNSF

Rhin-Solar Aufbau eines Exzellenzclusters fr organische Solarzelle Region Oberrhein, inkl. Herstellung von Demonstratoren PharmaSecure Sicherheitsmerkmale fr Arzneimittel GREENPOWER Connecting the renewable energy to green mobility using hydrogen, Belenos Initiative LIVESENSE Cell-based sensing microsystem PLACITUS Platform circuit technology underlying heterogeneous nano- and tera-systems ISYPEM Intelligent integrated systems for personalized medicine MINACEL Micro- and nanofluidics for cell handling NEOSENSE Novel integrated wearable sensors for multi-parameter monitoring in critically ill newborns PATLISCI Probe array technology for life science applications SELFSYS Fluidic-mediated self-assembly for hybrid functional micro/nanosystems TECINTEX Technology integration into textiles: empowering health and security TWIGS Textiles with integrated gas sensors NEXRAY Network of miniaturized X-ray systems working in complex environments STADIL Stabilization of a thin disk laser NCCR-VI Self-assembly at surfaces (Module 5) ACOUSTOCOMB Acousto-optics based optical frequency comb stabilization ONEBAT Battery replacement using miniaturized solid oxide fuel cell RB-GAN Active atomic clockwork: mode-locked GaN QW laser with Rb atomic vapor cell absorber RB-GAN2 Establish a concept and demonstrate novel active atomic clock based on mode-locked semiconductor laser with alkali vapor cell saturate absorber STROKEVOLUME Stroke volume determination through electrical impedance tomography : research on the influence of cardiac and respiratory organ motion

Swiss Commission for Technology and Innovation (CTI)


11941.1 PFLS-LS 12011.1 PFNM-NM 13254.1 PFFLM-NM 10918.2 PFNM-NM 13306.1 PFFLE-NM 13865.2 PFNM-NM 13327.1 PFFLI-NM 3D CELL AIRTEER AMALITH ARCTURUS ASCOD aTORCH BioIndenter Advanced 3D cell biology From cancer biopsies to physiologically relevant in vitro models New electrode systems for the measurement of transepithelial electrical resistance on models of the human airway epithelium Advanced mask aligner lithography DMT (Discrete Multitone Transmission) MODEM for a 1 GBit/s optical data link Application specific coprocessor for OFDM demodulation Active optical resonator chip - Innovative concepts for next generation high sensitivity label-free bio-sensors Instrument for nanoindentation testing of living biological materials

112

14658.1 PFNM-NM 13739.1 PFFLI-LS 10705.1 PFNM-NM 10796.2 PFIW-IW 11508.2 PFNM-NM 12517.2 PFNM-NM 13743.1 PFFLE-LS 13390.1 PFFLE-LE 13080.1 PFIW-IW 13288.1 PFFLR-NM 14325.1 PFES-ES 13931.1 PFES-ES

BIOSENSIT BOP BUD CALYPSO CASTOR CHRONO-PERRELET CITSens Bio CREOPERIPHERAL DD-Coat DDP400 DENEB DVSENSE

Real-time signal processing of label-free biosensor signals for robustness and speed of measurement improvement Body-on-the-Plate an integrated ready-to-use platform for investigating multi organ toxicity Bubble detection - Development of a sensor detecting bubbles to be integrated into an existing flowmeter for semiconductor applications Steel band standing wave based peristaltic pump for precise dosing applications Integrated ADC and baseband processing for a narrow-band radio transceiver Fabrication dun affichage digital utilisant la technologie du guider donde Wireless disposable sensor array for disposable bioreactors Entwicklung und Markteinfhrung eines Marker-freien Affinittsmessgertes mit hchster Empfindlichkeit Dedicated design of high temperature corrosion resistant PVD oxide coatings for automotive applications 400W extreme high brightness laser modules Development of secure distance measurement prototype Elaboration and industrialization of a high dynamic range vision system optimized and dedicated to people detection and tracking for security and light control battery operated sensors markets Seasonal heat management films - Passive smart glazings Improve cost & quality for manufacturing flexible LCD display by simpler handling of sheets and process robustness Optische Sortierung von Schrauben Microholographic high density data storage High performance ultra low power temperature-compensated miniature real time clock Improvement of front contacts morphology for enhancement of efficiency in thin film silicon solar cells Development of an automatic meter reader (AMR) for retrofit applications on existing meters 1 GB/s optical data link over SI-POF (step-index plastic optical fiber) Disposable pH sensor combined with disposable glucose sensor spaceCoder for automotive Entwicklung eines Kleinst-Piezoantriebes fr Mikroventile Development of a novel optical spectrometer based on two femtosecond lasers. Dveloppement et implmentation de protocoles de communication pour systmes dinventaire temps rel RFID sur rseau autoadaptable avec fonction de localisation 113

13822.2 PFNM-NM 13485.1 PFFLE-NM 12656.1 INNO-IW 10784.2 PFNM-NM 13442.1 PFFLE-NM 13716.1 PFFLE-IW 10894.1 PFNM-NM 10525.2 PFNM-NM 12643.1 INNO-LS 13618.1 PFFLE-NM 12130.1 PFNM-NM 13458.1 PFFLR-NM 11133.2 PFNM-NM

Films4Glazing FLEXLAM GENSORT HDS HIPERTC HISOCELL ICYAMR 2 INNOCHIP INNO-LS INTELLISENSE KP-ANTRIEB LIGHTBEAT LOCTAG

14953.1 PFNM-NM 14273.2 PFNM-NM

MAGSCAN MEASOS

Compact beam steering unit Feasibility study: Measurement system for mechanical watch oscillator. Next generation watch noiseless high frequency mechanical oscillators currently in development will require new instruments for their characterization Minimizing the DIE size using laser dicing processes Miniaturized infrared absorption sensor Reinforcing the use of reconfigurable instructions in an ultra-low power DSP (MOPBOX) Matriaux et procds de production innovants pour roulements billes Design of a high-speed, high-precision miniaturized mechano-optical touch-trigger probe for use on 3D coordinate measuring machines Design of a high-speed, high-precision miniaturized mechano-optical touch-trigger probe for use on 3D coordinate measuring machines Multiway wireless intercom Low-power pulsation-free micropumps Nanoindentation of soft materials and biological tissues Market penetration of advanced nanoparticles The feasibility study air conditioning control (NeuroCool) aims at the concept study of an adaptive and predictive air conditioning controller Entwicklung von hchst empfindlichen Pixeln fr CMOS Bildsensoren und deren Einsatz in der industriellen Bildverarbeitung Actuator for swept light source Personalized electo-therapy - Estimation of the sub-cutaneous blood flow Creation of an innovative shaped (non-planar) phased array antenna for mobile broadband communications Material for OTFT Protected Swiss smart cards. These smart cards will be highly secured for common criteria certification (EAL4+) High-speed semiconductor image sensing for pulsed time-of-flight ranging and fluorescence microscopy A novel micro mark register head camera based on ultra-high-speed multi-linear image sensor A miniature cesium atomic clock using CPT technique for telecom applications Development of an integrated analog sensor interface for a miniature IO-Link device Micro patch portable pour linjection en continu dinsuline Localization capable GPS tag

13836.1 PFFLE-NM 14427.1 PFLS-LS 12466.3 PFNM-NM 14345.2 PFIW-IW 12607.1 PFNM-NM 13482.1 PFFLI-NM 13531.1 PFFLE-ES 12575.1 PFNM-NM 10913.2 PFNM-NM 13452.1 PFFLM-NM 13138.1 PFIW-IW 12008.1 PFNM-NM 13172.1 PFFLE-NM 14851.1 PFNM-NM INT.2010.0026; 12139.1 10788.1 PFNM-NM 13494.1 PFFLI-NM 10724.1 PFNM-NM 11290.1 PFNM-NM 13818.2 PFNM-NM 13392.1 PFFLE-NM 14782.1 PFLS-LS 13350.1 PFFLE-ES

MiniDIE MIRASENS MOPBOX MPIR MULTISENSE MULTISENSE PHASE 2 MULTIWAY MYPUMP2 NANOINDENATION NANOQC NEUROCOOL OPTISENS OPTOSEAL PELT PHASEDCOM POMME PROS2 PTOF QUADLINE QUANTIME RIGEL SARENAPATCH SECU4BAGS

114

12187.1 PFNM-NM 12628.1 PFIW-IW

SENSORFOIL SINGLE SHELL

Entwicklung eines Prgeverfahrens zur Herstellung einer Mikrosulenfolie fr biologische Sensor-Anwendungen Development of algorithms and simulation as well as the realization of robot-supported single-shell tunnel construction technology for excavation and energy savings RF CMOS technology assessment and circuit design for low-energy integrated radio communication solutions in ultra deeps-submicron CMOS Structured multifunctional polymers for electronic packaging Development of specific underfiller process suitable for high operating temperature and miniaturized IO-link SiP devices and MEMS systems Simple patterning of cell culture substrate A high precision angular positioning device Optimierung des Niedertemperaturnitrierens zur Erzeugung einer harten, verschleissbestndigen Oberflchenschicht auf austenitischen nichtrostenden Sthlen Retrofit power sensor and pedal force monitor for cyclists Smart water resource active management Swiss precision electronic gravure printer Decentralised, room oriented ventilation system, with heat and moisture recovery and without ductwork Wireless aircraft tire pressure sensing Sensor and analysis technique based on dielectric spectroscopy for volumetric in situ monitoring of polymer welding processes. Woven conductors for organic light-emitting devices Woven substrates for organic light emitting diodes Yb-based supercontinuum generation

10773.1 PFNM

SIRIUS II

12682.2 PFNM-NM 13444.1 PFFLE-NM 14919.1 INNO12-LS 13336.1 PFFLE-NM 13563.1 PFFLI-IW

SMACK SMARTFILL SPACES SPACETRACKER S-PHASE

11505.2 PFNM-NM 12215 PFNM-NM 13596.1 PFFLI-NM 12744.1 PFIW-IW 13439.1 PFFLR-NM 12745.1 PFIW-IW 12055.1 PFNM-NM 13466.1 PFFLE-NM 10497.2 PFNM-NM

SPINBEAT SWARM SWIPEGRAPE VENTIL WAITIPS WELDSPEC WOCOLED WOWLED YB-SCG

European Commission Projects


FP7 TRANSPORT FP7 TRANSPORT FP7 NMP FP7 ICT FP7 SECURITY FP7 ICT FP6 SUSTDEV FP7 ICT FP7 SPACE AEROMUCO AGEN AMBASSADOR ARROWS BONAS COLAE CONCERTO D-LIVER ELSA Aerodynamic surfaces by advanced multifunctional coatings Atomic gyroscope for enhanced navigation Autonomous management system developed for building and district Advanced interfaced micro-systems research for analysis of realworld clinical, food, environmental and waste samples Bomb factory detection by networks of advanced sensors Commercialization clusters of OLAE Holistic optimisation leading Monitoring of patients with liver diseases European levitated spherical actuator

115

FP7 SECURITY FP7 TRANSPORT FP7 ICT FP7 NMP FP7 ICT

ESPONDER EVITA FEMTOBLUE FEMTOPRINT FLEXNET

A holistic approach towards the development of the first responder of the future Non-destructive evaluation, inspection and testing of primary aeronautical composite structures using phase contrast X-ray imaging Blue femtosecond laser implemented with group-III nitrides Femtosecond laser printer for glass microsystems with nanoscale features Network of excellence for building up knowledge for improved systems integration for flexible organic and large area electronics (FOLAE) and its exploitation (FLEXNET) Self adaptive heterogeneous manycore based on flexible tiles Flash optical sensor for terrain relative robotic navigation FP7 Global, flexible, on-demand and resourceful timing IC & MEMS encapsulated system Guardian angels for smarter planet Compliance and effectiveness in HF and CHD closed-loop management Hepatic microfluidic bioreactor Flexible compression injection moulding platform for multi-scale surface structures Intestinal, liver and endothelial nanoparticle toxicity Novel biomimetic strategy for bone regeneration Integrated air quality sensor for energy efficient environment control Implementation of research and innovation on smart systems technologies Development of flexible, sustainable and automated platform for high accuracy manufacturing operations in medium and large complex components using spherical robot and laser tracker on overhead crane Mobile water quality sensor systems An integrated intelligent home environment for the provision of health, nutrition and mobility services to the elderly Development of novel nanotechnology based diagnostic systems for rheumatoid arthritis and osteoarthritis Wearable artificial kidney and personal renal care system Organic/plastic electronics research alliance Development of a 3 degrees-of-freedom (X-Y-Theta Z) mobile microrobot for an accurate positioning of micro-mirror Organic waste management by a small-scale innovative automated system of anaerobic digestion Towards an open and sustainable ICT research infrastructure strategy

FP7 ICT FP7 SPACE FP7 ICT FP7 ICT FP7 ICT FP7 HEALTH FP7 NMP FP7 NMP FP7 NMP FP7 ENVIRONMENT FP7 ICT FP7 NMP

FLEXTILES FOSTERNAV GO4TIME GUARDIAN ANGELS HEARTCYCLE HEMIBIO IMPRESS INLIVETOX INNOVABONE INTASENSE IRISS MEGAROB

FP7 IST FP7 ICT FP7 NMP FP7 ICT FP7 ICT

MOBESENS MOBISERV NANODIARA NEPHRON OPERA-COMPLEMENT

FP7 INFRASTRUCTURES OPTICON-2 FP7 SME FP7 ICT ORION OSIRIS

116

FP7 ICT FP7 ICT FP7 ICT FP7 ICT FP7 ICT FP7 ICT FP7 ICT FP7 NMP FP7 NMP FP7 SPACE FP7 SPACE FP7 ICT FP7 KBBE FP7 ENVIRONMENT FP7 ICT FP7 MARIE CURIE FP7 NMP FP7 IST FP7 NMP FP7 SPACE

P4L PASTA PEBBLE PHOTO-FET PLAISIR POLARIC POSITIVE PRIME PROSYS-LASER PROVISCOUT PROVISG PSYCHE RADAR RECONCILE SAVE-MED SCANCER SELFMEM SFLY SMART-NANO SOC-2

Photonics4Life - Network of excellence for biophotonics Integrating platform for advanced smart textile applications Positive-energy buildings thru better control decisions Integrated photonic field-effect technology for bio-sensing functional components Plasmonic sensing in the infrared Printable, organic and large-area realisation of integrated circuits A highly integrated and sensitive porous silicon based lab on a chip for multiple quantitative monitoring of food allergies at point of care Plug and produce intelligent multi agent environment based on standard technology Intelligent personal protective clothing for the use with laser processing devices Planetary robotics vision scout Planetary robotics vision ground processing Personalised monitoring systems for care in mental health Rationally designed aquatic receptors integrated in label-free biosensor platforms for remote surveillance of toxins and pollutants Reconciliation of essential process parameters for an enhanced predictability of arctic Microstructure secured and self-verifying medicines Specialized cantilevers for cancer research Self-assembled polymer membrane Swarm of micro flying robots Sensitive measurement, detection, and identification of engineered nano particles in complex matrices Towards neutral-atom space optical clocks: Development of highperformance transportable and breadboard optical clocks and advanced subsystems Hardware & software development of wireless sensor network nodes for measurement of strain in airborne Sustainable novel flexible organic watts efficiently reliable Smart wearable and autonomous negative pressure device for wound monitoring and therapy Terahertz photonic imager on chip Modeling, control and management of thermal effects in electronic circuits of the future Automatic customizable tool-chain for heterogeneous multicore platform software development In-vivo ultrasonic transponder system for biomedical applications

SP1 JTI FP7 ICT FP7 ICT FP7 ICT FP7 ICT FP7 ICT FP7 ICT

STRAINWISE SUNFLOWER SWAN-iCare TERATOP THERMINATOR TOUCHMORE ULTRASPONDER

117

FP7 ICT FP7 ICT

VIAMOS WISERBAN

Small or medium-scale focused research project (STREP) Smart miniature low-power wireless microsystem for body area networks

European Space Agency (ESA), European Southern Observatory (ESO) and Astrophysical Instrument Projects
ESA Projects BBOSC CCM-PREMIER CCM-PREMIER-N2 DATA-FUSION DYNASUIT ESA-NPI IHMSD LTMS-S LTMS-TTP MHIPIS MILS MLBS MLSCL NPI OAC OGCC SAMTOW3 SAMTWO SPHM_EQM STE-QUEST-A UWB4SAT WALES SSO Projects SPHROT SQUATOS New reaction sphere rotor Space qualified assembly technique for optical systems EBB development of caesium clock for space Phase A: study to adapt CCM control law and design EGSE for Premier interferometer Phase 2 study to implement CCM control law and EGSE on test bench for Premier interferometer Sensor data fusion for hazard mapping and piloting Biofeedback suit with integrated artificial muscles for medical monitoring and countermeasure support Radiation induced and mechanical damage in MEMS devices Integrated vehicle health management system demonstrator Long-term medical survey system Multi-parameter physiological monitoring system Miniature high performance imaging spectrometer for remote sensing Miniature imaging LIDAR system for GN&C space application Micro laser beam scanner Sub-picosecond model-locked semiconductor laser for space missions Reliability MEMS for space applications Development of core technological elements in preparation for future optical atomic frequency standards (OAFSs) ans clocks (OACs) in space (PHASE 1) Prototype development of ground caesium clock Space active maser Three Space active maser Two Industrialisation of the passive hydrogen maser for the Galileo navigation payload STE-QUEST class M ESA mission candidate: assessment study phase A Ultra-wideband as a multi-purpose robust and reliable Wafer level encapsulation for micro-systems

Industrial Property
Creativity In 2012, 22 invention reports were submitted for examination. Patent portfolio CSEM inventions have led to 18 patent applications in 2012 (11 regular applications and 7 US provisional applications). The patent portfolio has been further enhanced by the extension of different countries of 13 patent files based on prior patent applications.

118

Collaboration with Research Institutes and Universities


University Budapest University of Technology and Economics Carleton University CEA LETI CEA-LETI CEA-LETI CEA-LETI CHUV Lausanne CNRS EMPA EMPA EMPA EPF Lausanne EPF Lausanne EPF Lausanne EPF Lausanne EPF Lausanne EPF Lausanne EPF Lausanne EPF Lausanne EPF Lausanne EPF Lausanne EPF Lausanne EPF Lausanne EPF Lausanne Institute Faculty of chemical technology and bioengineering Electrical engineering department Dpartement microtechnologies pour la biologie et la sant Capteurs et actionneurs MINATEC Service conception pour les microtechnologies mergentes Internal medicine Laboratoire de chimie de la matire condense de Paris Advanced materials and surfaces Laboratory of advanced fibers Polymre fonctionnel Applied signal processing Electrical engineering section Embedded systems laboratory Instant-Lab Institut des sciences et ingnierie chimiques Institute of microengineering Institute of microengineering Institute of microengineering Laboratoire doptique applique Laboratoire de cramique Laboratoire de nanotechnique et mtrologie Laboratoire de systmes robotiques Laboratoire d'lectronique pour les sciences du vivant Professor R. E. Gyurcsanyi Field of collaboration Electrochemical long-term ion sensing

A. Adler G. Marchand M. Le Prado E. Beign F. Bertrand C. Sartori C. Boissire P. Grning R. Rossi J. Heier, F. Nesch J.-M. Vesin J.-M. Sallese D. Atienza S. Henein K. Severin H. P. Herzig N. de Rooij P. Renaud C. Depeursinge P. Muralt O. Martin R. Clavel C. Guiducci

Electrical-impedance tomography Wound healing monitoring Atomic gyroscopes Rcupration dnergie pour appareils portables Multicore Systems-on-Chips Sleep analysis Characterization of sol-gel films Thin films, carbon nanotubes Optical Fibers Supramolecular assemblies Signal processing Compact modeling of organic transistors C-Compilers for reconfigurable processors Precision mechanics X-ray powder diffraction Optical systems Micro- and nanofabrication Microfluidic, MEMS for cell culture Optical imaging of living cells and tissues Fuel cells Piezoelectric thin films Pigment simulations Optomechatronics Functional surfaces

119

University EPF Lausanne EPF Lausanne EPF Lausanne

Institute Laboratoire des dispositifs nanolectroniques Laboratoire microsystmes Laboratory of advanced semiconductors for photonics and electronics Laboratory of microengineering for manufacturing Microsystems laboratory, LMIS1 Powder technology laboratory RF IC Group Signal processing laboratory 5 STI IMT-NE OPT

Professor A. Ionescu J. Brugger N. Grandjean

Field of collaboration Nano-electronics circuits Micro- and nano-fabrication GaN lasers

EPF Lausanne EPF Lausanne EPF Lausanne EPF Lausanne EPF Lausanne EPF Lausanne EPF Lausanne EPF Lausanne EPF Lausanne EPF Lausanne EPF Lausanne EPF Lausanne EPF Lausanne EPF Lausanne ETH Zurich ETH Zurich ETH Zurich ETH Zurich ETH Zurich ETH Zurich ETH Zurich ETH Zurich

E. Meurville J. Brugger H. Hofmann C. Dehollain J.-P. Thiran H.-P. Herzig

Ultra-low power processors for medical applications Fluidic mediated self-assembly Superparamagnetic nanoparticles Ultra-low power processors for medical applications Electrical-impedance tomography Fourier spectroscopy, X-rays and photonics Ultra-low power biosensor interface Nanocomposite Microstructural study and EBIC Photovoltaic cells Atomic Clock Fuel cells In-situ XRD on composites Reliability of microsystems Thin films, nanoparticles Integrated sample preparation for label-free immunoassays Smart textiles Magnetically addressable biomaterials Femtosecond lasers Analog design in advanced CMOS technology Fluidic mediated self-assembly SiGe Waveguide grating biosensing

Swiss-up engineering chair C. Guiducci Laboratory of life sciences electronics Electromagnetic compatibility lab Institute of microtechnology Institute of microtechnology Laboratoire de production microtechnique Laboratory of composite and polymer Microsystems for space technologies laboratory Powder technology laboratory Bioanalytics group Institut fr Elektronik Institute of food science Institute of quantum electronics, ultrafast laser physics laboratory Integrated systems laboratory Analog and mixed-signal design IRIS Laboratorium f. Festkrperphysik Laboratory of biosensors and bioelectronics F. Rachidi C. Ballif N. de Rooij T. Maeder D. Manson H. Shea H. Hoffman P. Dittrich G. Trster E. Windhab U. Keller Q. Huang B. Nelson H. von Knel J. Vrs

120

University ETH Zurich ETH Zurich HE-Arc Saint-Imier HEB HEIG-VD HES-Arc

Institute Laboratory of thermodynamics in emerging technologies Nonmetallic inorganic materials Institut de microtechnologies appliques - Plasturgie Laboratoire des matriaux Institut biomed Institute for applied microtechnology

Professor D. Poulikakos L. Gauckler E. Marguet, A. Polikeit J.-M. Rufer C. Schmitt H. Keppner

Field of collaboration Fuel cells Fuel cells Injection molding Structural analysis on optimized steels Precision mechanics XRD and mechanical testing on thin films & amorphous metals, Defects in single crystal silcon Microbiology Bioreactor for toxicology Optical sensors Machine design Validation of biomedical sensors Fault-tolerant processor cores Blood pressure CTI project aTORCH

HES-SO Valais HES-SO Valais Hochschule fr Life Sciences (FHNW) Hochschule fr Technik (FHNW) Hpital neuchtelois HNE INPG Institut Polytechnique Grenoble Insel Spital Interstaatliche Hochschule fr Technik Buchs NTB Karlsruher Institut fr Technologie Medical University of Vienna Nanyang Technical University (NTU) NTB Interstaatliche Hochschule fr Technik Buchs Paul Scherrer Institute (PSI) Paul Scherrer Institute (PSI) Paul Scherrer Institute (PSI) Ple europen de plasturgie

Institut technologie du vivant Systems engineering institut MAS Nano-nicro-technology Institut fr Automation Hpital de Landeyeux TIMA Laboratory Cardiovascular department Institut fr Mikro- und Nanotechnologie Institut fr Mikrostrukturtechnik Division of immunology Rice institute of sustainable & applied infodynamics (ISAID) Institut fr Mikro- und Nanotechnologie Electrochemistry laboratory Laboratory for nuclear material Swiss light source SLS PEP R&D

B. Schnyder M. Geiser U. Pieles J. Eisenecker N. Della Ricca M. Nicolaidis S. F. Rimoldi M. Michler

A. Kolew M. Epstein K. Palem A. Bernard

Bulk metallic glass nanostructuring Scaffolds for bone regeneration Inexact arithmetic Fuel cells

T. Schmidt S. Abolhassani M. Stampanoni M. Moguedet

In-situ XRD on catalysts Hydrogen uptake in zircalloy Phase contrast x-ray imaging Injection molding, molded interconnected device

121

University Politecnico di Torino Politecnico di Torino Rice University TU/e, Eindhoven UK-ATC Uni Genve UNIL University of Applied Sciences and Arts, Lucerne (HSLU) University of Applied Sciences, Berne (BFH) University of Applied Sciences, Berne (BFH) University of Basel University of Berne University of Berne University of Berne University of Franche-Comt University of Fribourg University of Fribourg University of Fribourg University of Fribourg University of Linkoping University of Neuchtel University of Neuchtel University of Neuchtel University of Neuchtel University of Pisa University of Strasbourg University of Vienna

Institute Control and computer engineering Electrical and computer engineering Computer science department Micro-& nano- scale engineering group Systems engineering group GAP Institut des sports Electronics competence center

Professor A. Acquaviva E. Macii K. Palem Y. Bellouard H. Schnetler J.-P. Wolf G. Millet Z. Stssel

Field of collaboration Multicore platform Low power CMOS standard cell libraries Inexact arithmetic Femtolaser micro-structuring Robotics for astrophysics Micromirrors arrays Sport physiology Pressure strip for aerodynamics testing Electrical-impedance tomography Qualification of laser dicing processes AFM analyses Electrochemical in-vitro metabolites sensing Atomic clocks Single crystal & powder XRD Multiscale model for MEMS array Nanotoxicology Nanobiosensors Superparamagnetic nanoparticles Powder XRD Electrical-impedance tomography XRD and SAXS studies, Nano particles in catalyzers Confocal characterization Single crystal XRD Atomic clocks and lasers Wound healing monitoring Electrochemical immunoassays Scaffold for bone regeneration

Ecole de Bienne Institute for applied laser, photonics and surface technologies Swiss nanoscience institute ARTORG Quantum optics laboratory Institute of chemistry & biochemestry FEMTO-ST Adolf Merckle Institute Adolf Merckle Institute Advanced particles Institute of chemistry Faculty of health sciences Institute of chemistry Institute of biology Institute of chemistry Laboratoire temps-frquence Department of chemistry and industrial chemistry Ecole europenne de chimie, polymres et matriaux

P. Gaggero B. Neuenschwander C. Schnenberger O. Guenat A. Stefanov S. Decurtins M. Lenczner B. Rothen C. Weder A. Fink K. Fromm F. Sjberg G. Sss-Fink F. Kessler H. Stoeckli-Evans T. Sdmeyer F. Di Francesco B. Ernst

Dept. of pharmacology and toxicology O. Hoffman

122

University University of Zurich Uppsala University Wien Veterinary University

Institute Institute of anatomy Department of surgical sciences Veterinar anesthesia services

Professor C. Maake F. Suarez Sipmann Y. Moens T. Hocker

Field of collaboration Phase contrast x-ray Imaging Electrical-impedance tomography Electrical-impedance tomography Fuel cells

Zrcher Hochschule fr Institute of computational physics Angewandte Wissenschaften (ZHAW) Zrich University Institut of theoretical physics

P. Jetzer

Space missions with atomic clocks

Teaching
Title of lecture J. Auerswald C. C. Enz Werkstoffe der Elektrotechnik Advanced Analog and RF IC Design I Context Werkstoffkunde Vorlesung Master, EPFL, Spring & Autumn Semester Location HSLU T&A Luzern, CH EPF Lausanne, CH EPF Lausanne, CH EPF Lausanne, CH EPF Lausanne, CH EPF Lausanne, CH San Fransisco, USA

MOS Transistor Modeling for LowMicropower Analog IC Design Voltage and Low-Power Circuit Design MOS Transistor Modeling for RF IC Design Noise and Offset Reduction Techniques Noise Calculation and Simulation in SC & CT Circuits Ultra-low Power / Ultra-low Voltage Analog Circuit Design Ultra-low Power MEMS-based Radio for Short-range Wireless Communication Ultra-Low Voltage Analog Circuit Design Ultralow-power MEMS-based Radio for WBAN M. Dadras J.-D. Decotignie AFM, ESEM and TEM Informatique du Temps Rel Real Time Networks RF Analog IC Design Practical Aspects of Mixed-Signal Design Practical Aspects of Mixed-Signal Design ISSCC 2012 - Short course on lowpower analog

RFIC 2012, Workshop on Short-Range Montral, CA Near-Field Communications (NFC) Micropower Analog IC Design WiserBAN COST IC1004 Training School on WBAN Doctoral school Bachelor Informatique Master Computer and Communication Systems EPF Lausanne, CH Universit di Bologna, IT EPF Lausanne, CH EPF Lausanne, CH EPF Lausanne, CH FSRM Neuchtel, CH EPF Lausanne, CH EPF Lausanne, CH

Systmes de transmission de donnes Formation continue sans fil A. Dommann J. R. Farserotu Reliability of MEMS Satellite Communication Systems and Networks Doctoral School Master degree course under Communication systems and space technology

123

Title of lecture M. Giazzon, G. Weder S. Henein Introduction la biologie cellulaire Conception de precision guidages flexibles Conception des mcanismes fonctionnant dans le vide Flexure Mechanisms A. Neels XRD Basic Principles & Applications Thin Films & High Resolution Diffraction, A. Neels, A. Dommann Advanced X-ray Diffraction Methods for Coatings: Strain, Defect and Deformation Analysis of Thin Films. Reliability of Medical Devices P. Niedermann C. Piguet Introduction to Nanolithography Green Electronics Microelectronic Technology

Context

Location CPLN and University of Neuchatel, CH

Cours Robotique microrobotique Cours Robotique microrobotique Fondation Suisse popur la recherch en microtechnique (FSRM) X-ray crystallography X-ray crystallography X-ray crystallography

EPF Lausanne, CH EPF Lausanne, CH FSRM Neuchtel, CH University of Fribourg, CH EPF Lausanne, CH CCMX, CH

Reliability Nanoplatform Invited Course ALaRI Course on Embedded Systems

University of Applied Sciences, CH HE-Arc, CH ISEP Paris, FR University of Lugano, CH EPF Lausanne, CH HE-Arc, CH

Microelectronics for Systems-on-Chips Master, EPFL, Autumn Semester R. Pugin Micro/Nanostructured Surfaces and devices: Fabrication by combining Self-Assembly and Top Down Processes Computer & Network Architecture Swiss Master of Advanced Studies, Nano- and Micro Technology, Nanotools for Surface Structuring Bachelor Information and Communications Technology

M. Wannemacher

HSLU T&A Luzern, CH

Theses
PhD Degrees Awarded in 2012 Name K. Ali J. Bitterli University EPF Lausanne EPF Lausanne Title Learning to detect objects with minimal supervision AFM based single cell microinjection: Technological developements, biological experiments and biophysical analysis of probe indentation Layout regularity for design and manufacturability Multi-parametric source-filter separation of speech and prosodic voice restoration

M. Pons Sole O. Schleusing

UPC Barcelona EPF Lausanne

124

CSEM Employees carrying out a PhD Name N. Adsul S. Ahmed V. Balasubramanian L.-E. Bonjour Professor / University C. Schnenberger / University of Basel, Swiss Nanoscience Institute B. Rothen / University of Fribourg C. C. Enz / EFL Lausanne M. Kayal / EPF Lausanne Theme / CSEM Unit Intgrated organic opto-electronics for biosensors / Thin Film Optics Cell-based sensing microsystem / Nanotechnology & Life Sciences Ultralow-power sensor interface for miniaturized drug delivery system / Integrated & Wireless Systems CMOS demodulation image sensor for nanosecond optical waveform analysis / Integrated & Wireless Systems Stereoscopic computer vision / Integrated & Wireless Systems Hermetic sealing for miniaturized x-ray sources / Microrobotics & Packaging fluidic mediated self-assembly of mesoscale objects / Microrobotics & Packaging Design, implementation and integration of an indoor air qualtiy sensor system / Microrobotics & Packaging Integrated sample preparation for label-free immunoassays / Landquart Waveguide grating biosensing / Landquart Hybridization of silicon micro-components / Systems Electrochemical in-vitro metabolites sensing / Landquart Inexact arithmetic / Integrated & Wireless Systems Optical properties of asymmetric, partially metallic gratings / Thin Film Optics Start year 2010 2009 2010 2008

S. Cloix S. Giudice J. Goldowsky E. Hammes S. Heub F. Kehl J. Kruis J. B. Larsen A. Lingamneni F. Ltolf A. Prasad Heragu M. Proena L. Rossini B. Schyrr J. Sun R. Thirunarayanan F. Zanella X. Zeng

T. Pun, D. Hasler / University of Geneva H.-P. Herzig / EPF Lausanne B. Nelson / ETH Zurich P. Ryser / EPF Lausanne P. Dittrich / ETH Zurich J. Vrs / ETH Zurich S. Henein / EPF Lausanne O. Guenat / University of Berne C. C. Enz, C. Piguet / EPF Lausanne O. Martin / EPF Lausanne

2012 2010 2010 2012 2011 2011 2012 2011 2011 2011 2009 2012 2009 2009 2011 2011 2010 2010

C. C. Enz, D. Ruffieux / EPF Lausanne Energy scavenged ultralow-power radio for miniaturized drug delivery system / Integrated & Wireless Systems J.-P. Thiran / EPF Lausanne Y. Perriard / EPF Lausanne C. Weder / University of Fribourg Estimation of hemodynamics via electrical impedance tomography / Systems Reaction sphere for satellite attitude control / Systems Nanobiosensor / Nanotechnology & Life Sciences

H. Lissek / X. Falourd / EPF Lausanne Anomaly detection in time varying signals for the quality inspection of electromotors / Microrobotics & Packaging C. C. Enz, D. Ruffieux / EPF Lausanne Ultra-low power flexible frequency synthesizers / Integrated & Wireless Systems J.-M. Sallese / EPF Lausanne N. Grandjean / EPF Lausanne Compact modeling of organic transistors / Thin Film Optics Active atomic clockwork: Mode-locked GaN QW laser with Rb atomic vapor cell absorber / Systems

125

PhD Funded by CSEM Name T. Bandi A. Bojko J.-F. Christmann K. Kanoun O. Perez Anguiano Professor / University H. Shea / EPF Lausanne P. Renaud / EPF Lausanne C. Piguet / LETI Grenoble D. Atienza / EPF Lausanne H. Hofmann / EPF Lausanne Theme / CSEM Unit Reliability of MEMS / Microsystems Technology Nanoporous membranes / Nanotechnology & Life Sciences Energy scavenging circuits for autonomous systems / Integrated & Wireless Systems Multicore VLSI architectures / Integrated & Wireless Systems Sol-gel based nanostructured thin films / Nanotechnology & Life Sciences Start year 2010 2010 2010 2011 2010

Commissions and Committees


F. Amez-Droz C. Bosshard CSEM Representative, EARTO communication group Board member of Swissphotonics Coordinator of the cooperation CSEM FhG (Microelectronics Alliance) within the Heterogeneous Technology Alliance (HTA) J.-M. Breguet Committee Member, ACTUATOR IEE-RAS, Technical Committee member on Micro / Nano Robotics and Automation Technical Program Co-Chairs, International Symposium on OptoMechatronic Technologies J.-D. Decotignie Advisory board, Austrian Academy of Science Agence National pour la Recherche (France), Programme Architecture du Futur , reviewer Editorial board for Annales des Tlcommunications ISO TC 65 Swiss Committee ISO TC 65C, WG16 convenor, wireless industrial communication standardization Program Committee and executive board, Workshop on Real-Time Networks, Pisa, Italie Program Committee, Euromicro Conf. on Real-Time Systems, Pisa, Italie Program Committee, Real-Time Application Symposium, Vienna, Autriche Program Committee, RTNS, Paris, France Technology Transfer Committee of MICS Project

126

A. Dommann

BMFIT, Auditor Austrian Nano-Programm Board member of EPOSS CTI-Expert Micro and Nano Technologies EUCEMAN, Founding member and member of the steering committee IUVSTA, Consilarius International Union of vacuum Science and Technology Member of KOMINAS- (Kommission Mikro- /Nano- Elektronik), Saxony Germany Member of the board of CSM SA Member of the NPI-Board of ESA Member of the Observatory NANO of EU Member of the steering committee of CCMX Nano-Tera (Nano-Tera is a Swiss federal program funding scientific projects): Executive Committee NCCR QP (The National Centre of Competence in Research Quantum Photonics) member of the direction committee SAOG (Schweizerische Gesellschaft fr Oberflchen und Grenzflchen) committee member Scientific Advisory Board Member of the ARTORG Center, University of Berne SGMT (Schweizerische Gesellschaft fr Mikrotechnik) committee member SwissMNT (Micro- and Nanotechnology Network CTI): President SwissVacuum, Board member

M. El-Khoury

CNCI Chambre Neuchteloise du Commerce et de lIndustrie, Neuchtel Concours International de chronomtrie, Le Locle, membre du Comit dhonneur Conseil de lUniversit de Neuchtel Emirati-Swiss Friendship Platform, Abu Dhabi Nano-Tera, membre du Steering Committee

C. C. Enz

Chair of the Swiss IEEE Solid-State Circuits (SSCS) Chapter Elected Member of the IEEE Solid-State Circuits (SSCS) Administrative Committee (AdCom) Member of the Executive Committee of the International Symposium on Low Power Electronics and Design (ISLPED) Member of the Steering Committee of the European Solid-State Circuits Conference (ESSCIRC) Member of the Technical Program Committee of the European Solid-State Circuits Conference (ESSCIRC 2012), Bordeaux, France

J. R. Farserotu

Acting Chair of ETSI EP eHealth Member of the Editorial Board of Wireless Personal Communications An International Journal Member of the Steering Committee and International Advisory Board for International Symposium on Medical Information and Communication Technology 2012 (ISMICT 2012, San Diego, USA Reviewer for to IEEE/ASME Journal of Microelectromechanical Systems

127

H. Heinzelmann

Expert, Austria Bridge Expert, Austria FTI Programme International Advisory Board, Nanomedicine WIRE International Program Committee, Micro Nano Engineering MNE, Toulouse International Scientific Committee, Smart System Integration, Zrich International Scientific Committee, Swiss Nano Convention, Lausanne VP Nanotechnology, Swiss Society for Optics and Microscopy (SSOM)

C. Julia-Schmutz

Communication coordinator within the Heterogeneous Technology Alliance (HTA) CSEM Representative, BioAlps

R. Kaufmann H. F. Knapp

Board member of the Zurich Physical Society Delegate for cluster initiative Toolpoint for Life Science Member of the Executive Board of Biotechnet

G. Kotrotsios

Chairman of the Board of the Heterogeneous Technology Alliance (HTA) Member of the Committee of Experts of Micronarc Member of the Executive Board of the European Asssociation of Research and Technology Organisations (EARTO) Member of the Board of Directors of CSEM do Brazil

J. Krauss

Membre du Comit Economique des Hautes Ecoles Spcialis (HES-SO) Membre du Conseil des Hautes Ecoles Valaisannes (HES-Vs) President, Swiss Society of Biomedical Engineering (SSBE)

M. Krieger

CSEM Representative, Advanced Factory Automation workgroup of Swissmem (AFA) Member of the International Advisory Board of IPAS2012 Chamonix (International Precision Assembly Seminar)

E. Gyrvary

Member of Tekniska Freningen i Finland Member of Finnish Chamber of Commerce in Switzerland Member of Latin American Chamber of Commerce in Switzerland

C. J. M. Lanting

Representative CSEM in WG H2020 of the European Association of Research and Technology Organisations (EARTO) Representative CSEM in the Steering Group of the European Technology Platform on Smart Systems (EPoSS) Member of several Working Groups of the European Technology Platform on Smart Systems (EPoSS) Committee Member of the Swiss Telecommunications Committee (CS4, hosted by ASUT) Alternate representative CSEM in the European Telecommunications Standards Institute (ETSI) Alternate representative in ETSI Project eHealth (EP eHEALTH) of the European Telecommunications Standards Institute (ETSI) Committee Member of Chamber B in Association for European Nano Electronics ActivitieS (AENEAS)

S. Lecomte

European Time and Frequency Forum (EFTF) scientific committee member

128

A. Madrigal

CCTS Expert for the evaluation of proposals, CCTS (Comit Consultatif pour les Technologies Spatiales) CSEM Delegate in the aviation and security research groups of EREA (Association of European Establishments in Aeronautics) CSEM representative, General Forum Clean Sky CSEM representative, Swiss Aeronautics Security and Defense division of Swissmem (Swiss ASD)

A. Neels A. Perret

Board member of the Swiss Crystallographic Society Euripides Scientific Adviser of the Board, Council Member and Adviser of the Council Member of the Board of BioAlps Member of the ESA's Future Technology Advisory Panel Program Committee of Smart System Integration Conference Secrtaire de la Fondation du prix Omega Member of the Socit Suisse de Chronomtrie counsil

C. Piguet

Comit de nomination dun professeur lETHZ Membre du comit de rdaction du bulletin de la SSC Membre du Conseil dAdministration de Centredoc, Neuchtel, Suisse, 2012 Program Committee ICECS 2012, Sville, Espagne, dcembre 2012 Steering & Program Committee of FTFC12, Paris, June 6-7, 2012 Steering and Program Committee PATMOS'12, September 2012, Newcastle, UK Steering Committee of Low-Power Symposium ISLPED12 Steering Committee of the ALaRI Master Course, University of Lugano Subprogram Committee DATE 2012, Dresden, Germany, March 15-17, 2012 Topic Chair, Program Committee of ESSCIRC 2012, September 18-20, 2012 Bordeaux, France

R. P. Stanley

Nanophotonics Foresight Association Committee for Horizon 2020 Photonics 21, working group 3 (biophotonics) and 6 (photonic components) Programm Committee member of SPIE Conference Photonics West

Prizes and Awards


January 2012 April 2012 May 2012 Umweltpreis Schweiz 2012 Prix Suisse Environnement 2012 awarded to start-up company NEUROBAT AG (Basel, CH). The patented Neurobat control technology was developed in collaboration with CSEM. Young Scientist Award, European Frequency and Time Forum 2012 (EFTF) for the contribution of Xi Zeng from CSEM to the thematic: Microwave Frequency Standards (Gothenburg, SE). Best Paper Award, ACM International Conference on Computing Frontiers 2012 for the contribution of A. Lingamneni, K. Krishna Muntimadugu, C. C. Enz, K. Palem, C. Piguet, R. M. Karp entitled Algorithmic Methodologies for Ultra-efficient Inexact Architectures for Sustaining Technology Scaling (Cagliari, IT).

129

CSEM Alpnach Untere Grndlistrasse 1 CH-6055 Alpnach Dorf CSEM Landquart Bahnhofstrasse 1 CH-7302 Landquart CSEM Muttenz Tramstrasse 99 CH-4132 Muttenz CSEM Neuchtel Jaquet-Droz 1 CH-2002 Neuchtel CSEM Zurich Technoparkstrasse 1 CH-8005 Zurich

www.csem.ch

info@csem.ch jobs@csem.ch

You might also like