You are on page 1of 15

UNIVERSIDAD NACIONAL TECNOLOGICA DEL CONO SUR DE LIMA

(UNTECS)
Ingeniera Electrnica y Telecomunicaciones


CIRCUITOS DIGITALES II


CIRCUITOS DIGITALES SECUENCIALES:
REGISTROS

Integrantes:


ING. ORLANDO ORTEGA GALICIO






2013



1. OBJETIVOS

Observar el movimiento de informacin en un registro de Desplazamiento.
Medir el tiempo que demora un dato desde su ingreso hasta la salida en el
registro
Conocer el funcionamiento de los diferentes Registros en C.I

2. FUNDAMENTO TEORICO
REGISTROS DE DESPLAZAMIENTO
Un registro de desplazamiento es una cadena de flip flops tipo D interconectados
de tal modo que la informacin almacenada en ellos experimenta un corrimiento
hacia la izquierda o hacia la derecha con cada pulso de reloj.
Esto significa que cada vez que ocurre un pulso de reloj el bit almacenado en
cada flip flop de la cadena se transfiere o desplaza al slip flop siguiente o
adyacente.
Los bloques individuales que representamos en la siguiente figura nos muestra
cmo se desplaza o como opera un registro de desplazamiento, el primer pulso
de reloj ingresa el bit 0, el segundo el bit 1 y as sucesivamente. Despus de
ciertos pulsos nos muestra el nmero o cadena de bits que ha sido transferida al
registro, si la entrada de datos se mantiene en ceros y se aplican pulsos de reloj
la palabra previamente almacenada ser expulsada bit por bit.

Sirve para disear circuitos secuenciales, generadores de potencia, secuencias,
sistemas de adquisicin de datos.
CLASIFICACIN:
Storage registrer (Almacenamiento).
Shift registrer(Desplazamiento).

REGISTROS DE ALMACENAMIENTO
Se forman a partir de biestables de tipo D, de los cuales se necesitaran n
biestables para almacenar n bits. Estos circuitos son sncronos, y todos los
biestables estn controlados por la misma seal de reloj.

En las entradas D estarn los datos a escribir y en Q estarn los datos
almacenados. La abstraccin de un registro de almacenamiento de n bits:

Banco de Registros:
Son un conjunto de registros que permiten escribir y leer datos, soportan las
operaciones de lectura y escritura.
Escritura:
El dato a escribir se enva a la entrada de datos de todos
los registros, de los cuales se seleccionara en el que se
desea escribir mediante un decodificador, y la seal
Escribe se conectara a la entrada de Habilitacin del
decodificador, y cuando esta se vuelva a desactivar (flanco
de bajada) el dato se escribe en el registro
seleccionado.
Lectura:
Los datos almacenados en los registros llegan
continuamente a un multiplexador de n bits,
para leer un registro en concreto se
selecciona mediante las entradas del
multiplexador.

REGISTROS DE DESPLAZAMIENTO
Un registro de desplazamiento es un circuito digital secuencial (es decir, que los
valores de sus salidas dependen de sus entradas y de los valores anteriores)
consistente en una serie de biestables, generalmente de tipo D, conectados en
cascada (Fig. 1), que basculan de forma sincrnica con la misma seal de reloj.
Segn las conexiones entre los biestables, se tiene un desplazamiento a la
izquierda o a la derecha de la informacin almacenada. Es de sealar que un
desplazamiento a la izquierda de un conjunto de bits, multiplica por 2, mientras
que uno a la derecha, divide entre 2. Existen registros de desplazamiento
bidireccionales, que pueden funcionar en ambos sentidos. Los registros
universales, adems de bidireccionales permiten la carga en paralelo.
TIPOS DE REGISTROS DE DESPLAZAMIENTO
Dependiendo del tipo de entradas y salidas, los registros de desplazamiento se
clasifican como:
Serie-Serie: slo la entrada del primer flip-flop y la salida del ltimo son
accesibles externamente. Se emplean como lneas de retardo digitales y
en tareas de sincronizacin.
Paralelo-Serie: son accesibles las entradas de todos los flip-flops, pero slo
la salida del ltimo. Normalmente tambin existe una entrada serie, que
slo altera el contenido del primer flip-flop, pudiendo funcionar como los del
grupo anterior.
Serie-Paralelo: son accesibles las salidas de todos los flip-flops, pero slo
la entrada del primero. Este tipo y el anterior se emplean para convertir
datos serie en paralelo y viceversa, por ejemplo para conexiones serie
como el RS232.
Paralelo-Paralelo: tanto las entradas como las salidas son accesibles. Se
usan para clculos aritmticos.

1. SISO




2. SIPO

3. PISO

4. PIPO

Un registro de desplazamiento muy utilizado, que es universal (se llama as
porque puede utilizarse en cualquiera de las cuatro configuraciones anteriormente
descritas) y bidireccional (porque puede desplazar los bits en un sentido u otro) es
el 74HC194, de cuatro bits de datos.
Otros registros de desplazamiento conocidos, fabricados tambin con la
tecnologa CMOS, son el 74HC165 (entrada paralelo, salida serie) y 74HC164
(entrada serie, salida paralelo).

APLICACIONES
Adems de la conversin serie-paralelo y paralelo-serie, los registros de
desplazamiento tienen otras aplicaciones tpicas:
Generador pseudoaleatorio. Se construye con un registro de
desplazamiento, realimentando a la entrada una combinacin de varias
salidas, normalmente un or exclusivo entre ellas.
Multiplicador serie. Se realiza la multiplicacin mediante sumas y
desplazamientos. Un ejemplo es el 74LS384.
Registro de aproximaciones sucesivas. Se usa en conversores A/D. Se van
calculando los bits sucesivamente, empezando por el ms significativo.
Mediante un conversor DAC se compara la entrada analgica con los
resultados parciales, generando el siguiente bit.
Retardo. Se pueden utilizar para retardar un bit un nmero entero de ciclos
de reloj (consiste simplemente en un conjunto de biestables en cascada,
tantos como ciclos de reloj deseemos retardar los bits).














3.- PRODEDIMIENTO

REGISTRO DE DESPLAZAMIENTO SERIE CON FLIP-FLOP:
a) Implementar el siguiente circuito de desplazamiento:

b) Usando el pulsador resetee el circuito

En un inicio se resetea el
registro para que este en ptimas
condiciones a la hora de ingresar los
datos.






c) Usando S1 aplique un pulso de aproximadamente 1 segundo de
duracin usando el reloj determine cuanto demora dicho dato (1
lgico) en llegar a la salida serie del registro.
Al aplicar el pulso o ingresar el dato (1 lgico) el dato pasa de un proceso k
demora unos dos segundos en promedio; es decir, provoca un retardo temporal
en la salida.

d) Explique cmo se est trasladando el dato en el registro

Y como ya mencionamos al ser una registro siso o entrada / salida serie el
dato ingresado tendr que extraerse serialmente por medio de los 4 flipflop
que componen dicho registro, esto lleva un tiempo prudente y se aprecia un
avance progresivo del dato en las siguientes fotografas tomadas:


En este caso utilizamos el S1 (switch) y
proporcionamos el primer pulso (1 lgico) que
ser el dato que se debe conseguir en la salida
del registro.











FIGURA 1.
FIGURA 2. FIGURA 3.
FIGURA 4.


En la figura 5 se aprecia como luego de extraer el
dato serialmente se obtiene el 1 lgico en la salida
del registro. (se enciende el ltimo led rojo de la
derecha)

Luego de obtener el dato nuevamente podemos ingresar un nuevo dato en este
caso utilizamos el S1 para obtener un 0 lgico y este ser el nuevo dato que se
debe extraer.














FIGURA 5.
FIGURA6. FIGURA 7.
FIGURA 8. FIGURA 9.
e) Escriba sus observaciones y/o conclusiones.
Se obtiene al igual que el caso anterior el dato, en este caso el 0 lgico,
comprobando en la prctica el funcionamiento de un registro SISO, que
tiene un retardo en el tiempo para extraer el dato.

REGISTRO DE DESPLAZAMIENTO SIPO (Serial Input Paralelo Output):
a) Realizar el siguiente circuito

b) LIMPIAR (CLEAR) el Registrador de desplazamiento (1 lnea Tabla de
funcin)
- Coloque el switchCLEAR en 0 (L), las entradas CLK A, B son irrelevantes
(X).
- Deber observar que las salidas QAQH= 0 (L). Es decir los leds
debern estar apagados.
Al colocar CLEAR=0, los leds permanecen apagados y se borran cualquier
informacin que haya sido guardada.
c) FUNCION SIPO (Serial Input Parallel Output)(3 lnea Tabla de funcin)
SRG8
R
C1/->
& 1D
1 3
2
4
5
6
10
8
11
12
9
13
+5V
+5V
1
2
3
1
2
3
+5V
+5V
+5V
- Coloque CLEAR en 1(H), A=1(H), B se encuentre conectado permanente
en 1 (+5v) (H).
- Active el generador de pulsos manual (clock manual) 8 veces (ida y vuelta).
- Deber observar que los leds se van iluminando uno por uno cada vez que
llega el filo delantero del uso del clock.
Es decir, el bit H (lgico 1) que ingresa por la entrada serie A va apareciendo
sucesivamente por QAQH.
d) Qu sucede cuando el clock manual es accionado 3 veces ms? Qu
sucede con los leds?
El diodo led del clock manual contina prendindose y apagndose
normalmente. Se observa que los leds se van iluminando secuencialmente
desde QA, hasta QH, esto se debe a que el valor ingresado en la entrada A es
igual a 1, y adems estos leds permanecen totalmente encendidos.

e) Coloque ahora (4 lnea de la Tabla de Funcin) CLEAR=1 (H), A=0 (L), B
es irrelevante.
Active el clock manual 8 veces (ida y vuelta). Deber observar que los leds se
van apagando uno por uno cada vez que llega el filo delantero del pulso de
clock. Es decir, el apagando uno por uno cada vez que llega el filo delantero
del pulso de clock. Es decir, el bit L (lgico 0) que ingresa por la entrada serie
A va apareciendo sucesivamente por QA..QH.
DESPLAZAMIENTO DE 1 BIT (H)
a) Limpie el registrador de desplazamiento coloque CLEAR=0 (L) y luego,
pselo a 1 (H), de tal forma que tenga QAQH =0(L).
b) Coloque A=1 (H). Accione el clock manual 8 veces (ida y vuelta).
Cuando colocamos CLEAR en 1 y A en 1, los leds del QA hasta el QH, se
mantienen todos encendidos, se debe a que es un registro de desplazamiento
SIPO (entrada serie salida paralelo), ya que sus salidas (que vienen hacer
los leds) se obtienen los mismos resultados.

c) Retorne la entrada A=0 (L) y accione el clock manual 8 veces (ida y vuelta).
Cuando colocamos CLEAR en 1 y A en 0, los leds del QA hasta el QH, se van
apagando uno por uno (que se ve en la imagen de la izquierda) hasta que llega
estar totalmente apagado (imagen de la derecha), ya que esto se debe a la
entrada que le damos, en este caso es la entrada A =0.





CIRCUITO CIRCULANTESIPO (Serial Input Paralelo Output):

Este circuito es un registro SIPO y su estructura es muy similar a la de un registro
con entrada y salidas en serie.
El funcionamiento del circuito es que al dar el pulso cada uno de los leds se van
prendiendo consecutivamente en el octavo pulso ya se prendieron los 8 leds y los
siguientes 8 pulsos sern para apagar los leds en la forma mismo orden en que se
prendieron y luego de estos 16 pulsos se vuelve a repetir el mismo ciclo.








SRG8
R
C1/->
& 1D
1 3
2
4
5
6
10
8
11
12
9
13
U1
74LS164
1
2
3
U2:A
7400
4
5
6
U2:B
7400
R1
1k
R2
1k
D1
LED-RED
D2
LED-RED
1 2
U3:A
7404
R3
100
BAT1
5V
BAT2
5V
pulso led8 led7 led6 led5 led4 led3 led2 led1
0 0 0 0 0 0 0 0 0
1 0 0 0 0 0 0 0 1
2 0 0 0 0 0 0 1 1
3 0 0 0 0 0 1 1 1
4 0 0 0 0 1 1 1 1
5 0 0 0 1 1 1 1 1
6 0 0 1 1 1 1 1 1
7 0 1 1 1 1 1 1 1
8 1 1 1 1 1 1 1 1
9 1 1 1 1 1 1 1 0
10 1 1 1 1 1 1 0 0
11 1 1 1 1 1 0 0 0
12 1 1 1 1 0 0 0 0
13 1 1 1 0 0 0 0 0
14 1 1 0 0 0 0 0 0
15 1 1 0 0 0 0 0 0
16 0 0 0 0 0 0 0 0

You might also like