21 Century Music 0.036 4OR 0.728 0.052 A + U- Architecture and Urbanism 0.00 0.033 AAA - Arbeiten aus Anglistik und Amerikanistik 0.000 0.034 AAC: Augmentative and Alternative Communication 0.522 0.044 AACE International. Transactions of the Annual Meeting 0.016 0.034 AACN Advanced Critical Care 0.227 0.069 AANA journal 0.145 0.052 AAO Journal 0.034 AAOHN Journal 0.143 0.054 AAPS Journal 0.874 0.396 AAPS PharmSciTech 0.318 0.074 ABA Bank Marketing 0.035 0.034 ABA journal 0.012 0.034 Abacus 0.418 0.039 ABB Review 0.024 0.034 Abdominal Imaging 0.548 0.171 Abhandlungen aus dem Mathematischen Seminar der Universitat Hamburg 0.000 0.034 Abstract and Applied Analysis 0.363 0.068 ABU Technical Review 0.034 Academe 0.011 0.034 Academic emergency medicine : official journal of the Society for Academic Emergency Medicine 0.808 0.183 Academic Journal of Second Military Medical University 0.051 0.037 Academic Journal of Xi'an Jiaotong University 0.082 0.034 Academic Leadership 0.000 0.034 Academic medicine : journal of the Association of American Medical Colleges 0.822 0.168 Academic Nurse 0.00 0.034 Academic Pediatrics 0.00 0.136 Academic Psychiatry 0.360 0.063 Academic radiology 0.652 0.178 Academy of Management Journal 2.880 0.089 Academy of Management Learning and Education 1.109 0.051 Academy of Management Perspectives 1.000 0.054 Academy of Management Review 3.594 0.109 Acarologia 0.117 0.037 SNIP - Source Normalized Impact per Paper SJR - SCImago Journal Rank ANNEXURE - II 2009 Title of the Journal ACC Cardiosource Review Journal 0.010 0.035 Accident Analysis and Prevention 1.084 0.082 Accountability in research 0.281 0.096 Accounting and Business Research 0.304 0.039 Accounting and Finance 0.395 0.038 Accounting Forum 0.310 0.037 Accounting History 0.026 0.034 Accounting Horizons 0.661 0.063 Accounting Perspectives 0.111 0.035 Accounting Review 1.540 0.062 Accounting, Auditing and Accountability Journal 0.613 0.042 Accounting, Business and Financial History 0.178 0.035 Accounting, Organizations and Society 1.571 0.054 Accounts of Chemical Research 3.649 1.540 Accreditation and Quality Assurance 0.290 0.068 ACI Materials Journal 1.148 0.062 ACI Structural Journal 1.030 0.055 ACIMED 0.000 0.033 ACM Computing Surveys 5.949 0.260 ACM International Conference on Computer Graphics, Virtual Reality and Visualisation in Africa 0.120 0.037 ACM Journal on Educational Resources in Computing 0.146 0.036 ACM Journal on Emerging Technologies in Computing Systems 0.520 0.061 ACM SIGPLAN/SIGSOFT Workshop on Program Analysis for Software Tools and Engineering 0.431 0.046 ACM Transactions on Algorithms 0.487 0.060 ACM Transactions on Asian Language Information Processing 0.244 0.047 ACM Transactions on Autonomous and Adaptive Systems 1.002 0.060 ACM Transactions on Computational Logic 0.901 0.056 ACM Transactions on Computer Systems 2.802 0.098 ACM Transactions on Computer-Human Interaction 1.047 0.048 ACM Transactions on Database Systems 1.753 0.073 ACM Transactions on Design Automation of Electronic Systems 0.576 0.050 ACM Transactions on Graphics 1.945 0.106 ACM Transactions on Information and System Security 1.643 0.081 ACM Transactions on Information Systems 1.070 0.070 ACM Transactions on Internet Technology 1.023 0.065 ACM Transactions on Knowledge Discovery from Data 0.446 0.093 ACM Transactions on Mathematical Software 1.261 0.092 ACM Transactions on Modeling and Computer Simulation 1.022 0.057 ACM Transactions on Multimedia Computing, Communications and Applications 0.595 0.056 ACM Transactions on Programming Languages and Systems 1.083 0.064 ACM Transactions on Sensor Networks 1.534 0.082 ACM Transactions on Software Engineering and Methodology 1.962 0.079 ACM Transactions on Speech and Language Processing 0.058 0.040 ACM Transactions on Storage 0.792 0.054 ACM Transactions on the Web 0.665 0.067 ACM/SIGDA International Symposium on Field Programmable Gate Arrays - FPGA 0.712 0.054 ACME 0.286 0.037 ACOG Clinical Review 0.647 0.038 ACORN 0.063 0.041 Acoustical Physics 0.166 0.042 Acoustical Science and Technology 0.455 0.047 Acoustics Australia 0.069 0.048 Acoustics Bulletin 0.105 0.035 Across Languages and Cultures 0.034 ACS chemical biology 0.514 0.534 ACS Division of Petroleum Chemistry, Inc. Preprints 0.016 0.036 ACS nano 1.121 0.691 ACS National Meeting Book of Abstracts 0.000 0.033 ACS Symposium Series 0.046 0.042 ACSM's Health and Fitness Journal 0.009 0.035 Acta Acustica united with Acustica 0.513 0.048 Acta Adriatica 0.150 0.040 Acta Agriculturae Scandinavica - Section A: Animal Science 0.272 0.048 Acta Agriculturae Scandinavica - Section B Soil and Plant Science 0.270 0.043 Acta Agriculturae Slovenica 0.089 0.036 Acta Agronomica Hungarica 0.097 0.040 Acta Agronomica Sinica 0.047 0.037 Acta Alimentaria 0.109 0.043 Acta Amazonica 0.155 0.042 Acta Anaesthesiologica Belgica 0.085 0.043 Acta Anaesthesiologica Italica / Anaesthesia and Intensive Care in Italy 0.034 Acta Anaesthesiologica Scandinavica 0.830 0.172 Acta Anaesthesiologica Scandinavica, Supplement 0.039 Acta Anaesthesiologica Taiwanica 0.178 0.050 Acta Anatomica Sinica 0.066 0.036 Acta Angiologica 0.089 0.036 Acta Antiqua Academiae Scientiarum Hungaricae 0.034 Acta Applicandae Mathematicae 0.414 0.045 Acta Arachnologica 0.115 0.035 Acta Archaeologica 0.019 0.034 Acta Archaeologica Academiae Scientiarum Hungaricae 0.034 Acta Arithmetica 0.583 0.045 Acta Astronautica 0.343 0.054 Acta Astronomica 0.677 0.216 Acta Biochimica et Biophysica Sinica 0.303 0.148 Acta Biochimica Polonica 0.359 0.146 Acta Bioethica 0.034 Acta Biologica Colombiana 0.059 0.038 Acta Biologica Cracoviensia Series Botanica 0.200 0.045 Acta Biologica Hungarica 0.154 0.062 Acta Biologica Szegediensis 0.079 0.040 Acta Biomaterialia 1.034 0.266 Acta Biomedica de l'Ateneo Parmense 0.234 0.093 Acta Bioquimica Clinica Latinoamericana 0.013 0.034 Acta Biotheoretica 0.278 0.071 Acta Botanica Brasilica 0.078 0.039 Acta Botanica Croatica 0.203 0.040 Acta Botanica Gallica 0.017 0.035 Acta Botanica Hungarica 0.119 0.038 Acta Botanica Venezuelica 0.034 Acta Cardiologica 0.169 0.079 Acta Cardiologica Sinica 0.065 0.040 Acta Carsologica 0.343 0.044 Acta Chimica Slovenica 0.232 0.069 Acta Chiropterologica 0.403 0.052 Acta Chirurgiae Orthopaedicae et Traumatologiae Cechoslovaca 0.687 0.054 Acta Chirurgiae Plasticae 0.157 0.044 Acta Chirurgica Belgica 0.188 0.063 Acta chirurgica Iugoslavica 0.037 0.037 Acta Chromatography 0.217 0.050 Acta Cirurgica Brasileira 0.192 0.055 Acta Clinica Belgica 0.272 0.100 Acta clinica Belgica. Supplementum 0.099 0.066 Acta Clinica Croatica 0.058 0.040 Acta Colombiana de Psicologia 0.034 Acta Crystallographica Section A: Foundations of Crystallography 17.352 2.286 Acta Crystallographica Section B: Structural Science 0.699 0.161 Acta Crystallographica Section C: Crystal Structure Communications 0.203 0.061 Acta Crystallographica Section D: Biological Crystallography 1.142 0.866 Acta Crystallographica Section E: Structure Reports Online 0.113 0.053 Acta Crystallographica Section F: Structural Biology and Crystallization Communications 0.166 0.141 Acta Cybernetica 0.136 0.036 Acta Cytologica 0.350 0.082 Acta Dermato-Venereologica 0.500 0.164 Acta Dermato-Venereologica, Supplement 0.000 0.034 Acta Dermatovenerologica Alpina, Panonica et Adriatica 0.211 0.076 Acta Dermatovenerologica Croatica 0.131 0.055 Acta Diabetologica 0.287 0.142 Acta Ecologica Sinica 0.357 0.040 Acta Endoscopica 0.009 0.036 Acta Ethnographica Hungarica 0.142 0.034 Acta Ethologica 0.534 0.071 Acta Facultatis Xylologiae 0.105 0.036 Acta Farmaceutica Bonaerense 0.040 0.038 Acta Gastro-Enterologica Belgica 0.210 0.102 Acta gastroenterologica Latinoamericana 0.034 0.049 Acta Geodaetica et Cartographica Sinica 0.263 0.041 Acta Geodaetica et Geophysica Hungarica 0.022 0.034 Acta Geographica Lodziensia 0.034 Acta Geographica Sinica 0.418 0.044 Acta Geographica Slovenica 0.041 0.034 Acta Geologica Polonica 0.307 0.040 Acta Geologica Sinica 0.174 0.043 Acta Geophysica 0.552 0.057 Acta Geophysica Sinica 0.416 0.055 Acta Geotechnica 0.655 0.067 Acta Ginecologica 0.034 Acta Haematologica 0.252 0.173 Acta Haematologica Polonica 0.032 0.037 Acta Hepatologica Japonica 0.082 0.046 Acta Histochemica 0.348 0.149 Acta Histochemica et Cytochemica 0.535 0.190 Acta historica Leopoldina 0.269 0.131 Acta horticulturae 0.054 0.037 Acta Hospitalia 0.000 0.034 Acta Ichthyologica et Piscatoria 0.274 0.042 Acta Informatica 0.516 0.056 Acta Linguistica Hungarica 0.072 0.037 Acta Literaria 0.034 Acta Materialia 1.902 0.319 Acta Mathematica 3.008 0.083 Acta Mathematica Academiae Paedagogicae Nyiregyhaziensis 0.295 0.038 Acta Mathematica Hungarica 0.812 0.046 Acta Mathematica Scientia 0.308 0.040 Acta Mathematica Sinica, English Series 0.583 0.047 Acta Mathematica Universitatis Comenianae 0.608 0.041 Acta Mathematicae Applicatae Sinica 0.315 0.040 Acta Mechanica 0.701 0.063 Acta Mechanica Sinica/Lixue Xuebao 0.777 0.063 Acta Mechanica Solida Sinica 0.360 0.047 Acta medica (Hradec Krlov) / Universitas Carolina, Facultas Medica Hradec Krlov 0.080 0.050 Acta Medica Bulgarica 0.047 0.035 Acta medica Croatica : casopis Hravatske akademije medicinskih znanosti 0.059 0.043 Acta Medica et Biologica 0.099 0.039 Acta medica Indonesiana 0.053 0.061 Acta Medica Iranica 0.065 0.036 Acta Medica Mediterranea 0.034 Acta Medica Nagasakiensia 0.006 0.038 Acta Medica Okayama 0.208 0.089 Acta Medica Portuguesa 0.107 0.045 Acta Metallurgica Sinica (English Letters) 0.409 0.050 Acta Meteorologica Sinica 0.064 0.040 Acta Microbiologica et Immunologica Hungarica 0.149 0.087 Acta Microbiologica Hellenica 0.009 0.035 Acta Montanistica Slovaca 0.034 Acta Mozartiana 0.034 Acta Musicologica 0.000 0.034 Acta Myologica 0.182 0.194 Acta Neurobiologiae Experimentalis 0.331 0.112 Acta Neurochirurgica 0.696 0.130 Acta Neurochirurgica, Supplement 0.277 0.103 Acta Neurologica Belgica 0.214 0.076 Acta Neurologica Scandinavica 0.721 0.245 Acta neurologica Scandinavica. Supplementum 0.367 0.129 Acta Neurologica Taiwanica 0.132 0.060 Acta Neuropathologica 0.930 0.648 Acta Neuropsychiatrica 0.150 0.061 Acta Numerica 2.381 0.113 Acta Obstetricia et Gynecologica Scandinavica 0.622 0.147 Acta Oceanologica Sinica 0.124 0.043 Acta odontologica latinoamericana : AOL 0.138 0.047 Acta Odontologica Scandinavica 0.582 0.097 Acta Oecologica 0.649 0.075 Acta Oeconomica 0.168 0.035 Acta of Bioengineering and Biomechanics 0.128 0.040 Acta oncologica (Stockholm, Sweden) 0.561 0.307 Acta Ophthalmologica Scandinavica 0.706 0.153 Acta Ophthalmologica Scandinavica, Supplement 0.000 0.035 Acta Orientalia Academiae Scientiarum Hungaricae 0.077 0.034 Acta Ornithologica 0.368 0.057 Acta Orthopaedica 0.831 0.144 Acta Orthopaedica Belgica 0.281 0.055 Acta orthopaedica et traumatologica turcica 0.172 0.044 Acta orthopaedica. Supplementum. 0.508 0.100 Acta Ortopedica Brasileira 0.086 0.037 Acta ortopdica mexicana 0.003 #N/A Acta Oto-Laryngologica 0.419 0.105 Acta Oto-Laryngologica, Supplement 0.215 0.055 Acta otorhinolaryngologica Italica : organo ufficiale della Societ italiana di otorinolaringologia e chirurgia cervico-facciale 0.163 0.048 Acta Otorrinolaringologica Espanola 0.103 0.040 Acta Paediatrica, International Journal of Paediatrics 0.470 0.139 Acta Paediatrica, International Journal of Paediatrics, Supplement 0.752 0.263 Acta Palaeobotanica 0.314 0.041 Acta Palaeontologica Polonica 0.421 0.057 Acta Parasitologica 0.493 0.060 ACTA Paulista de Enfermagem 0.039 0.036 Acta Pediatrica Espanola 0.057 0.037 Acta Periodica Technologica 0.055 0.036 Acta Petrolei Sinica (Petroleum Processing Section) 0.283 0.041 Acta Petrologica Sinica 0.187 0.049 Acta Pharmaceutica 0.502 0.102 Acta Pharmaceutica Hungarica 0.082 0.044 Acta Pharmaceutica Sciencia 0.049 0.036 Acta Pharmacologica Sinica 0.441 0.174 Acta Physica Polonica A 0.234 0.050 Acta Physica Polonica B 0.203 0.051 Acta Physico - Chimica Sinica 0.317 0.057 Acta Physiologiae Plantarum 0.386 0.069 Acta Physiologica 0.771 0.381 Acta Physiologica Hungarica 0.161 0.078 Acta Physiologica Sinica 0.115 0.051 Acta Phytogeographica Suecica 0.445 0.126 Acta Phytopathologica et Entomologica Hungarica 0.178 0.044 Acta Phytotaxonomica Sinica 0.198 0.067 Acta Politica 0.273 0.037 Acta Poloniae historica 0.222 0.034 Acta Poloniae Pharmaceutica - Drug Research 0.066 0.052 Acta Polymerica Sinica 0.172 0.046 Acta Protozoologica 0.531 0.169 Acta Psychiatrica Scandinavica 1.020 0.247 Acta Psychiatrica Scandinavica, Supplement 0.308 0.086 Acta Psychologica 0.782 0.192 Acta radiologica (Stockholm, Sweden : 1987) 0.417 0.131 Acta Reumatologica Portuguesa 0.123 0.066 Acta Scientiarum - Biological Sciences 0.262 0.039 Acta Scientiarum - Health Sciences 0.006 0.035 Acta Seismologica Sinica 0.186 0.039 Acta Seismologica Sinica English Edition 0.164 0.036 Acta Societatis Botanicorum Poloniae 0.079 0.038 Acta Sociologica 0.973 0.051 Acta Technica CSAV (Ceskoslovensk Akademie Ved) 0.152 0.037 Acta Theologica 0.034 Acta Theriologica 0.464 0.064 Acta Theriologica Sinica 0.250 0.039 Acta Tropica 0.609 0.165 Acta Universitatis Agriculturae et Silviculturae Mendelianae Brunensis 0.017 0.035 Acta Universitatis Carolinae - Environmentalica 0.034 Acta Universitatis Carolinae. Medica. Monographia 0.035 Acta Universitatis Wratislaviensis, Studia Geograficzne 0.000 0.035 Acta Urologica Japonica 0.055 0.039 Acta Veterinaria 0.147 0.038 Acta Veterinaria Brno 0.165 0.043 Acta Veterinaria Hungarica 0.261 0.054 Acta Veterinaria Scandinavica 0.430 0.067 Acta Virologica 0.148 0.079 Acta Zoologica 0.567 0.162 Acta Zoologica Academiae Scientiarum Hungaricae 0.187 0.041 Actas Dermo-Sifiliograficas 0.159 0.056 Actas Espanolas de Psiquiatria 0.155 0.051 Actas urologicas espaolas 0.062 0.048 Actes de la Recherche en Sciences Sociales 0.233 0.034 Active and Passive Electronic Components 0.121 0.042 Active Learning in Higher Education 0.357 0.041 Activities, Adaptation and Aging 0.104 0.039 Actualite Chimique 0.049 0.043 Actualites Pharmaceutiques 0.034 Actualites Pharmaceutiques Hospitalieres 0.000 0.034 Actualizaciones en Anestesiologia y Reanimacion 0.042 0.035 Acupuncture and Electro-Therapeutics Research 0.164 0.042 Acupuncture in Medicine 0.262 0.081 Acute Cardiac Care 0.183 0.094 Acute Medicine 0.004 0.035 Acute Pain 0.202 0.059 Ad Hoc Networks 1.142 0.060 Ada User Journal 0.034 Adansonia 0.173 0.040 Adapted Physical Activity Quarterly 0.501 0.054 Adaptive Behavior 0.687 0.100 Addiction 1.266 0.264 Addiction Biology 0.786 0.513 Addiction Research and Theory 0.376 0.058 Addiction science & clinical practice 0.667 0.105 Addictive Behaviors 0.851 0.133 Addictive Disorders and their Treatment 0.071 0.039 Adicciones 0.230 0.044 Administration and Policy in Mental Health 0.736 0.104 Administration and Society 0.730 0.042 Administration in Social Work 0.466 0.040 Administrative Law Review 0.290 0.037 Administrative Science Quarterly 1.653 0.148 Adolescence 0.513 0.058 Adolescent medicine: state of the art reviews 0.302 0.069 Adoption Quarterly 0.285 0.040 Adsorption 0.598 0.144 Adsorption Science and Technology 0.183 0.053 Adult Education Quarterly 0.842 0.044 Adv Neonatal Care 0.284 0.073 Advance for nurse practitioners 0.004 0.035 Advanced Composite Materials: The Official Journal of the Japan Society of Composite Materials 0.347 0.059 Advanced Composites Letters 0.180 0.043 Advanced Drug Delivery Reviews 2.337 1.044 Advanced Emergency Nursing Journal 0.030 0.037 Advanced Engineering Informatics 0.920 0.064 Advanced Engineering Materials 0.626 0.124 Advanced Functional Materials 1.808 0.654 Advanced Materials 2.358 0.912 Advanced Materials Research 0.057 0.038 Advanced Nonlinear Studies 0.660 0.048 Advanced Powder Technology 0.498 0.065 Advanced Robotics 0.501 0.048 Advanced Studies in Contemporary Mathematics (Kyungshang) 0.322 0.058 Advanced Studies in Theoretical Physics 0.294 0.045 Advanced Synthesis and Catalysis 0.940 0.399 Advances and technical standards in neurosurgery 0.632 0.132 Advances in Accounting 0.034 0.034 Advances in Agronomy 1.242 0.162 Advances in anatomic pathology 0.924 0.389 Advances in anatomy, embryology, and cell biology 0.072 0.089 Advances in Anesthesia 0.059 0.042 Advances in Applied Ceramics 0.437 0.079 Advances in Applied Clifford Algebras 0.292 0.038 Advances in Applied Mathematics 0.948 0.060 Advances in Applied Mechanics 3.798 0.526 Advances in Applied Microbiology 0.473 0.191 Advances in Applied Probability 0.820 0.063 Advances in Astrobiology and Biogeophysics 0.034 Advances in Atmospheric Sciences 0.315 0.061 Advances in Austrian Economics 0.146 0.035 Advances in biochemical engineering/biotechnology 0.750 0.280 Advances in bioethics 0.000 0.034 Advances in Botanical Research 0.494 0.373 Advances in Breast Cancer 0.006 0.073 Advances in Cancer Research 0.791 1.047 Advances in Carbohydrate Chemistry and Biochemistry 0.659 0.164 Advances in Cardiology 0.593 0.312 Advances in Catalysis 1.781 0.519 Advances in Cement Research 0.558 0.048 Advances in child development and behavior 0.496 0.075 Advances in Chromatography 0.353 0.136 Advances in Chronic Kidney Disease 0.548 0.253 Advances in Clinical and Experimental Medicine 0.057 0.036 Advances in clinical chemistry 0.547 0.281 Advances in Colloid and Interface Science 2.512 0.420 Advances in Complex Systems 0.220 0.122 Advances in Computational Mathematics 1.130 0.076 ADVANCES IN COMPUTERS 0.170 0.040 Advances in Consumer Research 0.092 0.034 Advances in Data Analysis and Classification 0.278 0.042 Advances in dental research 0.770 0.198 Advances in dermatology 0.357 0.182 Advances in Developmental Biology 0.098 0.208 Advances in Difference Equations 0.341 0.056 Advances in Ecological Research 0.094 0.050 Advances in Econometrics 0.196 0.036 Advances in Education in Diverse Communities: Research, Policy and Praxis 0.034 Advances in Educational Administration 0.021 0.034 Advances in Engineering Education 0.000 Advances in Engineering Software 0.956 0.056 Advances in Enzyme Regulation 0.454 0.340 Advances in Enzymology and Related Areas of Molecular Biology 0.403 1.029 Advances in Experimental Medicine and Biology 0.318 0.285 Advances in food and nutrition research 0.891 0.214 Advances in Gastrointestinal Cancers 0.034 Advances in Gender Research 0.083 0.034 Advances in genetics 0.420 0.822 Advances in Geometry 0.415 0.041 Advances in Geosciences 0.287 0.054 Advances in gerontology = Uspekhi gerontologii / Rossiiskaia akademiia nauk, Gerontologicheskoe obshchestvo 0.028 0.040 Advances in health economics and health services research 0.211 0.042 Advances in Health Sciences Education 0.665 0.081 Advances in Heterocyclic Chemistry 0.438 0.134 Advances in Horticultural Science 0.105 0.037 Advances in Imaging and Electron Physics 0.279 0.065 Advances in Immunology 1.111 2.055 Advances in Inorganic Chemistry 1.088 0.321 Advances in International Marketing 0.027 0.034 Advances in Learning and Behavioral Disabilities 0.178 0.037 Advances in Library Administration and Organization 0.005 0.034 Advances in Life Course Research 0.124 #N/A Advances in Limnology 0.183 0.041 Advances in Management Accounting 0.058 0.034 Advances in Marine Biology 1.776 0.328 Advances in Mathematics 1.146 0.059 Advances in medical sciences 0.122 0.089 Advances in Microbial Physiology 1.344 0.656 Advances in Modelling & Analysis C 0.000 0.034 Advances in Modelling and Analysis A 0.000 0.034 Advances in Modelling and Analysis B 0.000 0.034 Advances in Multimedia 0.175 0.047 Advances in Nonlinear Variational Inequalities 0.216 0.044 Advances in Nursing Science 0.530 0.061 Advances in OptoElectronics 0.162 0.064 Advances in Organometallic Chemistry 1.028 0.260 Advances in oto-rhino-laryngology 0.388 0.122 Advances in Parasitology 0.991 0.354 Advances in pediatrics 0.174 0.117 Advances in peritoneal dialysis. Conference on Peritoneal Dialysis 0.284 0.071 Advances in pharmacology (San Diego, Calif.) 0.569 0.530 Advances in Physical Chemistry 0.000 Advances in Physical Organic Chemistry 0.446 0.154 Advances in Physics 6.297 1.035 Advances in Physiotherapy 0.253 0.056 Advances in Polymer Science 1.515 0.458 Advances in Polymer Technology 0.275 0.058 Advances in Protein Chemistry 1.289 1.450 Advances in Psychiatric Treatment 0.200 0.056 Advances in Psychosomatic Medicine 0.380 0.135 Advances in Quantum Chemistry 0.527 0.102 Advances in Radio Science 0.062 0.037 Advances in skin & wound care 0.208 0.055 Advances in Small Animal Medicine and Surgery 0.034 Advances in Soft Computing 0.055 0.035 Advances in Space Research 0.405 0.095 Advances in Strategic Management 0.089 0.036 Advances in Structural Engineering 0.497 0.049 Advances in surgery 0.361 0.109 Advances in the Astronautical Sciences 0.037 0.034 Advances in the Economic Analysis of Participatory and Labor- Managed Firms 0.082 0.034 Advances in Theoretical and Mathematical Physics 0.364 0.068 Advances in Therapy 0.204 0.095 Advances in Urology 0.000 Advances in Virus Research 1.186 0.915 Advances in Water Resources 1.226 0.111 Advancing Microelectronics 0.130 0.044 Adverse Drug Reaction Bulletin 0.000 0.034 AEJ - Alexandria Engineering Journal 0.000 0.034 Aequationes Mathematicae 0.759 0.050 Aerobiologia 0.553 0.069 Aeronautical Journal 0.299 0.041 Aerosol and Air Quality Research 0.253 0.051 Aerosol Science and Technology 0.781 0.193 Aerospace Engineering and Manufacturing 0.023 0.035 Aerospace Science and Technology 0.727 0.061 AES: Journal of the Audio Engineering Society 0.463 0.043 Aesthetic Plastic Surgery 0.523 0.074 Aesthetic Surgery Journal 0.295 0.059 AEU - International Journal of Electronics and Communications 0.476 0.048 Aevum - Rassegna di Scienze Storiche Linguistiche e Filologiche 0.034 Affilia - Journal of Women and Social Work 0.332 0.040 Afinidad 0.044 0.037 Afr Health Sci 0.186 0.060 Africa 0.768 0.041 Africa and Middle East Textiles 0.034 Africa Development 0.034 Africa Journal of Nursing and Midwifery 0.034 Africa Spectrum 0.056 0.035 Africa Today 0.184 0.037 African Affairs 1.191 0.049 African American Review 0.000 0.034 African and Asian Studies 0.153 0.035 African Archaeological Review 0.149 0.042 African Arts 0.000 0.034 African Development Review 0.213 0.037 African Entomology 0.203 0.050 African Journal of AIDS Research 0.288 0.051 African Journal of Aquatic Science 0.127 0.038 African Journal of Biotechnology 0.172 0.045 African Journal of Ecology 0.313 0.079 African Journal of Herpetology 0.108 0.044 African journal of medicine and medical sciences 0.109 0.047 African Journal of Neurological Sciences 0.254 0.039 African Journal of Psychiatry (South Africa) 0.182 0.035 African Journal of Range and Forage Science 0.227 0.045 African journal of reproductive health 0.207 0.060 African Journal of Traditional, Complementary and Alternative Medicines 0.254 0.046 African Studies Review 0.150 #N/A African Zoology 0.250 0.050 Afrique Contemporaine 0.084 0.034 AgBioForum 0.281 0.064 Age and Ageing 1.295 0.233 Ageing and Society 0.893 0.053 Ageing Research Reviews 1.623 1.519 Agenda 0.034 Aggression and Violent Behavior 1.160 0.085 Aggressive Behavior 0.954 0.083 Aging - Clinical and Experimental Research 0.410 0.115 Aging and Mental Health 0.542 0.081 Aging Cell 1.039 1.557 Aging Health 0.041 0.059 Aging Male 0.329 0.139 Aging, Neuropsychology, and Cognition 0.544 0.131 Agora 0.034 Agri Dergisi 0.108 0.043 Agricultura Tecnica 0.141 0.038 Agriculturae Conspectus Scientificus 0.062 0.036 Agricultural and Food Science 0.304 0.049 Agricultural and Forest Entomology 0.624 0.079 Agricultural and Forest Meteorology 1.368 0.172 Agricultural and Resource Economics Review 0.536 0.055 Agricultural Economics 0.409 0.046 Agricultural History 0.445 0.034 Agricultural History Review 0.178 0.039 Agricultural Sciences in China 0.130 0.044 Agricultural Systems 1.069 0.078 Agricultural Water Management 1.166 0.083 Agriculture and Human Values 0.565 0.054 Agriculture, Ecosystems and Environment 1.522 0.131 Agro Food Industry Hi-Tech 0.040 0.038 Agroalimentaria 0.296 0.036 Agrocencia 0.116 0.038 Agrochimica 0.172 0.042 Agroforestry Systems 0.707 0.059 Agrokemia es Talajtan 0.034 Agronomy for Sustainable Development 0.615 0.072 Agronomy Journal 0.799 0.089 AHIP Coverage 0.024 0.038 AHP journal / Association for Healthcare Philanthropy 0.000 0.034 AI and Society 0.156 0.037 AI Communications 0.442 0.063 AI Magazine 0.270 0.043 Ai zheng = Aizheng = Chinese journal of cancer 0.075 0.052 AIAA Journal 0.883 0.065 AIAA/IEEE Digital Avionics Systems Conference - Proceedings 0.012 0.034 AIChE Ethylene Producers Conference Proceedings 0.034 AIChE Journal 0.993 0.131 Aide Soignante 0.034 AIDS 1.135 0.664 AIDS alert 0.007 0.038 AIDS and Behavior 0.774 0.202 AIDS Care - Psychological and Socio-Medical Aspects of AIDS/HIV 0.526 0.135 AIDS clinical care 0.008 0.035 AIDS Education and Prevention 0.735 0.128 AIDS Patient Care and STDs 0.501 0.155 AIDS policy & law 0.001 0.034 AIDS Reader 0.085 0.061 AIDS Research and Human Retroviruses 0.445 0.279 AIDS Research and Therapy 0.404 0.200 AIDS Reviews 0.686 0.482 AIDS treatment news 0.000 0.034 AILA Review 0.553 #N/A AIMS Journal 0.034 AIP Conference Proceedings 0.040 0.038 Air and Space Power Journal 0.012 0.034 Air Medical Journal 0.138 0.041 Aircraft Engineering and Aerospace Technology 0.288 0.041 Airline Business 0.018 0.034 AIST Today (International Edition) 0.034 AJNR. American journal of neuroradiology 1.245 0.347 AJR. American journal of roentgenology 1.011 0.280 Aktuality v Nefrologii 0.034 Aktualnosci Neurologiczne 0.034 Aktuelle Dermatologie 0.021 0.036 Aktuelle Ernahrungsmedizin 0.020 0.035 Aktuelle Neurologie 0.068 0.040 Aktuelle Neurologie, Supplement 0.034 Aktuelle Rheumatologie 0.041 0.038 Aktuelle Rheumatologie, Supplement 0.034 Aktuelle Traumatologie 0.068 0.039 Aktuelle Urologie 0.078 0.044 Akusherstvo i ginekologiia 0.010 0.033 Akusherstvo i ginekologiia 0.013 0.034 Akzente 0.034 Alaska medicine 0.024 0.040 Alauda 0.104 0.037 Albany law review 0.000 0.034 Alberta Journal of Educational Research 0.024 0.034 Alberta RN / Alberta Association of Registered Nurses 0.010 0.034 Alcheringa 0.248 0.070 Alcohol 0.520 0.221 Alcohol and Alcoholism 0.689 0.206 Alcohol and alcoholism (Oxford, Oxfordshire). Supplement. 0.039 Alcohol Research and Health 0.761 0.230 Alcoholism 0.036 0.034 Alcoholism Treatment Quarterly 0.052 0.037 Alcoholism: Clinical and Experimental Research 0.835 0.320 Aldrichimica Acta 3.167 1.405 Alea 0.034 Alergia Astma Immunologia 0.026 0.037 Alergie 0.031 0.034 Algebra and Logic 0.472 0.041 Algebra Colloquium 0.370 0.040 Algebra Universalis 0.393 0.041 Algebras and Representation Theory 0.335 0.043 Algorithmica (New York) 0.752 0.072 Algorithms for Molecular Biology 0.444 0.182 Alimentary Pharmacology and Therapeutics 0.913 0.396 Alimentary Pharmacology and Therapeutics Symposium Series 0.151 0.062 Alkaloids: Chemistry and Biology 0.568 0.135 Allelopathy Journal 0.192 0.046 Allergo Journal 0.060 0.040 Allergologia et Immunopathologia 0.202 0.070 Allergologie 0.054 0.037 Allergology International 0.575 0.349 Allergy and asthma proceedings : the official journal of regional and state allergy societies 0.321 0.127 Allergy and Clinical Immunology International 0.054 0.044 Allergy, Asthma and Clinical Immunology 0.017 0.034 Allergy: European Journal of Allergy and Clinical Immunology 1.032 0.399 Allgemeine Forst- und Jagdzeitung 0.123 0.040 Alpha 0.034 Al-Qantara 0.034 Alter 0.297 0.039 Alternative and Complementary Therapies 0.053 0.037 Alternative Medicine 0.034 Alternative Medicine Review 0.497 0.121 Alternative Therapies in Health and Medicine 0.380 0.076 Alternative Therapies in Womens Health 0.008 0.034 Alternatives 0.208 0.048 ALTEX : Alternativen zu Tierexperimenten 0.169 0.271 Alzheimer Disease and Associated Disorders 0.766 0.319 Alzheimer's and Dementia 0.498 0.198 Alzheimer's Care Quarterly 0.109 0.038 Alzheimer's Care Today 0.046 0.034 Am J Cardiovasc Drugs 0.427 0.215 AMA, Agricultural Mechanization in Asia, Africa and Latin America 0.077 0.034 Ambiente e Sociedade 0.039 #N/A AMBIO 0.738 0.115 Ambix 0.000 0.034 Ameghiniana 0.317 0.044 Amerasia journal 0.000 0.034 American Annals of the Deaf 0.260 0.043 American Anthropologist 0.546 0.054 American Antiquity 0.578 0.132 American Art 0.000 0.034 American Association of Petroleum Geologists Bulletin 1.151 0.064 American Bankruptcy Law Journal 0.029 0.039 American Bee Journal 0.056 0.039 American Behavioral Scientist 0.330 0.041 American Biology Teacher 0.143 0.040 American Biotechnology Laboratory 0.030 0.039 American Business Law Journal 0.065 0.035 American Communication Journal 0.000 0.034 American Criminal Law Review 0.084 0.034 American Economic Review 2.192 0.105 American Educational Research Journal 1.761 0.060 American Ethnologist 0.479 0.039 American family physician 0.384 0.096 American Fern Journal 0.203 0.049 American Heart Journal 1.062 0.594 American Imago 0.190 0.034 American Indian and Alaska native mental health research : journal of the National Center 0.094 0.040 American Indian culture and research journal 0.000 0.034 American Jewish history. 0.034 American Journal Geriatric Pharmacotherapy 0.657 0.208 American Journal of Agricultural and Biological Science 0.179 0.043 American Journal of Agricultural Economics 1.038 0.066 American Journal of Alzheimer's Disease and other Dementias 0.374 0.099 American Journal of Animal and Veterinary Sciences 0.033 0.036 American Journal of Applied Sciences 0.091 0.042 American Journal of Audiology 0.351 0.115 American Journal of Biochemistry and Biotechnology 0.104 0.059 American Journal of Botany 0.996 0.214 American Journal of Cardiology 0.968 0.491 American Journal of Chinese Medicine 0.451 0.090 American Journal of Clinical Dermatology 0.559 0.170 American Journal of Clinical Hypnosis 0.140 0.048 American Journal of Clinical Nutrition 1.527 0.587 American Journal of Clinical Oncology: Cancer Clinical Trials 0.473 0.205 American Journal of Clinical Pathology 0.676 0.327 American Journal of Community Psychology 0.625 0.101 American Journal of Comparative Law 0.133 0.034 American Journal of Critical Care 0.538 0.146 American Journal of Dance Therapy 0.142 0.035 American Journal of Dentistry 0.605 0.079 American Journal of Dermatopathology 0.539 0.120 American journal of disaster medicine 0.092 0.062 American Journal of Drug and Alcohol Abuse 0.484 0.099 American Journal of Economics and Sociology 0.393 0.037 American Journal of Education 0.621 0.040 American Journal of Electroneurodiagnostic Technology 0.059 0.040 American Journal of Emergency Medicine 0.379 0.099 American Journal of Enology and Viticulture 0.606 0.093 American Journal of Environmental Sciences 0.125 0.039 American journal of epidemiology 1.532 0.673 American Journal of Evaluation 0.446 0.047 American Journal of Family Therapy 0.512 0.041 American Journal of Food Technology 0.085 0.040 American Journal of Forensic Psychiatry 0.026 0.034 American Journal of Forensic Psychology 0.254 0.039 American Journal of Gastroenterology 1.297 0.584 American Journal of Geriatric Cardiology 0.148 0.082 American Journal of Geriatric Psychiatry 0.925 0.324 American Journal of Health Behavior 0.659 0.142 American Journal of Health Education 0.114 0.043 American Journal of Health Promotion 0.695 0.149 American journal of health-system pharmacy : AJHP : official journal of the American Society of Health-System Pharmacists 0.456 0.135 American Journal of Hematology 0.510 0.271 American Journal of Hematology/ Oncology 0.002 #N/A American Journal of Human Biology 0.528 0.171 American Journal of Human Genetics 2.231 3.556 American Journal of Hypertension 0.796 0.314 American Journal of Immunology 0.079 0.047 American Journal of Industrial Medicine 0.784 0.125 American journal of infection control 0.772 0.259 American Journal of Infectious Diseases 0.050 0.040 American Journal of International Law 0.547 0.035 American Journal of Kidney Diseases 1.059 0.398 American Journal of Law and Medicine 0.380 0.054 American Journal of Legal History 0.034 American Journal of Managed Care 0.667 0.246 American Journal of Mathematical and Management Sciences 0.075 0.039 American Journal of Mathematics 0.963 0.050 American Journal of Medical Genetics - Neuropsychiatric Genetics 0.521 0.437 American Journal of Medical Genetics - Seminars in Medical Genetics 0.709 0.444 American journal of medical genetics. Part A 0.516 0.354 American journal of medical quality : the official journal of the American College of Medical Quality 0.446 0.155 American Journal of Medicine 1.116 0.446 American Journal of Men's Health 0.282 0.045 American Journal of Nephrology 0.629 0.333 American Journal of Nursing 0.214 0.054 American Journal of Obstetrics and Gynecology 0.883 0.275 American Journal of Occupational Therapy 0.710 0.068 American journal of ophthalmology 1.062 0.319 American journal of orthodontics and dentofacial orthopedics : official publication of the American Association of Orthodontists, its constituent societies, and the American Board of Orthodontics 0.901 0.076 American journal of orthopedics (Belle Mead, N.J.) 0.265 0.057 American Journal of Orthopsychiatry 0.696 0.072 American Journal of Otolaryngology - Head and Neck Medicine and Surgery 0.583 0.088 American Journal of Pathology 1.157 0.983 American Journal of Perinatology 0.328 0.105 American Journal of Pharmaceutical Education 0.408 0.056 American Journal of Pharmacology and Toxicology 0.074 0.040 American journal of philology 0.035 American Journal of Physical Anthropology 0.867 0.212 American Journal of Physical Medicine and Rehabilitation 0.651 0.113 American Journal of Physics 0.495 0.068 American Journal of Physiology - Advances in Physiology Education 0.319 0.090 American Journal of Physiology - Cell Physiology 0.872 0.706 American Journal of Physiology - Endocrinology and Metabolism 0.909 0.620 American Journal of Physiology - Gastrointestinal and Liver Physiology 0.825 0.547 American Journal of Physiology - Heart and Circulatory Physiology 0.868 0.495 American Journal of Physiology - Lung Cellular and Molecular Physiology 0.871 0.596 American Journal of Physiology - Regulatory Integrative and Comparative Physiology 0.830 0.377 American Journal of Physiology - Renal Physiology 0.879 0.589 American Journal of Plant Physiology 0.082 0.039 American Journal of Political Science 2.109 0.086 American Journal of Potato Research 0.234 0.046 American Journal of Preventive Medicine 1.174 0.280 American Journal of Primatology 0.616 0.152 American Journal of Psychiatric Rehabilitation 0.406 0.083 American Journal of Psychoanalysis 0.050 0.034 American Journal of Psychology 0.344 0.054 American Journal of Psychotherapy 0.194 0.039 American journal of public health 1.322 0.289 American Journal of Reproductive Immunology 0.549 0.223 American journal of respiratory and critical care medicine 2.156 1.342 American Journal of Respiratory Cell and Molecular Biology 0.858 0.648 American journal of rhinology & allergy 0.143 American Journal of Science 0.680 0.198 American Journal of Sexuality Education 0.000 0.034 American Journal of Sociology 1.866 0.097 American Journal of Speech-Language Pathology 0.682 0.073 American Journal of Sports Medicine 1.339 0.227 American Journal of Surgery 0.752 0.237 American Journal of Surgical Pathology 1.297 0.547 American Journal of the Medical Sciences 0.369 0.149 American Journal of Therapeutics 0.351 0.142 American Journal of Transplantation 1.435 0.744 American Journal of Tropical Medicine and Hygiene 0.719 0.271 American Journal of Veterinary Research 0.661 0.088 American Journal on Addictions 0.505 0.138 American journal on intellectual and developmental disabilities 0.109 American Law and Economics Review 0.455 0.044 American Literary History 0.120 0.034 American Literary Realism 0.034 American literature; a journal of literary history, criticism and bibliography 0.099 0.034 American Malacological Bulletin 0.206 0.053 American Mathematical Monthly 0.508 0.042 American Midland Naturalist 0.328 0.056 American Mineralogist 0.655 0.131 American Museum Novitates 0.556 0.079 American Music 0.000 0.034 American Naturalist 1.498 0.531 American Pharmaceutical Outsourcing 0.068 0.036 American Pharmaceutical Review 0.036 0.041 American philosophical quarterly 0.180 0.035 American Political Science Review 1.691 0.080 American Politics Research 0.711 0.041 American Psychologist 1.663 0.151 American quarterly 0.093 0.034 American Review of Canadian Studies 0.041 0.034 American Review of Public Administration 0.764 0.043 American Society of Mechanical Engineers, Pressure Vessels and Piping Division (Publication) PVP 0.004 0.034 American Sociological Review 2.112 0.074 American Speech 0.485 0.039 American Statistician 0.595 0.109 American Studies in Scandinavia 0.034 American Surgeon 0.427 0.139 Americas 0.034 Amerikastudien 0.034 AMHA - Acta Medico-Historica Adriatica 0.034 Amino Acids 0.620 0.300 Ammonia Plant Safety and Related Facilities 0.034 Amphibia Reptilia 0.326 0.058 Amyloid 0.339 0.173 Amyotrophic Lateral Sclerosis 0.296 0.217 Anadolu kardiyoloji dergisi : AKD = the Anatolian journal of cardiology 0.120 0.051 Anadolu Psikiyatri Dergisi 0.068 0.035 ANAE - Approche Neuropsychologique des Apprentissages chez l'Enfant 0.000 0.034 Anaerobe 0.479 0.129 Anaesthesia 0.807 0.150 Anaesthesia and Intensive Care 0.342 0.086 Anaesthesia and Intensive Care Medicine 0.060 0.040 Anaesthesiology and Intensive Care 0.034 Anaesthesist 0.331 0.077 Anais Brasileiros de Dermatologia 0.090 0.038 Anais da Academia Brasileira de Ciencias 0.343 0.090 Analecta Bollandiana 0.034 Anales de la Literatura Espanola Contemporanea 0.034 Anales de la Real Academia Nacional de Farmacia 0.024 0.042 Anales de la Real Academia Nacional de Medicina 0.010 0.035 Anales de Literatura Hispanoamericana 0.000 0.034 Anales de medicina interna (Madrid, Spain : 1984) 0.102 0.043 Anales de Pediatria 0.224 0.053 Anales de Pediatria Continuada 0.033 0.034 Anales de Psiquiatria 0.008 0.034 Anales del Jardin Botanico de Madrid 0.165 0.046 Anales del Sistema Sanitario de Navarra 0.154 0.047 Anales otorrinolaringolgicos ibero-americanos 0.035 0.037 Anales Venezolanos de Nutricion 0.034 Anlisis poltico (Bogot, Colombia) 0.076 #N/A Analog Integrated Circuits and Signal Processing 0.236 0.047 Analyses of Social Issues and Public Policy 0.659 0.055 Analysis 0.176 0.036 Analysis in Theory and Applications 0.081 0.035 Analysis Mathematica 0.393 0.042 Analyst 0.874 0.288 Analytica Chimica Acta 1.052 0.257 Analytical and Bioanalytical Chemistry 0.719 0.271 Analytical and Quantitative Cytology and Histology 0.323 0.129 Analytical Biochemistry 0.741 0.316 Analytical Chemistry 1.217 0.523 Analytical Chemistry Insights 0.027 0.041 Analytical Letters 0.267 0.116 Analytical Sciences 0.425 0.122 Anasthesiologie Intensivmedizin Notfallmedizin Schmerztherapie 0.121 0.045 Anasthesiologie und Intensivmedizin 0.153 0.043 Anatolia 0.061 0.035 Anatolian Studies 0.182 0.035 Anatomia, Histologia, Embryologia 0.253 0.070 Anatomical Record 0.339 0.150 Anatomical science international / Japanese Association of Anatomists 0.137 0.053 Anatomical Sciences Education 0.208 0.038 Ancient Civilizations from Scythia to Siberia 0.034 Ancient Mesoamerica 0.095 0.035 Andrologia 0.373 0.096 Andrologie 0.008 0.034 Anestezi Dergisi 0.023 0.034 Anesteziologie a Intenzivni Medicina 0.020 0.034 Anesteziologiia i reanimatologiia 0.013 0.035 Anestezjologia Intensywna Terapia 0.019 0.037 Anesthesia and Analgesia 0.841 0.182 Anesthesia and Resuscitation 0.034 Anesthesia progress 0.249 0.065 Anesthesiology 1.302 0.331 Anesthesiology Clinics 0.458 0.119 Angeiologie 0.000 0.034 Angelaki - Journal of the Theoretical Humanities 0.000 0.034 Angewandte Chemie - International Edition in English 1.820 0.871 Angiogenesis 0.864 0.864 Angiologiia i sosudistaia khirurgiia = Angiology and vascular surgery 0.022 0.038 Angiology 0.317 0.109 Angle Orthodontist 0.855 0.070 Anglia 0.000 0.034 Anglo-Saxon England 0.000 0.034 Anil Aggrawal's Internet Journal of Forensic Medicine and Toxicology 0.000 0.034 Animal 0.427 0.068 Animal Behaviour 1.032 0.207 Animal Biodiversity and Conservation 0.112 0.044 Animal Biology 0.451 0.079 Animal Biotechnology 0.397 0.121 Animal cognition 1.271 0.466 Animal Conservation 0.710 0.114 Animal Feed Science and Technology 0.997 0.080 Animal genetics 0.650 0.193 Animal health research reviews / Conference of Research Workers in Animal Diseases 0.934 0.229 Animal Nutrition and Feed Technology 0.043 0.038 Animal Production Science 0.058 Animal Reproduction Science 0.752 0.103 Animal Science Journal 0.391 0.062 Animal Science Papers and Reports 0.120 0.046 Animal Technology and Welfare 0.034 Animal Welfare 0.539 0.061 Animation 0.328 0.036 Ankara Universitesi Eczacilik Fakultesi Dergisi 0.023 0.034 Ankara Universitesi Veteriner Fakultesi Dergisi 0.173 0.035 Ann Fam Med 1.058 0.278 Annalen der Physik (Leipzig) 0.484 0.101 Annales - Histoire, Sciences Sociales 0.000 0.034 Annales Academiae Medicae Gedanensis 0.034 Annales Academiae Medicae Stetinensis 0.047 0.039 Annales Academiae Scientiarum Fennicae Mathematica 0.451 0.044 Annales Botanici Fennici 0.170 0.044 Annales de Biologie Clinique 0.090 0.048 Annales de Bretagne et des Pays de l'Ouest 0.034 Annales de cardiologie et d'angiologie 0.111 0.059 Annales de Chimie: Science des Materiaux 0.189 0.047 Annales de chirurgie plastique et esthtique 0.307 0.057 Annales de dmographie historique 0.000 0.034 Annales de Dermatologie et de Venereologie 0.114 0.049 Annales de Geographie 0.197 0.035 Annales de la Fondation Louis de Broglie 0.081 0.038 Annales de la Societe Entomologique de France 0.254 0.078 Annales de Limnologie 0.429 0.061 Annales de l'Institut Fourier 0.655 0.048 Annales de l'institut Henri Poincare (B) Probability and Statistics 0.621 0.049 Annales de l'Institut Henri Poincare. Annales: Analyse Non Lineaire/Nonlinear Analysis 1.059 0.057 Annales de Medecine Veterinaire 0.081 0.038 Annales de Paleontologie 0.221 0.041 Annales de Pathologie 0.040 0.044 Annales de Physique 0.101 0.057 Annales d'Endocrinologie 0.106 0.064 Annales des Telecommunications/Annals of Telecommunications 0.237 0.039 Annales d'oto-laryngologie et de chirurgie cervico faciale : bulletin de la Socit d'oto-laryngologie des hpitaux de Paris 0.186 0.045 Annales d'Urologie 0.048 0.034 Annales Francaises d'Anesthesie et de Reanimation 0.254 0.053 Annales Geophysicae 0.540 0.108 Annales Henri Poincare 0.867 0.069 Annales historiques de la Rvolution franaise 0.034 Annales Medico-Psychologiques 0.151 0.038 Annales Nestle 0.019 0.038 Annales Pharmaceutiques Francaises 0.104 0.049 Annales Scientifiques de l'Ecole Normale Superieure 0.776 0.047 Annales Societatis Geologorum Poloniae 0.035 Annales Universitatis Mariae Curie-Sklodowska. Sectio B 0.000 0.034 Annales Zoologici 0.304 0.042 Annales Zoologici Fennici 0.432 0.078 Annali Degli Ospedali San Camillo e Forlanini 0.023 0.034 Annali della Scuola Normale - Classe di Scienze 0.682 0.046 Annali dell'Istituto Superiore di Sanita 0.231 0.088 Annali dell'Universita di Ferrara 0.198 0.044 Annali di igiene : medicina preventiva e di comunit 0.067 0.039 Annali di Matematica Pura ed Applicata 0.938 0.054 Annali italiani di chirurgia 0.103 0.045 Annali Italiani di Dermatologia Allergologica Clinica e Sperimentale 0.034 Annals of African Medicine 0.088 0.040 Annals of Agri Bio Research 0.000 0.034 Annals of Agriculture and Environmental Medicine 0.699 0.094 Annals of Allergy, Asthma and Immunology 0.580 0.192 Annals of Anatomy 0.265 0.090 Annals of Animal Science 0.054 0.034 Annals of Applied Biology 0.511 0.096 Annals of Applied Probability 1.204 0.068 Annals of Arid Zone 0.020 0.035 Annals of Behavioral Medicine 0.946 0.230 Annals of Biology 0.000 0.034 Annals of Biomedical Engineering 0.705 0.230 Annals of Botany 0.929 0.336 Annals of cardiac anaesthesia 0.251 0.126 Annals of Carnegie Museum 0.130 0.040 Annals of Clinical and Laboratory Science 0.298 0.131 Annals of Clinical Biochemistry 0.480 0.160 Annals of Clinical Microbiology and Antimicrobials 0.878 0.274 Annals of Clinical Psychiatry 0.537 0.183 Annals of Combinatorics 0.468 0.061 Annals of Diagnostic Pathology 0.368 0.129 Annals of Dyslexia 0.938 0.059 Annals of Emergency Medicine 1.027 0.252 Annals of Epidemiology 0.911 0.296 Annals of Finance 0.488 0.039 Annals of Forest Science 0.631 0.072 Annals of Fundeni Hospital 0.034 Annals of Gastroenterology 0.025 0.034 Annals of General Psychiatry 0.470 0.112 Annals of Geophysics 0.321 0.079 Annals of Glaciology 0.354 0.067 Annals of Global Analysis and Geometry 0.581 0.049 Annals of health law / Loyola University Chicago, School of Law, Institute for Health Law 0.065 0.043 Annals of Hematology 0.540 0.302 Annals of hepatology : official journal of the Mexican Association of Hepatology 0.264 0.142 Annals of Human Biology 0.404 0.113 Annals of Human Genetics 0.639 0.439 Annals of Indian Academy of Neurology 0.068 0.036 Annals of internal medicine 2.647 1.162 Annals of Long-Term Care 0.117 0.049 Annals of Mathematics 2.980 0.107 Annals of Mathematics and Artificial Intelligence 0.288 0.054 Annals of Mathematics Studies 1.044 0.050 Annals of Medicine 0.951 0.557 Annals of Microbiology 0.105 0.043 Annals of Neurology 1.740 1.321 Annals of Noninvasive Electrocardiology 0.456 0.127 Annals of Nuclear Energy 0.646 0.051 Annals of Nuclear Medicine 0.287 0.111 Annals of Nutrition and Metabolism 0.436 0.139 Annals of Occupational Hygiene 0.740 0.126 Annals of Oncology 1.011 0.552 Annals of Operations Research 0.754 0.051 Annals of Ophthalmology 0.088 0.049 Annals of Otology, Rhinology and Laryngology 0.681 0.118 Annals of Pharmacotherapy 0.544 0.205 Annals of Physical and Rehabilitation Medicine 0.084 Annals of Physics 1.012 0.150 Annals of Plastic Surgery 0.734 0.109 Annals of Probability 1.073 0.061 Annals of Pure and Applied Logic 0.632 0.046 Annals of Regional Science 0.442 0.052 Annals of Saudi Medicine 0.176 0.059 Annals of Science 0.036 0.034 Annals of Statistics 1.493 0.167 Annals of surgery 2.228 0.792 Annals of Surgical Innovation and Research 0.132 0.073 Annals of surgical oncology : the official journal of the Society of Surgical Oncology 1.098 0.493 Annals of the Academy of Medicine Singapore 0.367 0.095 Annals of the American Academy of Political and Social Science 0.418 0.040 Annals of the Association of American Geographers 0.995 0.058 Annals of the Entomological Society of America 0.471 0.070 Annals of the ICRP 0.460 0.199 Annals of the Institute of Statistical Mathematics 0.619 0.049 Annals of the Missouri Botanical Garden 0.511 0.139 Annals of the New York Academy of Sciences 0.501 0.312 Annals of the rheumatic diseases 1.545 0.635 Annals of the Royal College of Surgeons of England 0.261 0.086 Annals of thoracic and cardiovascular surgery : official journal of the Association of Thoracic and Cardiovascular Surgeons of Asia 0.364 0.104 Annals of Thoracic Medicine 0.101 0.038 Annals of Thoracic Surgery 0.827 0.280 Annals of Tourism Research 1.152 0.049 Annals of transplantation : quarterly of the Polish Transplantation Society 0.224 0.073 Annals of Tropical Medicine and Parasitology 0.502 0.171 Annals of Tropical Paediatrics 0.335 0.124 Annals of Vascular Surgery 0.611 0.179 Annee Balzacienne 0.034 Annee Psychologique 0.188 0.042 Annee Sociologique 0.000 0.034 Annual Conference of the North American Fuzzy Information Processing Society - NAFIPS 0.033 0.034 Annual Fuels and Lubes Asia Conference and Exhibition 0.034 Annual IEEE Semiconductor Thermal Measurement and Management Symposium 0.389 0.042 Annual International Conference of the IEEE Engineering in Medicine and Biology - Proceedings 0.072 0.046 Annual of the British School at Athens 0.151 0.036 Annual proceedings / Association for the Advancement of Automotive Medicine. Association for the Advancement of Automotive Medicine 0.110 0.042 Annual Report of Shionogi Research Laboratory 0.035 Annual Reports in Medicinal Chemistry 0.277 0.135 Annual Reports on NMR Spectroscopy 0.756 0.166 Annual Reports on the Progress of Chemistry - Section A 0.073 0.055 Annual Reports on the Progress of Chemistry - Section B 0.141 0.077 Annual Reports on the Progress of Chemistry - Section C 1.164 0.266 Annual Review of Anthropology 0.687 0.110 Annual Review of Applied Linguistics 0.680 0.037 Annual Review of Astronomy and Astrophysics 3.678 2.369 Annual Review of Biochemistry 6.076 9.933 Annual Review of Biomedical Engineering 2.609 1.297 Annual Review of Biomedical Sciences 0.091 0.053 Annual Review of Biophysics 3.762 6.042 Annual Review of Cell and Developmental Biology 3.872 8.005 Annual Review of Clinical Psychology 2.027 0.464 Annual Review of Earth and Planetary Sciences 1.938 0.816 Annual Review of Ecology, Evolution, and Systematics 3.167 1.324 Annual review of entomology. Vol. 41 4.408 0.688 Annual Review of Environment and Resources 1.171 0.404 Annual Review of Fluid Mechanics 5.205 0.476 Annual Review of Genetics 2.667 5.454 Annual Review of Genomics and Human Genetics 1.842 3.624 Annual Review of Immunology 6.685 12.282 Annual Review of Information Science and Technology 0.701 0.099 Annual Review of Materials Research 2.481 0.653 Annual Review of Medicine 2.014 2.049 Annual Review of Microbiology 3.106 3.228 Annual Review of Neuroscience 5.924 7.590 Annual Review of Nuclear and Particle Science 3.273 0.490 Annual review of nursing research 0.327 0.052 Annual Review of Nutrition 1.904 1.478 Annual Review of Pathology: Mechanisms of Disease 1.893 3.844 Annual Review of Pharmacology and Toxicology 4.731 3.542 Annual Review of Physical Chemistry 3.772 1.670 Annual Review of Physiology 3.972 3.801 Annual Review of Phytopathology 2.662 2.326 Annual Review of Plant Biology 5.787 4.852 Annual Review of Political Science 1.479 0.053 Annual Review of Psychology 7.300 1.806 Annual Review of Public Health 2.381 0.637 Annual Review of Sociology 2.049 0.094 Annual Reviews in Control 0.727 0.055 Annual Technical Conference - ANTEC, Conference Proceedings 0.009 0.034 ANQ 0.034 Antarctic Record 0.000 0.034 Antarctic Science 0.393 0.085 Anthropologica 0.107 0.035 Anthropological Forum 0.315 0.039 Anthropological Linguistics 0.084 0.035 Anthropological Quarterly 0.493 0.037 Anthropological Science 0.266 0.056 Anthropological Theory 0.616 0.038 Anthropologie 0.080 0.036 Anthropologischer Anzeiger; Bericht ber die biologisch- anthropologische Literatur 0.110 0.045 Anthropologist 0.039 #N/A Anthropology and Archeology of Eurasia 0.034 Anthropology and Education Quarterly 0.286 0.040 Anthropology and Medicine 0.184 0.043 Anthropology today 0.209 0.036 Anthropos 0.029 0.034 Anthrozoos 0.592 0.056 Antibiotiki i khimioterapiia = Antibiotics and chemoterapy [sic] / Ministerstvo meditsinskoi i mikrobiologicheskoi promyshlennosti SSSR 0.000 0.034 Antibiotiques 0.070 0.037 Anti-Cancer Drugs 0.544 0.301 Anticancer Research 0.325 0.164 Anti-Corrosion Methods and Materials 0.230 0.048 Anti-Infective Agents in Medicinal Chemistry 0.247 0.096 Anti-Inflammatory and Anti-Allergy Agents in Medicinal Chemistry 0.124 0.053 Antik Tanulmanyok 0.034 Antike und Abendland 0.034 Antimicrobial Agents and Chemotherapy 1.103 0.591 Antioxidants and Redox Signaling 1.173 0.917 Antipode 0.726 0.043 Antiquite Tardive 0.034 Antiquity 0.291 0.122 Antitrust Law Journal 0.426 0.036 Antiviral Chemistry and Chemotherapy 0.329 0.150 Antiviral Research 0.725 0.377 Antiviral Therapy 0.658 0.472 Antonie van Leeuwenhoek, International Journal of General and Molecular Microbiology 0.577 0.187 Anuario de Estudios Medievales 0.034 Anuario de Psicologia 0.133 0.036 Anuario do Instituto de Geociencias 0.034 Anuario Filosofico 0.000 0.034 Anxiety, Stress and Coping 0.911 0.073 ANZ Journal of Surgery 0.554 0.125 ANZ Nuclear Medicine 0.014 0.034 ANZIAM Journal 0.675 0.052 AORN journal 0.211 0.052 Apeiron 0.315 0.037 Aphasiology 0.410 0.067 Apidologie 0.643 0.096 APMIS 0.343 0.197 APMIS, Supplement 0.139 0.076 Apoptosis 0.867 0.592 Appalachian Journal 0.034 Appetite 0.954 0.166 Appita Annual Conference 0.020 0.035 Appita Journal 0.174 0.050 Applicable Algebra in Engineering, Communications and Computing 0.572 0.048 Applications of Mathematics 0.385 0.043 Applied Acoustics 1.147 0.054 Applied and Computational Harmonic Analysis 1.538 0.104 Applied and Environmental Microbiology 1.197 0.402 Applied and Preventive Psychology 0.176 0.070 Applied Animal Behaviour Science 0.871 0.078 Applied Artificial Intelligence 0.341 0.049 Applied Biochemistry and Biotechnology - Part A Enzyme Engineering and Biotechnology 0.463 0.101 Applied Biochemistry and Biotechnology - Part B Molecular Biotechnology 0.532 0.309 Applied Biochemistry and Microbiology 0.153 0.059 Applied Bionics and Biomechanics 0.093 0.045 Applied Cardiopulmonary Pathophysiology 0.063 0.037 Applied Catalysis A: General 1.437 0.231 Applied Catalysis B: Environmental 2.023 0.293 Applied Categorical Structures 0.472 0.045 Applied Clay Science 1.363 0.126 Applied Cognitive Psychology 0.722 0.079 Applied Composite Materials 0.695 0.061 Applied Computational Electromagnetics Society Journal 0.156 0.040 Applied Developmental Science 0.605 0.060 Applied Ecology and Environmental Research 0.241 0.043 Applied Economics 0.724 0.042 Applied Economics Letters 0.356 0.039 Applied Energy 1.167 0.080 Applied Engineering in Agriculture 0.479 0.067 Applied Entomology and Zoology 0.336 0.050 Applied Environmental Education and Communication 0.198 0.034 Applied Ergonomics 0.928 0.059 Applied Financial Economics 0.676 0.042 Applied Financial Economics Letters 0.180 0.037 Applied General Topology 0.474 0.039 Applied Geochemistry 1.040 0.099 Applied Geography 0.863 0.058 Applied Geophysics 0.456 0.040 Applied GIS 0.081 0.034 Applied health economics and health policy 0.528 0.120 Applied Herpetology 0.314 0.045 Applied Immunohistochemistry and Molecular Morphology 0.414 0.215 Applied Intelligence 0.629 0.054 Applied Linguistics 1.064 0.042 Applied Magnetic Resonance 0.282 0.119 Applied Mathematical Finance 0.573 0.051 Applied Mathematical Modelling 0.925 0.065 Applied Mathematics 0.023 0.035 Applied Mathematics and Computation 0.703 0.066 Applied Mathematics and Mechanics (English Edition) 0.359 0.045 Applied Mathematics and Optimization 0.704 0.049 Applied Mathematics E - Notes 0.114 0.038 Applied Mathematics Letters 0.836 0.059 Applied Measurement in Education 0.410 0.040 Applied Mechanics Reviews 2.680 0.194 Applied Microbiology and Biotechnology 0.717 0.187 Applied Neuropsychology 0.188 0.055 Applied Numerical Mathematics 1.019 0.070 Applied Nursing Research 0.452 0.062 Applied Ocean Research 0.642 0.051 Applied Optics 0.778 0.146 Applied Organometallic Chemistry 0.518 0.110 Applied Physics A: Materials Science and Processing 0.650 0.145 Applied Physics B: Lasers and Optics 0.860 0.203 Applied Physics Express 0.744 0.055 Applied Physics Letters 0.945 0.245 Applied Physiology, Nutrition and Metabolism 0.398 0.167 Applied Psycholinguistics 0.783 0.070 Applied Psychological Measurement 0.526 0.049 Applied Psychology 0.801 0.058 Applied Psychophysiology Biofeedback 0.416 0.080 Applied Radiation and Isotopes 0.544 0.089 Applied Radiology 0.050 0.040 Applied Research in Quality of Life 0.135 0.044 Applied Rheology 0.281 0.062 Applied Sciences 0.066 0.034 Applied Soft Computing 1.175 0.073 Applied Soil Ecology 1.089 0.109 Applied Solar Energy (English translation of Geliotekhnika) 0.040 0.034 Applied Spectroscopy 0.550 0.128 Applied Spectroscopy Reviews 0.981 0.195 Applied Stochastic Models in Business and Industry 0.460 0.051 Applied Surface Science 0.752 0.121 Applied Thermal Engineering 1.264 0.095 Applied Vegetation Science 0.604 0.066 Apunts Medicine de l'Esport 0.034 Aquacultural Engineering 1.033 0.086 Aquaculture 0.986 0.095 Aquaculture International 0.388 0.048 Aquaculture Nutrition 0.876 0.070 Aquaculture Research 0.602 0.063 Aquaculture, Economics and Management 0.052 0.036 Aquatic Botany 0.747 0.076 Aquatic Conservation: Marine and Freshwater Ecosystems 0.556 0.074 Aquatic Ecology 0.507 0.072 Aquatic Ecosystem Health and Management 0.127 0.037 Aquatic Geochemistry 0.511 0.070 Aquatic Insects 0.199 0.038 Aquatic Living Resources 0.379 0.057 Aquatic Mammals 0.138 0.045 Aquatic Microbial Ecology 0.726 0.189 Aquatic Sciences 0.622 0.116 Aquatic Toxicology 1.293 0.210 Arab Gulf Journal of Scientific Research 0.051 0.034 Arab Law Quarterly 0.034 Arab World Geographer 0.102 0.035 Arabian Archaeology and Epigraphy 0.127 0.037 Arabian Journal for Science and Engineering 0.077 0.036 Arabic Sciences and Philosophy 0.821 0.035 Aramaic Studies 0.034 Ararajuba 0.041 0.035 Arbeitsmedizin Sozialmedizin Umweltmedizin 0.067 0.037 Arbor 0.040 0.034 Arboricultural Journal 0.089 0.036 Arcadia 0.034 Archaea 0.752 0.710 Archaeofauna 0.130 0.040 Archaeological Dialogues 0.284 0.035 Archaeological Prospection 0.257 0.051 Archaeologies 0.137 0.034 Archaeology in Oceania 0.190 0.198 Archaeology, Ethnology and Anthropology of Eurasia 0.051 0.036 Archaeometry 0.566 0.077 Archaologische Mitteilungen aus Iran und Turan 0.000 0.035 Archaologisches Korrespondenzblatt 0.056 0.034 Archaologisches Nachrichtenblatt 0.034 Archeologia Classica 0.034 Archeologia Medievale 0.234 0.035 Archeologicke Rozhledy 0.000 0.034 Architectural Design 0.032 0.034 Architectural History 0.000 0.034 Architectural Review 0.034 Architectural Science Review 0.114 0.042 Architektura a Urbanizmus 0.034 Archiv der Mathematik 0.464 0.041 Archiv der Pharmazie 0.465 0.109 Archiv fur das Studium der Neueren Sprachen und Literaturen 0.034 Archiv fur Geflugelkunde 0.425 0.043 Archiv fur Geschichte der Philosophie 2.667 0.036 Archiv fur Kriminologie 0.141 0.043 Archiv fur Lebensmittelhygiene 0.130 0.039 Archiv fur Liturgiewissenschaft 0.034 Archiv fur Molluskenkunde 0.082 0.034 Archiv fur Musikwissenschaft 0.034 Archiv fur Papyrusforschung und Verwandte Gebiete 0.034 Archiv fr Tierernhrung 0.334 0.060 Archiv fur Tierzucht 0.424 0.048 Archival Science 0.017 0.035 Archive for History of Exact Sciences 0.607 0.039 Archive for Mathematical Logic 0.400 0.044 Archive for Rational Mechanics and Analysis 1.839 0.085 Archive for the Psychology of Religion 0.206 0.037 Archive of Applied Mechanics 0.670 0.059 Archive of Oncology 0.000 0.034 Archives de l'Institut Pasteur de Tunis 0.029 0.039 Archives de Pediatrie 0.180 0.053 Archives de Philosophie 0.034 Archives des Maladies du Coeur et des Vaisseaux - Pratique 0.034 Archives des Maladies Professionnelles et de l'Environnement 0.103 0.039 Archives des Sciences 0.000 0.034 Archives Europeennes de Sociologie 0.140 0.036 Archives internationales d'histoire des sciences 0.034 Archives Italiennes de Biologie 0.156 0.067 Archives of Acoustics 0.132 0.046 Archives of Agronomy and Soil Science 0.109 0.038 Archives of American Art Journal 0.034 Archives of Biochemistry and Biophysics 0.639 0.368 Archives of Biological Sciences 0.136 0.035 Archives of Cardiovascular Diseases 0.106 0.069 Archives of Civil and Mechanical Engineering 0.006 0.035 Archives of Clinical Neuropsychology 0.556 0.124 Archives of Computational Methods in Engineering 0.983 0.086 Archives of Dermatological Research 0.501 0.246 Archives of Dermatology 0.738 0.230 Archives of Disease in Childhood 0.882 0.229 Archives of disease in childhood. Fetal and neonatal edition 0.000 0.034 Archives of Disease in Childhood: Education and Practice Edition 0.272 0.068 Archives of Electrical Engineering 0.034 Archives of Environmental and Occupational Health 0.324 0.118 Archives of Environmental Contamination and Toxicology 0.727 0.108 Archives of Environmental Protection 0.050 0.039 Archives of facial plastic surgery : official publication for the American Academy of Facial Plastic and Reconstructive Surgery, Inc. and the International Federation of Facial Plastic Surgery Societies 0.665 0.094 Archives of Gastroenterohepatology 0.000 0.034 Archives of General Psychiatry 2.924 1.142 Archives of Gerontology and Geriatrics 0.587 0.110 Archives of Gynecology and Obstetrics 0.395 0.099 Archives of Hellenic Medicine 0.009 0.034 Archives of Histology and Cytology 0.336 0.206 Archives of Hydroengineering and Environmental Mechanics 0.198 0.036 Archives of Insect Biochemistry and Physiology 0.479 0.154 Archives of Internal Medicine 2.497 1.115 Archives of Iranian Medicine 0.172 0.059 Archives of Mechanics 0.343 0.044 Archives of Medical Research 0.480 0.160 Archives of Medical Science 0.111 0.057 Archives of Metallurgy and Materials 0.051 0.037 Archives of Microbiology 0.656 0.261 Archives of natural history 0.035 Archives of Nature Conservation and Landscape Research 0.132 0.037 Archives of neurology 1.113 0.683 Archives of ophthalmology 0.751 0.259 Archives of Oral Biology 0.631 0.135 Archives of Orthopaedic and Trauma Surgery 0.555 0.082 Archives of Osteoporosis 0.133 0.059 Archives of otolaryngology--head & neck surgery 0.701 0.182 Archives of pathology & laboratory medicine 0.760 0.256 Archives of Pediatrics and Adolescent Medicine 1.371 0.340 Archives of Pharmacal Research 0.238 0.098 Archives of physical medicine and rehabilitation 0.943 0.162 Archives of Physiology and Biochemistry 0.494 0.313 Archives of Phytopathology and Plant Protection 0.104 0.038 Archives of Psychiatric Nursing 0.469 0.063 Archives of Psychiatry and Psychotherapy 0.000 0.034 Archives of Public Health 0.308 0.045 Archives of Sexual Behavior 0.978 0.133 Archives of Suicide Research 0.421 0.116 Archives of surgery (Chicago, Ill. : 1960) 1.165 0.403 Archives of the Balkan Medical Union 0.042 0.034 Archives of Thermodynamics 0.060 0.035 Archives of Toxicology 0.692 0.212 Archives of Veterinary Science 0.017 0.035 Archives of Virology 0.581 0.209 Archives of Virology, Supplement 0.000 0.039 Archives of Women's Mental Health 0.675 0.131 Archivio italiano di urologia, andrologia : organo ufficiale [di] Societ italiana di ecografia urologica e nefrologica / Associazione ricerche in urologia 0.123 0.057 Archivio Storico Italiano 0.034 Archivo espaol de arte 0.034 Archivos Argentinos de Pediatria 0.043 0.036 Archivos de Bronconeumologia 0.426 0.110 Archivos de cardiologia de Mexico 0.045 0.044 Archivos de la Sociedad Espanola de Oftalmologia 0.118 0.052 Archivos de Medicina 0.034 Archivos de Medicina del Deporte 0.059 0.034 Archivos de Medicina Veterinaria 0.064 0.036 Archivos de Neurociencias 0.009 0.034 Archivos de Psiquiatria 0.000 0.034 Archivos de Zootecnia 0.025 0.034 Archivos Espanoles de Urologia 0.059 0.043 Archivos latinoamericanos de nutricin 0.118 0.039 Archivos Venezolanos de Farmacologia y Terapeutica 0.018 0.035 Archivum Immunologiae et Therapiae Experimentalis 0.354 0.280 Archivum Mathematicum 0.137 0.036 Archivum medycyny sadowej i kryminologii 0.027 0.037 Arctic 0.328 0.059 Arctic Anthropology 0.105 0.039 Arctic, Antarctic, and Alpine Research 0.422 0.099 Ardea 0.636 0.094 Ardeola 0.202 0.047 Area 0.587 0.050 Area Pediatrica 0.034 Arethusa 0.034 Argomenti di Gastroenterologia Clinica 0.005 0.040 Argumentation 0.165 0.038 Arhiv za Higijenu Rada i Toksikologiju 0.117 0.050 ARI Bulletin of the Istanbul Technical University 0.031 0.036 Arid Land Research and Management 0.510 0.047 Arid Lands Newsletter 0.034 Ariel 0.034 Arion - Journal of Humanities and the Classics 0.034 Arkansas Historical Quarterly 0.034 Arkhiv Patologii 0.007 0.034 Arkiv for Matematik 0.471 0.039 Arkivoc 0.161 0.063 Armed Forces and Society 0.486 0.038 ARQ 0.119 0.034 Arquivo Brasileiro de Medicina Veterinaria e Zootecnia 0.184 0.040 Arquivos Brasileiros de Cardiologia 0.155 0.059 Arquivos brasileiros de endocrinologia e metabologia 0.208 0.071 Arquivos Brasileiros de Oftalmologia 0.125 0.047 Arquivos de gastroenterologia 0.139 0.056 Arquivos de Medicina 0.025 0.035 Arquivos de Neuro-Psiquiatria 0.210 0.062 Ars Combinatoria 0.390 0.043 Ars Pharmaceutica 0.051 0.038 Art Bulletin 0.616 0.035 Art Criticism 0.547 0.034 Art History 0.160 0.034 Art in America 0.033 Art Institute of Chicago Museum Studies 0.034 Art Journal 0.141 0.034 Art Therapy 0.287 0.040 Arte Cristiana 0.034 Arteriosclerosis, Thrombosis, and Vascular Biology 1.266 0.953 Artery Research 0.270 0.184 Arthritis and Rheumatism 1.531 0.879 Arthritis Care and Research 1.114 0.346 Arthritis research & therapy 0.904 0.483 Arthropod Structure and Development 0.525 0.183 Arthropod-Plant Interactions 0.229 0.068 Arthroscopy 1.055 0.161 Arthroskopie 0.068 0.036 Arthurian Literature 0.508 0.034 Arthuriana 0.034 Artibus Asiae 0.034 Artibus et Historiae 0.000 0.034 Artificial Cells, Blood Substitutes, and Immobilization Biotechnology 0.278 0.087 Artificial Intelligence 1.505 0.082 Artificial Intelligence and Law 0.520 0.054 Artificial Intelligence for Engineering Design, Analysis and Manufacturing: AIEDAM 0.260 0.040 Artificial Intelligence in Medicine 0.790 0.121 Artificial Intelligence Review 0.047 0.035 Artificial Life 0.622 0.089 Artificial Life and Robotics 0.086 0.036 Artificial organs 0.334 0.159 Arts and Humanities in Higher Education 0.171 #N/A Arts in Psychotherapy 0.471 0.043 Arts of Asia 0.034 Arzneimittel-Forschung/Drug Research 0.195 0.062 ASAIO Journal 0.431 0.142 ASEAN Food Journal 0.168 0.036 ASGE Clinical Update 0.274 0.051 ASHA Leader 0.028 0.035 ASHRAE Journal 0.116 0.037 ASHRAE Standard 0.034 ASHRAE Transactions 0.230 0.039 Asia Europe Journal 0.169 0.037 Asia Pacific Business Review 0.039 0.035 Asia Pacific Disability Rehabilitation Journal 0.309 0.044 Asia Pacific Education Review 0.040 0.035 Asia Pacific Issues 0.000 0.034 Asia Pacific Journal of Clinical Nutrition 0.293 0.088 Asia Pacific Journal of Environmental Law 0.000 0.034 Asia Pacific Journal of Human Resources 0.230 0.043 Asia Pacific Journal of Management 0.746 0.051 Asia Pacific Journal of Social Work and Development 0.000 0.034 Asia Pacific Journal of Tourism Research 0.204 0.037 Asia Pacific Law Review 0.034 Asia Pacific Management Review 0.034 Asia Pacific Viewpoint 0.241 0.038 Asian Affairs 0.120 0.035 Asian Agri-History 0.193 0.035 Asian and African Studies 0.034 Asian and Pacific Migration Journal 0.219 0.035 Asian Biotechnology and Development Review 0.106 0.035 Asian Business and Management 0.034 Asian Cardiovascular and Thoracic Annals 0.100 0.056 Asian Case Research Journal 0.034 Asian Development Review 0.217 0.036 Asian Dyer 0.034 Asian Economic Journal 0.510 0.040 Asian Economic Policy Review 0.474 0.037 Asian Folklore Studies 0.237 0.034 Asian Journal of Andrology 0.423 0.142 Asian Journal of Animal and Veterinary Advances 0.031 0.034 Asian Journal of Animal Sciences 0.034 Asian Journal of Biochemistry 0.054 0.034 Asian Journal of Cell Biology 0.034 Asian Journal of Chemistry 0.084 0.039 Asian Journal of Civil Engineering 0.339 0.038 Asian Journal of Control 0.331 0.045 Asian Journal of Criminology 0.029 0.034 Asian Journal of Microbiology, Biotechnology and Environmental Sciences 0.012 0.034 Asian Journal of Nursing 0.015 0.035 Asian Journal of Ophthalmology 0.012 0.034 Asian Journal of Oral and Maxillofacial Surgery 0.000 0.034 Asian Journal of Plant Sciences 0.093 0.037 Asian Journal of Psychiatry 0.034 Asian Journal of Social Psychology 0.556 0.050 Asian Journal of Social Science 0.095 0.034 Asian Journal of Spectroscopy 0.025 0.034 Asian Journal of Surgery 0.274 0.083 Asian Journal of Women's Studies 0.000 0.034 Asian Medicine 0.034 Asian Music 0.202 0.037 Asian Nursing Research 0.034 Asian Pacific Journal of Allergy and Immunology 0.152 0.058 Asian Pacific journal of cancer prevention : APJCP 0.286 0.095 Asian Perspective 0.141 0.034 Asian Perspectives 0.274 0.171 Asian Philosophy 0.464 #N/A Asian Population Studies 0.287 0.037 Asian Survey 0.306 0.037 Asian-Australasian Journal of Animal Sciences 0.546 0.051 Asian-Pacific Economic Literature 0.523 0.037 Asia-Pacific Financial Markets 0.124 0.035 Asia-Pacific Journal of Atmospheric Sciences 0.450 0.055 Asia-Pacific Journal of Chemical Engineering 0.125 0.045 Asia-Pacific Journal of Clinical Oncology 0.034 0.040 Asia-Pacific Journal of Molecular Biology and Biotechnology 0.206 0.037 Asia-Pacific Journal of Operational Research 0.489 0.046 Asia-Pacific Journal of Public Health 0.181 0.061 Asia-Pacific Journal of Teacher Education 0.553 0.037 Asia-Pacific population journal / United Nations 0.111 0.038 Aslib Proceedings 0.210 0.039 Asphalt Paving Technology: Association of Asphalt Paving Technologists-Proceedings of the Technical Sessions 0.725 0.043 Assay Drug Dev Technol 0.391 0.294 Assembly Automation 0.399 0.047 Assessing Writing 0.813 0.038 Assessment 0.881 0.114 Assistenza infermieristica e ricerca : AIR 0.022 0.034 Assistive Technology 0.180 0.049 AStA Advances in Statistical Analysis 0.419 0.047 Asterisque 0.227 0.035 Asthetische Zahnmedizin 0.034 ASTIN Bulletin 0.563 0.046 Astrobiology 0.519 0.362 Astronomical Journal 0.718 0.521 Astronomische Nachrichten 0.189 0.099 Astronomy and Astrophysics 0.769 0.381 Astronomy and Astrophysics Review 2.273 1.082 Astronomy and Geophysics 0.142 0.060 Astronomy Letters 0.135 0.069 Astronomy Reports 0.117 0.054 Astroparticle Physics 1.618 0.275 Astrophysical Journal 0.904 0.524 Astrophysical Journal, Supplement Series 1.761 1.060 Astrophysics 0.062 0.039 Astrophysics and Space Science 0.318 0.116 Astrophysics and Space Sciences Transactions 0.274 0.079 Astropolitics 0.155 0.035 Asymptotic Analysis 0.831 0.061 Atemwegs- und Lungenkrankheiten 0.008 0.034 Atencion Farmaceutica 0.035 0.035 Atencion primaria / Sociedad Espaola de Medicina de Familia y Comunitaria 0.237 0.046 Atenea 0.034 Athenaeum 0.034 Atherosclerosis 0.881 0.455 Atherosclerosis Supplements 0.804 0.290 Athletic Therapy Today 0.043 0.034 ATLA Alternatives to Laboratory Animals 0.427 0.180 Atlantic Economic Journal 0.175 0.035 Atlantic Geology 0.081 0.035 Atlas of the oral and maxillofacial surgery clinics of North America 0.199 0.045 Atmosfera 0.168 0.039 Atmosphere - Ocean 0.590 0.108 Atmospheric Chemistry and Physics 0.657 0.287 Atmospheric Chemistry and Physics Discussions 0.243 0.122 Atmospheric Environment 1.150 0.192 Atmospheric Research 0.891 0.088 Atmospheric Science Letters 0.394 0.093 Atoll Research Bulletin 0.271 0.058 Atomic Data and Nuclear Data Tables 1.129 0.120 Atomic Energy 0.011 0.035 Atomic Spectroscopy 0.173 0.094 Atomos 0.034 Attachment and Human Development 0.526 0.097 Attention, perception & psychophysics 0.136 ATTI Della Accademia Nazionale Dei Lincei Rendiconti Lincei Scienze Fisiche E Naturali 0.043 0.036 Atti della Accademia Nazionale dei Lincei, Classe di Scienze Fisiche, Matematiche e Naturali, Rendiconti Lincei Matematica E Applicazioni 0.530 0.045 ATW - Internationale Zeitschrift fur Kernenergie 0.002 0.034 Audiological Medicine 0.089 0.046 Audiology and Neuro-Otology 0.674 0.178 Auditing 0.829 0.044 Aufbereitungs-Technik 0.086 0.039 Auk 0.733 0.097 AUMLA-Journal of the Australasian Universities Language and Literature Association 0.034 Auris Nasus Larynx 0.421 0.072 Austral Ecology 0.644 0.092 Australasian Biotechnology 0.000 0.034 Australasian Emergency Nursing Journal 0.271 0.042 Australasian Journal of Combinatorics 0.000 Australasian Journal of Dermatology 0.284 0.082 Australasian Journal of Disaster and Trauma Studies 0.085 0.035 Australasian Journal of Educational Technology 0.563 0.041 Australasian Journal of Environmental Management 0.179 0.039 Australasian Journal of Philosophy 0.803 0.039 Australasian journal on ageing 0.180 0.047 Australasian Marketing Journal 0.000 Australasian Physical and Engineering Sciences in Medicine 0.229 0.064 Australasian Plant Pathology 0.385 0.070 Australasian Psychiatry 0.237 0.052 Australia and New Zealand Health Policy 0.399 0.070 Australian and New Zealand Journal of Audiology 0.136 0.037 Australian and New Zealand Journal of Criminology 0.296 0.041 Australian and New Zealand Journal of Family Therapy 0.102 0.037 Australian and New Zealand Journal of Obstetrics and Gynaecology 0.458 0.143 Australian and New Zealand Journal of Psychiatry 0.760 0.149 Australian and New Zealand journal of public health 0.629 0.127 Australian and New Zealand Journal of Statistics 0.530 0.057 Australian Archaeology 0.265 0.038 Australian critical care : official journal of the Confederation of Australian Critical Care Nurses 0.231 0.073 Australian dental journal 0.400 0.070 Australian Doctor 0.033 Australian Economic History Review 0.135 0.038 Australian Economic Papers 0.274 0.034 Australian Economic Review 0.286 0.047 Australian Educational Computing 0.351 0.034 Australian Educational Researcher 0.335 0.035 Australian endodontic journal : the journal of the Australian Society of Endodontology Inc 0.467 0.084 Australian Entomologist 0.034 Australian family physician 0.179 0.061 Australian Feminist Studies 0.069 0.034 Australian Field Ornithology 0.123 0.035 Australian Forestry 0.102 0.040 Australian Geographer 0.322 0.039 Australian health review : a publication of the Australian Hospital Association 0.333 0.055 Australian Historical Studies 0.230 0.034 Australian Journal of Agricultural and Resource Economics 0.618 0.065 Australian Journal of Anthropology 0.393 0.034 Australian Journal of Botany 0.545 0.104 Australian Journal of Chemistry 0.488 0.173 Australian Journal of Clinical and Experimental Hypnosis 0.086 0.037 Australian Journal of Clinical Hypnotherapy and Hypnosis 0.000 0.034 Australian Journal of Dairy Technology 0.181 0.054 Australian Journal of Earth Sciences 0.375 0.078 Australian Journal of Education 0.296 0.040 Australian Journal of Educational and Developmental Psychology 0.219 0.038 Australian Journal of Electrical and Electronics Engineering 0.080 0.034 Australian Journal of Emerging Technologies and Society 0.336 0.067 Australian Journal of Entomology 0.366 0.056 Australian Journal of Forensic Sciences 0.000 0.034 Australian journal of French studies 0.034 Australian Journal of Grape and Wine Research 0.633 0.089 Australian Journal of International Affairs 0.265 0.036 Australian Journal of Management 0.034 Australian Journal of Mathematical Analysis and Applications 0.111 0.037 Australian Journal of Mechanical Engineering 0.034 Australian Journal of Medical Herbalism 0.050 0.035 Australian Journal of Medical Science 0.195 0.041 Australian Journal of Pharmacy 0.000 0.033 Australian Journal of Physiotherapy 0.706 0.139 Australian Journal of Political Science 0.414 0.038 Australian Journal of Politics and History 0.091 0.035 Australian Journal of Primary Health 0.085 0.036 Australian Journal of Psychology 0.174 0.038 Australian Journal of Public Administration 0.640 0.040 Australian Journal of Social Issues 0.220 0.038 Australian Journal of Soil Research 0.565 0.072 Australian Journal of Structural Engineering 0.632 0.038 Australian Journal of Water Resources 0.034 Australian Journal of Zoology 0.275 0.065 Australian Life Scientist 0.034 Australian Mammalogy 0.254 0.040 Australian Meteorological Magazine 0.334 0.068 Australian nursing journal (July 1993) 0.056 0.036 Australian Occupational Therapy Journal 0.383 0.045 Australian orthodontic journal 0.180 0.046 Australian Prescriber 0.113 0.045 Australian Psychologist 0.169 0.043 Australian Social Work 0.162 0.035 Australian Systematic Botany 0.420 0.140 Australian Veterinary Journal 0.453 0.069 Australian Veterinary Practitioner 0.046 0.035 Australian Zoologist 0.067 0.037 Austrian Journal of Earth Sciences 0.172 0.044 Austrian Journal of Forest Science 0.238 0.038 Autex Research Journal 0.449 0.047 Autism 0.813 0.149 Autism research : official journal of the International Society for Autism Research 0.122 0.228 Autoimmunity 0.537 0.375 Autoimmunity Reviews 0.984 0.484 Automated Software Engineering 0.420 0.038 Automatic Control and Computer Sciences 0.038 0.035 Automatica 1.807 0.102 Automation and Remote Control 0.106 0.037 Automation in Construction 1.047 0.061 Automization and Sprays 0.748 0.059 Autonomic and Autacoid Pharmacology 0.231 0.106 Autonomic Neuroscience: Basic and Clinical 0.668 0.199 Autonomous Agents and Multi-Agent Systems 1.773 0.086 Autonomous Robots 0.723 0.057 Autophagy 0.870 1.959 AutoTechnology 0.034 AUTOTESTCON (Proceedings) 0.079 0.035 Avances en Odontoestomatologia 0.034 Avances en Psicologia Latinoamericana 0.025 0.034 Aviakosmicheskaia i ekologicheskaia meditsina = Aerospace and environmental medicine 0.048 0.034 Avian Biology Research 0.058 Avian Diseases 0.523 0.185 Avian Pathology 0.656 0.159 Aviation 0.000 0.034 Aviation Space and Environmental Medicine 0.344 0.083 Axiomathes 0.162 0.037 Azerbaijan Medical Journal 0.033 B.E. Journal of Economic Analysis and Policy 0.202 0.040 B.E. Journal of Macroeconomics 0.286 0.039 B.E. Journal of Theoretical Economics 0.186 0.037 Bach 0.034 Bacteriologia, virusologia, parazitologia, epidemiologia (Bucharest, Romania : 1990) 0.000 0.034 BAG - Journal of Basic and Applied Genetics 0.284 0.035 Bahrain Medical Bulletin 0.027 0.034 Bailliere's Best Practice and Research in Clinical Endocrinology and Metabolism 0.893 0.382 Bailliere's Best Practice and Research in Clinical Gastroenterology 0.764 0.298 Bailliere's Best Practice and Research in Clinical Obstetrics and Gynaecology 0.686 0.174 Bailliere's Best Practice and Research in Clinical Rheumatology 1.145 0.372 Balkan Journal of Geometry and its Applications 0.136 0.035 Balkan Journal of Medical Genetics 0.029 0.042 Ballet Review 0.034 Baltic Astronomy 0.135 0.081 Baltic Forestry 0.254 0.035 Baltic Journal of Coleopterology 0.172 0.035 Baltic Journal of Economics 0.035 Baltic Journal of Management 0.142 0.034 Baltic Journal of Road and Bridge Engineering 0.533 0.043 Baltica 0.034 Bandaoti Guangdian/Semiconductor Optoelectronics 0.081 0.036 Bangladesh Journal of Botany 0.105 0.035 Bangladesh Journal of Plant Taxonomy 0.203 0.038 Bangladesh Medical Research Council bulletin 0.030 0.038 Banking Law Journal 0.000 0.034 Baozha Yu Chongji/Expolosion and Shock Waves 0.470 0.042 Bariatric Nursing and Surgical Patient Care 0.459 0.039 Basic and Applied Ecology 0.860 0.145 Basic and Applied Social Psychology 0.524 0.066 Basic and Clinical Pharmacology and Toxicology 0.407 0.170 Basic Research in Cardiology 1.034 0.680 Basin Research 0.980 0.090 Beethoven Forum 0.034 Beginnings (American Holistic Nurses' Association) 0.034 Behavior Analyst 0.171 0.037 Behavior and Philosophy 0.092 0.035 Behavior Genetics 0.750 0.436 Behavior Modification 0.848 0.107 Behavior Research Methods 1.121 0.145 Behavior Therapy 1.277 0.154 Behavioral and Brain Functions 0.528 0.250 Behavioral and Brain Sciences 0.802 0.351 Behavioral and Social Sciences Librarian 0.044 0.035 Behavioral Disorders 0.376 0.042 Behavioral Ecology 1.202 0.249 Behavioral Ecology and Sociobiology 1.043 0.187 Behavioral Healthcare 0.018 0.041 Behavioral Interventions 0.342 0.049 Behavioral Medicine 0.562 0.087 Behavioral Neuroscience 0.801 0.326 Behavioral Sciences and the Law 0.462 0.059 Behavioral sleep medicine 0.524 0.153 Behaviour 0.531 0.124 Behaviour and Information Technology 0.566 0.047 Behaviour Change 0.224 0.048 Behaviour Research and Therapy 1.330 0.204 Behavioural and Cognitive Psychotherapy 0.278 0.052 Behavioural Brain Research 0.851 0.336 Behavioural Neurology 0.257 0.106 Behavioural Pharmacology 0.589 0.222 Behavioural Processes 0.610 0.134 Beijing da xue xue bao. Yi xue ban = Journal of Peking University. Health sciences 0.074 0.046 Beijing Daxue Xuebao Ziran Kexue Ban/Acta Scientiarum Naturalium Universitatis Pekinensis 0.076 0.037 Beijing Gongye Daxue Xuebao / Journal of Beijing University of Technology 0.173 0.037 Beijing Hangkong Hangtian Daxue Xuebao/Journal of Beijing University of Aeronautics and Astronautics 0.290 0.042 Beijing Huagong Daxue Xuebao (Ziran Kexueban)/Journal of Beijing University of Chemical Technology (Natural Science Edition) 0.089 0.037 Beijing Jiaotong Daxue Xuebao/Journal of Beijing Jiaotong University 0.087 0.036 Beijing Keji Daxue Xuebao/Journal of University of Science and Technology Beijing 0.217 0.040 Beijing Ligong Daxue Xuebao/Transaction of Beijing Institute of Technology 0.195 0.040 Beijing Linye Daxue Xuebao/Journal of Beijing Forestry University 0.065 0.035 Beijing Youdian Daxue xuebao 0.262 0.043 Beilstein Journal of Organic Chemistry 0.034 0.039 Beitrage zur Algebra und Geometrie 0.343 0.041 Beitrage zur Geschichte der Deutschen Sprache und Literatur 0.034 Beitrage zur Tabakforschung International/ Contributions to Tobacco Research 0.083 0.054 Belfagor 0.034 BELGEO 0.108 0.036 Belgian Journal of Botany 0.177 0.045 Belgian Journal of Zoology 0.198 0.042 Belgisch Tijdschrift voor Nieuwste Geschiedenis/ Revue Belge de Histoire Contemporaine 0.000 0.034 Bell Labs Technical Journal 0.109 0.038 Benchmarking 0.559 0.046 Benefits quarterly 0.044 0.035 B-ENT 0.101 0.047 Berichte uber Landwirtschaft 0.145 0.037 Berichte zur Wissenschaftsgeschichte 0.216 0.034 Berkeley Planning Journal 0.148 0.034 Berliner Journal fur Soziologie 0.210 0.035 Berliner und Munchener Tierarztliche Wochenschrift 0.427 0.083 Bernoulli 0.923 0.063 Best Practice and Research: Clinical Anaesthesiology 0.670 0.162 Best Practice and Research: Clinical Haematology 0.568 0.494 Best Practice Onkologie 0.034 BETA bulletin of experimental treatments for AIDS : a publication of the San Francisco AIDS foundation 0.063 0.047 Beton- und Stahlbetonbau 0.215 0.037 Betonwerk und Fertigteil-Technik/Concrete Precasting Plant and Technology 0.049 0.035 Betriebswirtschaftliche Forschung und Praxis 0.058 0.034 BFPP - Bulletin Francais de la Peche et de la Protection des Milieux Aquatiques 0.227 0.049 Biblica 0.066 0.034 Biblical Interpretation 0.113 0.034 Biblical Theology Bulletin 0.162 0.034 Bibliotheque de l'Ecole des Chartes 0.034 Bibliothque d'humanisme et renaissance; travaux et documents 0.034 Biblische Zeitschrift 0.111 0.034 Biennial University/Government/Industry Microelectronics Symposium - Proceedings 0.017 0.034 Bijdragen tot de Taal-, Land- en Volkenkunde 0.135 0.034 Bilingualism 0.549 0.083 Bing du xue bao = Chinese journal of virology / [bian ji, Bing du xue bao bian ji wei yuan hui] 0.060 0.052 Binggong Xuebao/Acta Armamentarii 0.174 0.039 Binocular vision & strabismus quarterly 0.148 0.047 Bio Tech International 0.034 Bioacoustics 0.256 0.046 Bioagro 0.034 Biocatalysis and Biotransformation 0.297 0.079 Biocell 0.297 0.063 Biochemia Medica 0.262 0.066 Biochemical and Biophysical Research Communications 0.564 0.439 Biochemical Engineering Journal 0.864 0.117 Biochemical Genetics 0.302 0.078 Biochemical Pharmacology 0.977 0.521 Biochemical Society Symposium 0.576 0.671 Biochemical Society Transactions 0.634 0.728 Biochemical Systematics and Ecology 0.363 0.069 Biochemist 0.012 0.042 Biochemistry 0.802 0.665 Biochemistry (Moscow) 0.279 0.160 Biochemistry (Moscow) Supplement Series A: Membrane and Cell Biology 0.051 0.049 Biochemistry (Moscow) Supplement Series B: Biomedical Chemistry 0.036 0.036 Biochemistry and Cell Biology 0.577 0.578 Biochemistry and Molecular Biology Education 0.238 0.074 Biochimica et Biophysica Acta - Bioenergetics 0.915 0.695 Biochimica et Biophysica Acta - Biomembranes 0.974 0.681 Biochimica et Biophysica Acta - Gene Regulatory Mechanisms 0.596 0.767 Biochimica et Biophysica Acta - General Subjects 0.744 #N/A Biochimica et Biophysica Acta - Molecular and Cell Biology of Lipids 0.939 0.798 Biochimica et Biophysica Acta - Molecular Basis of Disease 0.918 0.725 Biochimica et Biophysica Acta - Molecular Cell Research 0.944 1.059 Biochimica et Biophysica Acta - Proteins and Proteomics 0.677 0.394 Biochimica et Biophysica Acta - Reviews on Cancer 1.992 2.289 Biochimie 0.787 0.605 Bioconjugate Chemistry 0.780 0.455 BioControl 0.636 0.078 Biocontrol Science 0.254 0.053 Biocontrol Science and Technology 0.464 0.059 Biodegradation 0.680 0.116 Biodemography and social biology 0.034 Biodiversity and Conservation 0.659 0.105 BioDrugs 0.578 0.414 Bioelectrochemistry 0.681 0.191 Bioelectromagnetics 0.672 0.126 Bioengineering, Proceedings of the Northeast Conference 0.019 0.033 BioEssays : news and reviews in molecular, cellular and developmental biology 0.929 1.123 Bioethics 0.384 0.068 BioFactors 0.292 0.120 Biofizika 0.091 0.037 Biofouling 0.885 0.211 Biofuels, Bioproducts and Biorefining 0.970 0.322 Biofutur 0.024 0.036 Biogenic Amines 0.074 0.037 Biogeochemistry 1.036 0.148 Biogeosciences 0.757 0.229 Biogeosciences Discussions 0.192 0.102 Biogerontology 0.454 0.274 Biographical memoirs of fellows of the Royal Society. Royal Society (Great Britain) 0.034 Biography 0.035 Bioinformatics 1.309 1.194 Bioinorganic Chemistry and Applications 0.091 0.050 Bioinspiration and Biomimetics 0.527 0.194 Biologia 0.178 0.045 Biologia Plantarum 0.619 0.076 Biological Agriculture and Horticulture 0.136 0.039 Biological and Pharmaceutical Bulletin 0.565 0.186 Biological Bulletin 0.593 0.204 Biological Chemistry 0.519 0.501 Biological Conservation 1.227 0.166 Biological Control 0.765 0.076 Biological Cybernetics 0.673 0.152 Biological Invasions 1.157 0.156 Biological Journal of the Linnean Society 0.669 0.160 Biological Procedures Online 0.590 0.501 Biological Psychiatry 1.688 0.923 Biological Psychology 1.116 0.325 Biological Research 0.268 0.149 Biological research for nursing 0.217 0.081 Biological Reviews 2.854 0.568 Biological Rhythm Research 0.279 0.061 Biological Trace Element Research 0.277 0.081 Biologicals 0.385 0.137 Biologicheskie Membrany 0.093 0.041 Biologist 0.093 0.047 Biology and Environment 0.249 0.047 Biology and Fertility of Soils 0.770 0.086 Biology and Philosophy 0.305 0.049 Biology Bulletin 0.041 0.040 Biology Direct 0.517 0.913 Biology Letters 0.930 0.336 Biology of Blood and Marrow Transplantation 0.732 0.407 Biology of Reproduction 0.960 0.372 Biology of Sport 0.086 0.035 Biology of the Cell 0.626 0.792 Biomacromolecules 1.149 0.354 BioMagnetic Research and Technology 0.297 0.122 Biomarker Insights 0.088 0.044 Biomarkers 0.449 0.179 Biomarkers in Medicine 0.074 0.070 Biomass and Bioenergy 1.289 0.139 Biomaterials 2.240 0.584 Biomechanics and modeling in mechanobiology 0.629 0.216 Biomedica : revista del Instituto Nacional de Salud 0.174 0.054 Biomedical and Environmental Sciences 0.206 0.078 Biomedical Chromatography 0.438 0.146 Biomedical Digital Libraries 0.649 0.117 Biomedical Engineering 0.015 0.034 Biomedical Engineering - Applications, Basis and Communications 0.158 0.042 BioMedical Engineering Online 0.544 0.111 Biomedical Imaging and Intervention Journal 0.113 0.052 Biomedical Instrumentation and Technology 0.069 0.040 Biomedical materials (Bristol, England) 0.475 0.077 Bio-Medical Materials and Engineering 0.276 0.077 Biomedical Microdevices 0.740 0.245 Biomedical papers of the Medical Faculty of the University Palacky, Olomouc, Czechoslovakia 0.198 0.089 Biomedical Research 0.382 0.143 Biomedical Research 0.007 0.034 Biomedical Sciences Instrumentation 0.169 0.054 Biomedical Signal Processing and Control 0.238 0.052 Biomedicine 0.004 0.034 Biomedicine and Pharmacotherapy 0.586 0.249 Biomeditsinskaya Khimiya 0.048 0.040 Biomedizinische Technik 0.236 0.063 BioMetals 0.639 0.346 Biometrical Journal 0.552 0.195 Biometrics 0.917 0.273 Biometrika 1.379 0.152 Biomicrofluidics 0.232 0.112 Bioorganic and Medicinal Chemistry 0.806 0.217 Bioorganic and Medicinal Chemistry Letters 0.597 0.225 Bioorganic Chemistry 0.514 0.222 Bioorganicheskaya Khimiya 0.128 0.039 BioPharm International 0.083 0.048 Biopharmaceutics and Drug Disposition 0.360 0.110 Biophysical Chemistry 0.658 0.235 Biophysical Journal 0.962 0.764 Biophysical Reviews and Letters 0.097 0.049 Biophysics 0.035 0.040 Biopolymers 0.628 0.340 Bioprocess and Biosystems Engineering 0.614 0.111 BioPsychoSocial Medicine 0.310 0.148 Bioremediation Journal 0.292 0.052 Bioresource Technology 1.527 0.192 BioResources 0.356 0.058 Biorheology 0.539 0.188 Bioscene 0.034 BioScience 1.186 0.271 Bioscience Hypotheses 0.017 0.043 Bioscience Reports 0.438 0.427 Bioscience, Biotechnology and Biochemistry 0.440 0.141 Biosciences Biotechnology Research Asia 0.009 0.034 Biosecur Bioterror 0.300 0.089 Biosensors and Bioelectronics 1.348 0.416 BioSpektrum 0.004 0.034 Biostatistics 0.838 0.534 BioSystems 0.425 0.161 Biosystems Engineering 0.703 0.064 Biota 0.167 0.036 Biota Neotropica 0.044 0.037 Biotechnic and Histochemistry 0.287 0.140 BioTechniques 0.505 0.403 Biotechnologia 0.061 0.037 Biotechnology 0.096 0.036 Biotechnology Advances 2.429 0.458 Biotechnology and Applied Biochemistry 0.438 0.157 Biotechnology and Bioengineering 0.998 0.291 Biotechnology and Bioprocess Engineering 0.394 0.076 Biotechnology and Biotechnological Equipment 0.061 0.039 Biotechnology and Genetic Engineering Reviews 0.115 0.186 Biotechnology annual review 0.525 0.316 Biotechnology for Biofuels 0.569 0.296 Biotechnology journal 0.327 0.216 Biotechnology Law Report 0.059 0.037 Biotechnology Letters 0.578 0.151 Biotechnology Progress 0.744 0.205 Biotecnologia Aplicada 0.035 0.043 Biotherapy 0.025 0.036 Biotropica 0.693 0.118 Bipolar Disorders 0.993 0.353 Bipolar Disorders, Supplement 1.379 0.404 Bird Conservation International 0.312 0.062 Bird Study 0.430 0.064 Birth 1.273 0.156 Birth Defects Research Part A - Clinical and Molecular Teratology 0.701 0.270 Birth Defects Research Part B - Developmental and Reproductive Toxicology 0.528 0.210 Birth Defects Research Part C - Embryo Today: Reviews 0.734 0.729 BIT Numerical Mathematics 0.813 0.065 Biuletyn - Panstwowego Instytutu Geologicznego 0.099 0.035 BJOG : an international journal of obstetrics and gynaecology 1.100 0.298 BJU International 0.821 0.362 BJU International, Supplement 1.896 0.535 Black Music Research Journal 0.125 0.035 Black Scholar 0.000 0.034 Blaetter der DGVFM 0.339 0.034 Blake - An Illustrated Quarterly 0.034 Blood 1.546 1.896 Blood Cells, Molecules and Diseases 0.536 0.426 Blood Coagulation and Fibrinolysis 0.424 0.157 Blood Pressure 0.316 0.129 Blood Pressure Monitoring 0.484 0.122 Blood Pressure, Supplement 0.072 0.140 Blood Purification 0.599 0.139 Blood Reviews 1.728 0.920 Blood Transfusion 0.115 0.046 Blumea: Journal of Plant Taxonomy and Plant Geography 0.083 0.048 Blutalkohol 0.019 0.042 Blyttia 0.192 0.037 BMB reports 0.536 0.302 BMC Anesthesiology 0.296 0.075 BMC Biochemistry 0.535 0.951 BMC bioinformatics [electronic resource] 0.698 0.755 BMC Biology 0.945 1.049 BMC biotechnology [electronic resource] 0.603 0.409 BMC Blood Disorders 0.372 0.112 BMC Cancer 0.574 0.423 BMC Cardiovascular Disorders 0.608 0.272 BMC Cell Biology 0.566 0.477 BMC Clinical Pathology 0.728 0.197 BMC Clinical Pharmacology 0.400 0.143 BMC Complementary and Alternative Medicine 0.745 0.133 BMC Dermatology 0.428 0.159 BMC Developmental Biology 0.622 0.771 BMC Ear, Nose and Throat Disorders 0.659 0.085 BMC Ecology 0.469 0.119 BMC Emergency Medicine 0.433 0.228 BMC Endocrine Disorders 0.261 0.183 BMC Evolutionary Biology 0.881 0.711 BMC family practice [electronic resource] 0.677 0.135 BMC gastroenterology [electronic resource] 0.582 0.245 BMC genetics [electronic resource] 0.530 0.450 BMC Genomics 0.685 0.692 BMC Geriatrics 0.786 0.178 BMC Health Services Research 0.639 0.154 BMC Immunology 0.431 0.345 BMC infectious diseases [electronic resource] 0.704 0.272 BMC International Health and Human Rights 0.420 0.138 BMC Medical Education 0.741 0.121 BMC Medical Ethics 0.711 0.153 BMC Medical Genetics 0.494 0.596 BMC Medical Imaging 0.397 0.095 BMC Medical Informatics and Decision Making 0.770 0.173 BMC Medical Physics 0.165 BMC medical research methodology [electronic resource] 0.929 0.307 BMC Medicine 0.771 0.495 BMC microbiology [electronic resource] 0.668 0.393 BMC Molecular Biology 0.660 0.618 BMC Musculoskeletal Disorders 0.577 0.168 BMC Nephrology 0.367 0.194 BMC neurology [electronic resource] 0.534 0.276 BMC Neuroscience 0.535 0.345 BMC Nursing 0.482 0.069 BMC Ophthalmology 0.457 0.125 BMC Oral Health 0.636 0.100 BMC Palliative Care 0.380 0.076 BMC Pediatrics 0.645 0.160 BMC Pharmacology 0.428 0.169 BMC Physiology 0.545 0.210 BMC Plant Biology 0.748 0.521 BMC Pregnancy and Childbirth 0.608 0.143 BMC Psychiatry 0.471 0.140 BMC Public Health 0.729 0.181 BMC Pulmonary Medicine 0.620 0.194 BMC Structural Biology 0.542 0.613 BMC Surgery 0.675 0.167 BMC Systems Biology 0.402 0.468 BMC Urology 0.401 0.216 BMC Veterinary Research 0.426 0.142 BMC Women's Health 0.483 0.122 BMJ (Clinical research ed.) 0.148 0.072 Bodenkultur 0.033 0.034 Body and Society 0.375 #N/A Body Image 1.009 0.106 Body, Movement and Dance in Psychotherapy 0.034 Bogazici Journal 0.034 Bolest 0.103 0.037 Boletim de Ciencias Geodesicas 0.175 0.034 Boletin - Instituto Espanol de Oceanografia 0.089 0.038 Boletin de la Asociacion de Geografos Espanoles 0.029 0.034 Boletn de la Asociacin Mdica de Puerto Rico 0.031 0.034 Boletin de la Sociedad Botanica de Mexico 0.034 Boletin de la Sociedad Espanola de Ceramica y Vidrio 0.249 0.056 Boletin de la Sociedad Geologica Mexicana 0.034 Boletin de la Sociedad Matematica Mexicana 0.242 0.038 Boletin de Linguistica 0.035 Boletin Geologico y Minero 0.062 0.036 Boletin Tecnico/Technical Bulletin 0.000 0.034 Bolletino dell Unione Matematica Italiana 0.237 0.037 Bollettino - Lega Italiana contro l'Epilessia 0.000 0.033 Bollettino d'Arte 0.034 Bollettino della Societa Geologica Italiana 0.152 0.039 Bollettino della Societa Geologica Italiana, Supplemento 0.120 0.037 Bollettino della Societa Paleontologica Italiana 0.099 0.036 Bone 1.218 0.463 Bone Marrow Transplantation 0.620 0.293 Book Collector 0.034 Boreal Environment Research 0.498 0.081 Boreas 0.639 0.085 Bosn J Basic Med Sci 0.023 0.039 Bosque 0.214 0.042 Boston University Law Review 0.115 0.035 Botanica Complutensis 0.061 0.035 Botanica Helvetica 0.183 0.062 Botanica Marina 0.401 0.059 Botanical Journal of the Linnean Society 0.415 0.083 Botanical Review 1.237 0.341 Botanical Studies 0.463 0.052 Botany 0.380 0.112 Bothalia 0.085 0.038 Bottom Line 0.022 0.034 Boundary 2 0.038 0.034 Boundary Value Problems 0.255 0.053 Boundary-Layer Meteorology 1.156 0.136 Brachytherapy 0.510 0.157 Bragantia 0.149 0.038 Brain 2.268 1.256 Brain and Cognition 0.762 0.207 Brain and Development 0.453 0.161 Brain and Language 1.022 0.205 Brain and Nerve 0.094 0.051 Brain and nerve = Shinkei kenky no shinpo 0.042 0.037 Brain Cell Biology 0.635 0.865 Brain Injury 0.533 0.110 Brain pathology (Zurich, Switzerland) 0.758 0.592 Brain Research 0.640 0.288 Brain Research Bulletin 0.558 0.255 Brain Research Reviews 1.563 0.936 Brain Stimulation 0.576 0.247 Brain Structure and Function 0.690 0.422 Brain Topography 0.796 0.183 Brain tumor pathology 0.278 0.079 Brain, Behavior and Evolution 0.644 0.306 Brain, Behavior, and Immunity 0.955 0.455 Brand 0.034 Brasilian Journal of Plant Physiology 0.289 0.056 Bratislavsk lekrske listy 0.067 0.045 Brazilian Archives of Biology and Technology 0.190 0.042 Brazilian dental journal 0.274 0.056 Brazilian journal of biology = Revista brasleira de biologia 0.211 0.043 Brazilian Journal of Cardiovascular Surgery 0.045 0.038 Brazilian Journal of Chemical Engineering 0.341 0.052 Brazilian Journal of Medical and Biological Research 0.335 0.108 Brazilian Journal of Microbiology 0.243 0.049 Brazilian Journal of Oceanography 0.112 0.038 Brazilian Journal of Oral Sciences 0.019 0.036 Brazilian Journal of Pharmacognosy 0.064 0.043 Brazilian Journal of Physics 0.265 0.056 Breast 0.449 0.219 Breast cancer (Tokyo, Japan) 0.530 0.224 Breast Cancer Online 0.015 0.035 Breast Cancer Research 0.837 0.803 Breast Cancer Research and Treatment 0.808 0.518 Breast Care 0.097 0.049 Breast Disease 0.446 0.390 Breast Diseases 0.002 0.034 Breast Journal 0.471 0.195 Breastfeeding medicine : the official journal of the Academy of Breastfeeding Medicine 0.221 0.127 Breastfeeding review : professional publication of the Nursing Mothers' Association of Australia 0.116 0.050 Breeding Science 0.434 0.077 Brief Funct Genomic Proteomic 0.498 0.591 Brief Treatment and Crisis Intervention 0.170 0.052 Briefings in bioinformatics 1.829 1.079 Brigham Young University studies. Brigham Young University 0.034 British Accounting Review 0.499 0.041 British Birds 0.050 0.038 British Columbia Medical Journal 0.042 0.037 British dental journal 0.323 0.059 British Educational Research Journal 0.892 0.042 British Food Journal 0.344 0.054 British Journal for Eighteenth-Century Studies 0.034 British Journal for the History of Philosophy 0.607 0.034 British Journal for the History of Science 0.082 0.051 British Journal for the Philosophy of Science 0.746 0.054 British Journal of Aesthetics 0.396 0.034 British Journal of Anaesthesia 1.166 0.225 British Journal of Biomedical Science 0.138 0.064 British Journal of Cancer 1.002 0.661 British Journal of Cardiology 0.029 0.040 British Journal of Clinical Pharmacology 0.754 0.274 British Journal of Clinical Psychology 0.770 0.105 British journal of community nursing 0.072 0.045 British Journal of Criminology 0.998 0.068 British Journal of Dermatology 0.818 0.368 British Journal of Developmental Disabilities 0.210 0.048 British Journal of Developmental Psychology 0.563 0.094 British Journal of Diabetes and Vascular Disease 0.075 0.059 British Journal of Educational Studies 0.920 0.048 British Journal of Educational Technology 0.795 0.064 British Journal of Forensic Practice 0.034 British Journal of General Practice 0.596 0.138 British Journal of Guidance and Counselling 0.391 0.043 British Journal of Haematology 0.853 0.553 British Journal of Health Care Management 0.014 0.034 British Journal of Health Psychology 0.875 0.118 British Journal of Hospital Medicine 0.071 0.041 British Journal of Industrial Relations 0.961 0.047 British Journal of Intensive Care 0.055 0.043 British Journal of Learning Disabilities 0.199 0.041 British Journal of Management 1.058 0.053 British Journal of Mathematical and Statistical Psychology 0.504 0.067 British Journal of Medical and Surgical Urology 0.015 0.040 British Journal of Middle Eastern Studies 0.198 0.035 British Journal of Midwifery 0.050 0.037 British Journal of Neurosurgery 0.324 0.093 British journal of nursing (Mark Allen Publishing) 0.134 0.044 British Journal of Nutrition 0.703 0.229 British Journal of Occupational Therapy 0.188 0.040 British Journal of Ophthalmology 0.814 0.231 British Journal of Pharmacology 0.882 0.515 British Journal of Political Science 1.382 0.059 British Journal of Politics and International Relations 0.696 0.042 British Journal of Psychiatry 1.334 0.334 British Journal of Psychology 0.883 0.136 British Journal of Psychotherapy 0.178 0.035 British Journal of Radiology 0.482 0.140 British Journal of Religious Education 0.936 0.035 British Journal of Social Psychology 0.931 0.067 British Journal of Social Work 0.878 0.051 British Journal of Sociology 1.073 0.052 British Journal of Sociology of Education 0.686 0.044 British Journal of Special Education 0.221 0.040 British journal of sports medicine 0.762 0.130 British Journal of Surgery 1.520 0.424 British Medical Bulletin 0.660 0.304 British Medical Journal 1.861 0.528 British Politics 0.631 #N/A British Poultry Science 0.426 0.057 British Wildlife 0.016 0.035 Brittonia 0.233 0.048 Bronte Studies 0.034 Brookings Papers on Economic Activity 1.013 0.047 Bryologist 0.372 0.070 BT Technology Journal 0.143 0.043 BTRA Scan 0.043 0.036 Buffalo Law Review 0.140 0.035 Building and Environment 0.921 0.078 Building Engineer 0.000 0.033 Building Research and Information 0.664 0.050 Building Services Engineering Research and Technology 0.554 0.058 Built Environment 0.247 0.040 Bulgarian Historical Review 0.034 Bulk Solids Handling 0.104 0.034 Bulletin - Association of Canadian Map Libraries and Archives 0.034 Bulletin - Biological Society of Washington 0.111 0.036 Bulletin - Illinois Geographical Society 0.034 Bulletin - Kanagawa Prefectural Museum, Natural Science 0.102 0.039 Bulletin - Society of University Cartographers 0.000 0.034 Bulletin d'Association de Geographes Francais 0.013 0.034 Bulletin de la Socit belge d'ophtalmologie 0.076 0.046 Bulletin de la Societe de Linguistique de Paris 0.034 Bulletin de la Societe de Pathologie Exotique 0.141 0.053 Bulletin de la Socit des sciences mdicales du Grand-Duch de Luxembourg 0.068 0.038 Bulletin de la Societe Geologique de France 0.336 0.046 Bulletin de la Societe Geologique de Normandie et des Amis du Museum du Havre 0.034 Bulletin de la Societe Mathematique de France 0.305 0.038 Bulletin de la Societe Prehistorique Francaise 0.079 0.035 Bulletin de la Societe Royale des Sciences de Liege 0.133 0.039 Bulletin de la Societe Vaudoise des Sciences Naturelles 0.198 0.034 Bulletin de la Societe Zoologique de France 0.135 0.034 Bulletin de l'Acadmie nationale de mdecine 0.040 0.040 Bulletin de l'Academie Veterinaire de France 0.034 Bulletin de l'Institut Royal des Sciences Naturelles de Belqique, Sciences de la Terre 0.301 0.037 Bulletin de l'Ordre des Medecins 0.034 Bulletin des Laboratoires des Ponts et Chaussees 0.000 0.034 Bulletin des Sciences Mathematiques 0.513 0.042 Bulletin du Cancer 0.194 0.092 Bulletin et mmoires de l'Acadmie royale de mdecine de Belgique 0.057 0.099 Bulletin fuer Angewandte Geologie 0.000 0.034 Bulletin Hispanique 0.034 Bulletin Monumental 0.034 Bulletin of Canadian Petroleum Geology 0.447 0.043 Bulletin of Earthquake Engineering 0.741 0.058 Bulletin of Economic Research 0.362 0.035 Bulletin of Engineering Geology and the Environment 0.436 0.041 Bulletin of Entomological Research 0.641 0.076 Bulletin of Environmental Contamination and Toxicology 0.330 0.058 Bulletin of Experimental Biology and Medicine 0.029 0.044 Bulletin of Geosciences 0.418 0.050 Bulletin of Hispanic Studies 0.054 #N/A Bulletin of Indonesian Economic Studies 0.610 0.036 Bulletin of Latin American Research 0.372 0.037 Bulletin of Marine Science 0.481 0.074 Bulletin of Materials Science 0.378 0.067 Bulletin of Mathematical Biology 0.616 0.170 Bulletin of Mineralogy Petrology and Geochemistry 0.150 0.039 Bulletin of Symbolic Logic 1.213 0.053 Bulletin of the American College of Surgeons 0.094 0.057 Bulletin of the American Mathematical Society 1.653 0.120 Bulletin of the American Meteorological Society 0.936 0.234 Bulletin of the American Museum of Natural History 4.971 0.821 Bulletin of the American Society of Papyrologists 0.034 Bulletin of the Atomic Scientists 0.057 0.043 Bulletin of the Australian Mathematical Society 0.321 0.041 Bulletin of the Belgian Mathematical Society - Simon Stevin 0.282 0.046 Bulletin of the Brazilian Mathematical Society 0.465 0.056 Bulletin of the Chemical Society of Ethiopia 0.095 0.040 Bulletin of the Chemical Society of Japan 0.496 0.137 Bulletin of the Comediantes 0.034 Bulletin of the Council for Research in Music Education 0.206 0.034 Bulletin of the European Association of Fish Pathologists 0.204 0.043 Bulletin of the Geological Society of America 0.831 0.178 Bulletin of the Geological Society of Denmark 0.116 0.042 Bulletin of the Geological Society of Finland 0.452 0.049 Bulletin of the Geological Society of Malaysia 0.034 Bulletin of the History of Medicine 0.136 0.056 Bulletin of the Indian Institute of History of Medicine (Hyderabad) 0.071 0.036 Bulletin of the International Institute of Seismology and Earthquake Engineering 0.028 0.034 Bulletin of the Iranian Mathematical Society 0.445 0.037 Bulletin of the Korean Chemical Society 0.124 0.062 Bulletin of the Korean Mathematical Society 0.177 0.039 Bulletin of the London Mathematical Society 0.744 0.047 Bulletin of the Malaysian Mathematical Sciences Society 0.162 0.039 Bulletin of the Menninger Clinic 0.676 0.052 Bulletin of the New Zealand Society for Earthquake Engineering 0.155 0.036 Bulletin of the Physical Fitness Research Institute 0.064 0.057 Bulletin of the Plankton Society of Japan 0.019 0.035 Bulletin of the Polish Academy of Sciences, Technical Sciences 0.278 0.054 Bulletin of the Russian Academy of Sciences: Physics 0.013 0.036 Bulletin of the School of Oriental and African Studies 0.132 0.034 Bulletin of the Seismological Society of America 1.045 0.130 Bulletin of the Veterinary Institute in Pulawy 0.133 0.039 Bulletin of the World Health Organization 1.024 0.289 Bulletin of Volcanology 1.046 0.109 Bulletin on Narcotics 0.385 0.059 Bulletin, Classe des Sciences Mathematiques et Naturelles, Sciences Mathematiques 0.034 Bulletin, Postgraduate Institute of Medical Education and Research, Chandigarh 0.000 0.034 Bulletin: Hospital for Joint Diseases 0.401 0.121 Bulletins of American Paleontology 0.593 0.041 Bundesgesundheitsblatt, Gesundheitsforschung, Gesundheitsschutz 0.345 0.061 Bunseki Kagaku 0.060 0.053 Burlington Magazine 0.134 0.034 Burns 0.631 0.138 Business and Politics 0.688 0.041 Business and Society 0.515 0.042 Business and Society Review 0.374 0.037 Business Communication Quarterly 0.293 0.038 Business Communications Review 0.006 0.034 Business economics (Cleveland, Ohio) 0.134 0.038 Business Ethics Quarterly 0.672 0.044 Business History 0.229 0.035 Business History Review 0.197 0.036 Business Horizons 0.371 0.039 Business Information Review 0.139 0.036 Business Lawyer 0.011 0.034 Business Process Management Journal 0.544 0.047 Business Strategy and the Environment 0.946 0.053 Business Strategy Review 0.050 0.034 Business Week 0.050 0.034 Business: Theory and Practice 0.034 Byzantine and Modern Greek Studies 0.203 0.034 Byzantinische Zeitschrift 0.034 Byzantion: Revue Internationale des Etudes Byzantines 0.034 C e Ca 0.000 0.034 Ca-A Cancer Journal for Clinicians 21.819 10.768 Cable and Satellite Europe 0.034 CAD Computer Aided Design 1.459 0.084 Cadernos CEDES 0.023 0.035 Cadernos de Pesquisa 0.034 Cadernos de sade pblica / Ministrio da Sade, Fundao Oswaldo Cruz, Escola Nacional de Sade Pblica 0.334 0.060 Cadernos Laboratorio Xeoloxico de Laxe 0.041 0.035 Cahiers Agricultures 0.030 0.036 Cahiers Critiques de Therapie Familiale et de Pratiques de Reseaux 0.000 0.034 Cahiers de Biologie Marine 0.188 0.047 Cahiers de Civilisation Medievale 0.034 Cahiers de Geographie du Quebec 0.051 0.034 Cahiers de l'Audition 0.013 0.034 Cahiers de Linguistique d'Asie Orientale 0.000 0.034 Cahiers de Nutrition et de Dietetique 0.020 0.036 Cahiers de Psychologie Clinique 0.176 0.034 Cahiers de sociologie et de dmographie mdicales 0.065 0.035 Cahiers d'Etudes Africaines 0.000 0.034 Cahiers du Monde Russe 0.000 0.034 Cahiers du Musee National d'Art Moderne 0.034 Cahiers Naturalistes 0.034 Cahiers Sante 0.150 0.047 Cahiers Victoriens and Edouardiens 0.034 Cailiao Gongcheng/Journal of Materials Engineering 0.063 0.036 Cailiao Kexue yu Gongyi/Material Science and Technology 0.079 0.036 Cailiao Rechuli Xuebao/Transactions of Materials and Heat Treatment 0.121 0.037 Cailiao Yanjiu Xuebao/Chinese Journal of Materials Research 0.130 0.040 Calcified Tissue International 0.810 0.320 Calcium Binding Proteins 0.015 0.054 Calcolo 0.649 0.057 Calculus of Variations and Partial Differential Equations 1.006 0.054 Caldasia 0.034 California Fish and Game 0.215 0.043 California Law Review 0.275 0.036 California Management Review 1.181 0.053 Callaloo 0.034 Calphad: Computer Coupling of Phase Diagrams and Thermochemistry 1.170 0.102 Cambrian Medieval Celtic Studies 0.000 0.034 Cambridge Archaeological Journal 0.302 0.041 Cambridge Classical Journal 0.034 Cambridge Journal of Economics 0.803 0.040 Cambridge Journal of Education 0.428 0.039 Cambridge Quarterly 0.034 Cambridge Quarterly of Healthcare Ethics 0.178 0.049 Camera Obscura 0.137 #N/A Campus-Wide Information Systems 0.187 0.041 Canada communicable disease report = Relev des maladies transmissibles au Canada 0.151 0.110 Canadian Acoustics - Acoustique Canadienne 0.053 0.036 Canadian Aeronautics and Space Journal 0.296 0.047 Canadian Association of Radiologists Journal 0.337 0.081 Canadian Biosystems Engineering / Le Genie des biosystems au Canada 0.290 0.056 Canadian bulletin of medical history = Bulletin canadien d'histoire de la mdecine 0.041 0.034 Canadian Conference on Electrical and Computer Engineering 0.048 0.036 Canadian Entomologist 0.270 0.082 Canadian family physician Mdecin de famille canadien 0.215 0.068 Canadian Field-Naturalist 0.021 0.035 Canadian Geotechnical Journal 0.823 0.051 Canadian Historical Review 0.104 0.034 Canadian Journal of Administrative Sciences 0.158 0.035 Canadian Journal of Agricultural Economics 0.291 0.042 Canadian Journal of Anaesthesia 0.557 0.128 Canadian Journal of Animal Science 0.233 0.051 Canadian Journal of Behavioural Science 0.484 0.050 Canadian journal of cardiovascular nursing = Journal canadien en soins infirmiers cardio-vasculaires 0.127 0.043 Canadian Journal of Chemical Engineering 0.547 0.063 Canadian Journal of Chemistry 0.345 0.098 Canadian Journal of Civil Engineering 0.389 0.042 Canadian Journal of Clinical Pharmacology 0.337 0.103 Canadian Journal of Community Mental Health 0.058 0.038 Canadian Journal of Criminology and Criminal Justice 0.300 0.036 Canadian Journal of Development Studies 0.190 0.041 Canadian Journal of Diabetes 0.156 0.054 Canadian Journal of Dietetic Practice and Research 0.228 0.046 Canadian Journal of Earth Sciences 0.346 0.054 Canadian Journal of Economics 0.730 0.043 Canadian Journal of Education 0.247 0.036 Canadian Journal of Electrical and Computer Engineering 0.295 0.044 Canadian Journal of Emergency Medicine 0.378 0.085 Canadian Journal of Experimental Psychology 0.797 0.192 Canadian Journal of Fisheries and Aquatic Sciences 0.870 0.124 Canadian Journal of Forest Research 0.634 0.080 Canadian Journal of Gastroenterology 0.307 0.149 Canadian Journal of Geriatrics 0.134 0.041 Canadian Journal of History 0.000 0.034 Canadian Journal of Hospital Pharmacy 0.082 0.038 Canadian Journal of Human Sexuality 0.256 0.064 Canadian Journal of Infectious Diseases and Medical Microbiology 0.126 0.069 Canadian Journal of Information and Library Science 0.033 0.035 Canadian Journal of Latin American and Caribbean Studies 0.000 0.034 Canadian Journal of Linguistics 0.273 0.034 Canadian Journal of Mathematics 0.734 0.046 Canadian Journal of Microbiology 0.394 0.107 Canadian Journal of Neurological Sciences 0.244 0.112 Canadian journal of neuroscience nursing 0.077 0.036 Canadian Journal of Occupational Therapy 0.523 0.047 Canadian journal of ophthalmology. Journal canadien d'ophtalmologie 0.379 0.100 Canadian Journal of Physics 0.321 0.057 Canadian Journal of Physiology and Pharmacology 0.400 0.197 Canadian Journal of Plant Pathology 0.339 0.071 Canadian Journal of Plant Science 0.272 0.047 Canadian Journal of Plastic Surgery 0.034 Canadian Journal of Political Science 0.363 0.038 Canadian Journal of Psychiatry 0.834 0.194 Canadian Journal of Public Health 0.398 0.085 Canadian Journal of Remote Sensing 0.278 0.052 Canadian Journal of Respiratory Therapy 0.034 Canadian journal of rural medicine : the official journal of the Society of Rural Physicians of Canada = Journal canadien de la medecine rurale : le journal officiel de la Societe de medecine rurale du Canada 0.126 0.042 Canadian Journal of School Psychology 0.760 0.036 Canadian Journal of Sociology 0.222 0.037 Canadian Journal of Soil Science 0.489 0.062 Canadian Journal of Speech-Language Pathology and Audiology 0.138 0.042 Canadian Journal of Statistics 0.891 0.061 Canadian journal of surgery. Journal canadien de chirurgie 0.341 0.095 Canadian Journal of Urban Research 0.130 0.034 Canadian Journal of Veterinary Research 0.627 0.110 Canadian Journal of Zoology 0.474 0.076 Canadian Journal on Aging 0.426 0.057 Canadian Literature 0.034 Canadian Mathematical Bulletin 0.466 0.039 Canadian Metallurgical Quarterly 0.397 0.047 Canadian Mineralogist 0.449 0.057 Canadian Mining Journal 0.000 0.034 Canadian Modern Language Review 0.205 0.035 Canadian oncology nursing journal = Revue canadienne de nursing oncologique 0.101 0.070 Canadian operating room nursing journal 0.165 0.040 Canadian Pharmacists Journal 0.089 0.037 Canadian Psychology 0.362 0.047 Canadian Public Administration 0.192 0.035 Canadian Public Policy 0.188 0.037 Canadian Respiratory Journal 0.267 0.110 Canadian Review of Comparative Literature 0.034 Canadian Review of Sociology 0.583 0.044 Canadian Water Resources Journal 0.327 0.121 Cancer 1.280 0.670 Cancer and Metastasis Reviews 1.849 1.897 Cancer biology & therapy 0.436 0.476 Cancer biomarkers : section A of Disease markers 0.266 0.213 Cancer Biotherapy and Radiopharmaceuticals 0.265 0.164 Cancer Causes and Control 0.861 0.442 Cancer Cell 3.726 8.297 Cancer Cell International 0.495 0.312 Cancer Chemotherapy and Pharmacology 0.569 0.329 Cancer Control 0.738 0.338 Cancer Epidemiology Biomarkers and Prevention 1.014 0.631 Cancer Forum 0.046 0.042 Cancer Gene Therapy 0.540 0.492 Cancer Genetics and Cytogenetics 0.398 0.243 Cancer Genomics and Proteomics 0.158 0.170 Cancer imaging [electronic resource] : the official publication of the International Cancer Imaging Society. 0.370 0.186 Cancer Immun 0.543 0.459 Cancer Immunology Immunotherapy 0.679 0.485 Cancer Informatics 0.211 0.115 Cancer Investigation 0.429 0.277 Cancer Journal 0.677 0.502 Cancer Letters 0.730 0.410 Cancer Microenvironment 0.245 0.064 Cancer Nursing 0.509 0.091 Cancer prevention research (Philadelphia, Pa.) 0.578 1.005 Cancer Research 1.422 1.791 Cancer Science 0.763 0.573 Cancer treatment and research 0.168 0.226 Cancer Treatment Reviews 0.963 0.583 Cancer/Radiotherapie 0.237 0.054 Candollea 0.061 0.037 CANNT journal = Journal ACITN 0.013 0.036 Capitalism, Nature, Socialism 0.054 0.035 Capitation rates & data 0.034 Caravelle 0.034 Carbohydrate Polymers 1.361 0.167 Carbohydrate Research 0.635 0.158 Carbon 1.637 0.346 Carbon Balance and Management 0.522 0.314 Carbonates and Evaporites 0.087 0.036 Carcinogenesis 1.054 0.801 Card Technology Today 0.009 0.034 Cardiology 0.409 0.192 Cardiology Clinics 0.360 0.171 Cardiology in Review 0.567 0.200 Cardiology in the Young 0.291 0.113 Cardiology International 0.034 Cardiology Journal 0.089 0.045 Cardiology Review 0.006 0.035 Cardiometabolic Risk and Weight Management 0.034 Cardiovascular & hematological disorders drug targets. 0.331 0.177 Cardiovascular and Hematological Agents in Medicinal Chemistry 0.407 0.222 CardioVascular and Interventional Radiology 0.678 0.166 Cardiovascular Diabetology 0.623 0.326 Cardiovascular Drug Reviews 1.090 0.493 Cardiovascular Drugs and Therapy 0.466 0.310 Cardiovascular Engineering 0.542 0.121 Cardiovascular Journal of Africa 0.107 0.067 Cardiovascular Pathology 0.429 0.185 Cardiovascular Research 1.166 0.762 Cardiovascular Revascularization Medicine 0.317 0.148 Cardiovascular Therapeutics 0.356 0.238 Cardiovascular toxicology 0.566 0.301 Cardiovascular Ultrasound 0.492 0.166 Care Management Journals 0.256 0.043 Care of the Critically Ill 0.000 0.034 Career Development International 0.301 0.039 Career Development Quarterly 0.659 0.042 Caribbean Journal of Science 0.072 0.036 Caries Research 1.157 0.140 Caring : National Association for Home Care magazine 0.010 0.034 Carnets de Geologie 0.117 0.035 Carpathian Journal of Earth and Environmental Sciences 0.395 0.034 Carreteras 0.053 0.034 Cartographic Journal 0.449 0.041 Cartographic Perspectives 0.198 0.035 Cartographica 0.196 0.046 Cartography and Geographic Information Science 0.493 0.046 Caryologia 0.166 0.054 Case Reports and Clinical Practice Review 0.033 0.034 Casops lkar ceskch 0.058 0.038 Castanea 0.219 0.043 Cataloging and Classification Quarterly 0.201 0.035 Catalysis Communications 0.848 0.168 Catalysis Letters 0.624 0.145 Catalysis Reviews - Science and Engineering 2.824 0.515 Catalysis Surveys from Asia 0.711 0.152 Catalysis Today 1.285 0.230 Catena 1.039 0.079 Catheterization and Cardiovascular Interventions 0.689 0.317 Catholic Biblical Quarterly 0.309 0.034 Catholic University Law Review 0.034 Catholica 0.034 Cato Journal 0.209 0.036 Cattle Practice 0.118 0.041 Cave and Karst Science 0.000 0.034 CBE Life Sciences Education 0.433 0.076 CCAMLR Science 0.220 0.057 CDS review 0.034 Celestial Mechanics and Dynamical Astronomy 1.066 0.122 Cell 4.781 13.241 Cell adhesion & migration 0.073 0.088 Cell and Tissue Banking 0.727 0.158 Cell and Tissue Biology 0.080 0.041 Cell and Tissue Research 0.590 0.365 Cell Biochemistry and Biophysics 0.523 0.362 Cell Biochemistry and Function 0.384 0.152 Cell Biology and Toxicology 0.414 0.157 Cell Biology International 0.478 0.219 Cell Calcium 0.884 0.885 Cell Communication and Adhesion 0.316 0.449 Cell Communication and Signaling 0.108 0.073 Cell cycle (Georgetown, Tex.) 0.593 1.132 Cell Death and Differentiation 1.337 1.951 Cell Division 0.570 1.381 Cell Host and Microbe 1.345 3.707 Cell Metabolism 2.409 4.335 Cell Motility and the Cytoskeleton 0.622 0.710 Cell Preservation Technology 0.191 0.052 Cell Proliferation 0.505 0.352 Cell Research 0.899 1.362 Cell Stem Cell 1.781 6.585 Cell Stress and Chaperones 0.492 0.196 Cell Structure and Function 0.439 0.668 Cell Transplantation 0.476 0.268 Cells Tissues Organs 0.607 0.303 Cellular & molecular immunology. 0.513 0.336 Cellular and molecular biology (Noisy-le-Grand, France) 0.242 0.143 Cellular and Molecular Biology Letters 0.276 0.196 Cellular and Molecular Life Sciences 1.244 1.210 Cellular and Molecular Neurobiology 0.624 0.333 Cellular Immunology 0.483 0.397 Cellular Microbiology 0.917 1.051 Cellular Oncology 0.639 0.528 Cellular Physiology and Biochemistry 0.579 0.381 Cellular Polymers 0.404 0.051 Cellular Signalling 0.890 0.901 Cellulose 0.814 0.125 Cellulose Chemistry and Technology 0.151 0.042 Cement and Concrete Composites 1.744 0.085 Cement and Concrete Research 2.155 0.113 Centaurus; international magazine of the history of science and medicine 0.395 0.087 Central European Geology 0.000 0.034 Central European History 0.162 0.035 Central European Journal of Biology 0.258 0.076 Central European Journal of Chemistry 0.272 0.071 Central European Journal of Mathematics 0.164 0.038 Central European Journal of Medicine 0.077 0.038 Central European Journal of Operations Research 0.245 0.040 Central European Journal of Physics 0.252 0.060 Central European Journal of Public Health 0.304 0.075 Central Nervous System Agents in Medicinal Chemistry 0.107 0.072 Central-European Journal of Immunology 0.156 0.059 Centro Journal 0.011 0.034 Cephalalgia 1.008 0.301 Ceramic Engineering and Science Proceedings 0.065 0.039 Ceramic Transactions 0.027 0.041 Ceramica 0.117 0.042 Ceramics - Art and Perception 0.034 Ceramics - Silikaty 0.379 0.054 Ceramics International 0.984 0.101 Cereal Chemistry 0.883 0.086 Cereal Foods World 0.225 0.050 Cereal Research Communications 0.064 0.037 Cerebellum 0.519 0.363 Cerebral Cortex 1.658 1.045 Cerebrospinal Fluid Research 0.468 0.156 Cerebrovascular Diseases 0.908 0.282 Cerne 0.043 0.034 CESifo DICE Report 0.002 0.034 CESifo Economic Studies 0.490 0.042 CESifo Forum 0.028 0.034 Ceska a Slovenska Farmacie 0.061 0.045 Ceska a Slovenska Gastroenterologie a Hepatologie 0.038 0.038 Ceska a Slovenska Neurologie a Neurochirurgie 0.046 0.036 Ceska a Slovenska Oftalmologie 0.075 0.040 Cesk a slovensk psychiatrie / Cesk lkarsk spolecnost J.E. Purkyne 0.094 0.036 Cesk gynekologie / Cesk lkarsk spolecnost J. Ev. Purkyne 0.127 0.053 Ceska Literatura 0.034 Ceska Radiologie 0.046 0.036 Ceska Revmatologie 0.017 0.039 Ceske Pracovni Lekarstvi 0.034 0.035 Cesko-Slovenska Dermatologie 0.054 0.034 Ceskoslovensk fysiologie / stredn stav biologick 0.019 0.035 Cesko-Slovenska Pediatrie 0.079 0.035 Ceskoslovenska Psychologie 0.218 0.038 CFS Courier Forschungsinstitut Senckenberg 0.229 0.062 Champ Psychosomatique 0.069 0.034 Chang'an Daxue Xuebao (Ziran Kexue Ban)/Journal of Chang'an University (Natural Science Edition) 0.307 0.054 Changgeng yi xue za zhi / Changgeng ji nian yi yuan = Chang Gung medical journal / Chang Gung Memorial Hospital 0.160 0.056 Channels (Austin, Tex.) 0.222 0.280 Chaos 0.707 0.115 Chaos, Solitons and Fractals 2.466 0.099 Chaucer Review 0.000 0.034 Chelonian Conservation and Biology 0.082 0.046 Chem-Bio Informatics Journal 0.033 0.036 ChemBioChem 0.646 0.505 Chemia Analityczna 0.101 0.060 Chemical and Biochemical Engineering Quarterly 0.220 0.050 Chemical and Petroleum Engineering 0.003 0.034 Chemical and Pharmaceutical Bulletin 0.443 0.131 Chemical Biology and Drug Design 0.615 0.281 Chemical Communications 1.000 0.402 Chemical Engineering (New York) 0.027 0.034 Chemical Engineering and Processing: Process Intensification 0.892 0.096 Chemical Engineering and Technology 0.444 0.079 Chemical Engineering Communications 0.274 0.062 Chemical Engineering Education 0.201 0.040 Chemical Engineering Journal 1.135 0.142 Chemical Engineering Progress 0.111 0.070 Chemical Engineering Research and Design 0.646 0.075 Chemical Engineering Science 1.236 0.127 Chemical Geology 1.133 0.177 Chemical Immunology and Allergy 0.525 0.411 Chemical Industry and Chemical Engineering Quarterly 0.064 0.044 Chemical Journal on Internet 0.011 0.035 Chemical Papers 0.225 0.058 Chemical Physics 0.717 0.157 Chemical Physics Letters 0.780 0.180 Chemical Product and Process Modeling 0.165 0.041 Chemical Records 0.851 0.354 Chemical Research in Chinese Universities 0.099 0.045 Chemical Research in Toxicology 0.854 0.445 Chemical Reviews 7.476 2.770 Chemical Senses 0.843 0.322 Chemical Society Reviews 4.049 1.587 Chemical Speciation and Bioavailability 0.298 0.061 Chemical Vapor Deposition 0.681 0.161 Chemicke Listy 0.065 0.042 Chemico-Biological Interactions 0.786 0.217 Chemie der Erde - Geochemistry 0.497 0.094 Chemie in Unserer Zeit 0.069 0.045 Chemie-Ingenieur-Technik 0.132 0.046 Chemistry 0.054 0.036 Chemistry - A European Journal 0.935 0.363 Chemistry - An Asian Journal 0.682 0.325 Chemistry & biodiversity 0.373 0.190 Chemistry and Biology 1.026 1.122 Chemistry and Ecology 0.211 0.047 Chemistry and Physics of Lipids 0.595 0.293 Chemistry and Technology of Fuels and Oils 0.002 0.034 Chemistry Bulletin / Huaxue Tongbao 0.049 0.036 Chemistry Central Journal 0.149 0.159 Chemistry Education Research and Practice 0.670 0.045 Chemistry Letters 0.358 0.129 Chemistry of Heterocyclic Compounds 0.032 0.040 Chemistry of Materials 1.578 0.463 Chemistry of Natural Compounds 0.084 0.046 ChemMedChem 0.750 0.303 Chemoecology 0.586 0.106 Chemometrics and Intelligent Laboratory Systems 1.076 0.149 Chemosphere 1.293 0.179 Chemotherapie Journal 0.086 0.045 Chemotherapy 0.514 0.159 ChemPhysChem 0.854 0.286 ChemSusChem 0.432 0.166 Chemtracts 0.005 0.035 Chest 1.521 0.544 Chiang Mai Journal of Science 0.010 0.034 Chiba Medical Journal 0.034 Chicago Review 0.034 Child Abuse and Neglect 1.068 0.165 Child Abuse Review 0.285 0.040 Child and Adolescent Mental Health 0.347 0.069 Child and Adolescent Psychiatric Clinics of North America 0.527 0.178 Child and Adolescent Psychiatry and Mental Health 0.259 0.104 Child and Adolescent Social Work Journal 0.207 0.037 Child and Family Behavior Therapy 0.218 0.048 Child and Family Social Work 0.461 0.087 Child and Youth Care Forum 0.265 0.041 Child and Youth Services 0.034 Child Care in Practice 0.043 #N/A Child Development 1.750 0.166 Child Development Perspectives 0.187 0.042 Child health alert 0.003 0.034 Child Language Teaching and Therapy 0.212 0.042 Child Maltreatment 0.730 0.234 Child Neuropsychology 0.574 0.152 Child Psychiatry and Human Development 0.511 0.095 Child Welfare 0.449 0.051 Child: Care, Health and Development 0.588 0.099 Childhood 0.653 0.043 Children and Schools 0.392 0.039 Children and Society 0.538 0.044 Children and Youth Services Review 0.766 0.057 Children's Health Care 0.474 0.072 Children's Literature in Education 0.063 0.035 Child's Nervous System 0.610 0.106 Chilton's automotive industries 0.001 0.033 Chimia 0.254 0.118 Chimica Oggi 0.056 0.053 China and World Economy 0.344 0.043 China Business Review 0.057 0.036 China Economic Review 1.051 0.052 China Information 0.219 0.037 China Journal 0.398 0.035 China Ocean Engineering 0.415 0.044 China Petroleum Processing and Petrochemical Technology 0.000 0.034 China Quarterly 0.566 0.038 China Report 0.029 0.034 China Review 0.207 0.035 China Welding (English Edition) 0.080 0.040 Chinese Annals of Mathematics. Series B 0.502 0.044 Chinese Astronomy and Astrophysics 0.080 0.039 Chinese Chemical Letters 0.122 0.055 Chinese Economy 0.024 0.035 Chinese Education and Society 0.122 0.034 Chinese Geographical Science 0.163 0.041 Chinese Journal of Aeronautics 0.563 0.046 Chinese Journal of Agricultural Biotechnology 0.016 0.038 Chinese Journal of Analytical Chemistry 0.160 0.067 Chinese Journal of Andrology 0.007 0.034 Chinese Journal of Antibiotics 0.020 0.036 Chinese Journal of Applied and Environmental Biology 0.211 0.040 Chinese Journal of Applied Ecology 0.393 0.044 Chinese Journal of Astronomy and Astrophysics 0.273 0.108 Chinese Journal of Biomedical Engineering 0.087 0.037 Chinese journal of biotechnology 0.144 0.053 Chinese Journal of Cancer Prevention and Treatment 0.034 Chinese Journal of Cancer Research 0.039 0.038 Chinese Journal of Cardiology 0.023 0.042 Chinese Journal of Catalysis 0.350 0.062 Chinese Journal of Cerebrovascular Diseases 0.012 0.035 Chinese Journal of Chemical Engineering 0.478 0.063 Chinese Journal of Chemical Physics 0.119 0.047 Chinese Journal of Chemistry 0.191 0.063 Chinese Journal of Clinical Nutrition 0.005 0.034 Chinese Journal of Clinical Oncology 0.013 0.035 Chinese Journal of Contemporary Neurology and Neurosurgery 0.031 0.035 Chinese Journal of Contemporary Pediatrics 0.022 0.039 Chinese Journal of Ecology 0.114 0.036 Chinese Journal of Electronics 0.109 0.036 Chinese Journal of Emergency Medicine 0.036 0.035 Chinese Journal of Endemiology 0.030 0.036 Chinese Journal of Evidence-Based Medicine 0.010 0.037 Chinese Journal of Forensic Medicine 0.006 0.036 Chinese Journal of Gastroenterology 0.014 0.034 Chinese Journal of Geochemistry 0.166 0.037 Chinese Journal of Infection and Chemotherapy 0.019 0.035 Chinese Journal of Inorganic Chemistry 0.192 0.048 Chinese Journal of Integrative Medicine 0.086 0.047 Chinese Journal of International Law 0.062 #N/A Chinese Journal of International Politics 0.047 0.035 Chinese Journal of Interventional Imaging and Therapy 0.324 0.048 Chinese Journal of Luminescence 0.557 0.047 Chinese Journal of Lung Cancer 0.108 0.035 Chinese Journal of Mechanical Engineering (English Edition) 0.217 0.041 Chinese Journal of Medical Imaging Technology 0.234 0.044 Chinese Journal of Microbiology and Immunology 0.024 0.036 Chinese Journal of Natural Medicines 0.123 0.045 Chinese Journal of Oncology 0.058 0.048 Chinese journal of Ophthalmology 0.044 0.040 Chinese Journal of Organic Chemistry 0.121 0.048 Chinese Journal of Pharmacology and Toxicology 0.064 0.040 Chinese Journal of Physics 0.200 0.054 Chinese Journal of Physiology 0.164 0.075 Chinese Journal of Polymer Science (English Edition) 0.198 0.051 Chinese Journal of Radiology 0.055 0.037 Chinese Journal of Rehabilitation Medicine 0.033 0.035 Chinese Journal of Sensors and Actuators 0.116 0.038 Chinese journal of traumatology = Chung-hua ch"uang shang tsa chih / Chinese Medical Association 0.149 0.051 Chinese Law and Government 0.000 0.034 Chinese Medical Journal 0.257 0.103 Chinese Medical Sciences Journal 0.088 0.055 Chinese Medicine 0.321 0.092 Chinese Ophthalmic Research 0.012 0.034 Chinese Optics Letters 0.722 0.077 Chinese Pharmaceutical Journal 0.060 0.039 Chinese Pharmacological Bulletin 0.140 0.061 Chinese Physics 0.700 0.064 Chinese Physics B 0.466 0.060 Chinese Physics C 0.085 0.044 Chinese Physics Letters 0.408 0.075 Chinese Science Bulletin 0.265 0.069 Chinese Sociology and Anthropology 0.170 0.037 Chinese Space Science and Technology 0.104 0.035 Chinese Studies in History 0.034 Chinese Traditional and Herbal Drugs 0.050 0.039 Chinese-German Journal of Clinical Oncology 0.032 0.036 Chinesische Medizin 0.100 0.037 Chirality 0.511 0.193 Chiropractic and Osteopathy 0.333 0.055 Chirurgia 0.028 0.037 Chirurgia del Piede 0.034 Chirurgia italiana 0.120 0.048 Chirurgia narzadw ruchu i ortopedia polska 0.038 0.037 Chirurgia Polska 0.000 0.034 Chirurgie de la Main 0.342 0.042 Chirurgische Gastroenterologie mit Interdisziplinaren Gesprachen 0.062 0.037 Chirurgische Praxis 0.024 0.035 Chongqing Jianzhu Daxue Xuebao/Journal of Chongqing Jianzhu University 0.066 0.035 Chromatographia 0.253 0.107 Chromosoma 0.943 2.057 Chromosome Research 0.673 0.675 Chronic Diseases in Canada 0.394 0.093 Chronic illness 0.421 0.104 Chronic respiratory disease. 0.325 0.106 Chronicle of Higher Education 0.126 0.035 Chronique d'Egypte 0.035 Chronobiology International 0.948 0.264 Chuan Bo Li Xue/Journal of Ship Mechanics 0.400 0.039 Chudoku kenkyu : Chudoku Kenkyukai jun kikanshi = The Japanese journal of toxicology 0.067 0.040 Chung Cheng Ling Hsueh Pao/Journal of Chung Cheng Institute of Technology 0.201 0.034 Chungara 0.183 0.039 Church History 0.053 0.034 Ciencia da Informacao 0.094 0.034 Ciencia del Suelo 0.026 0.034 Ciencia e Investigacion Agraria 0.044 0.035 Ciencia e Saude Coletiva 0.138 0.043 Ciencia e Tecnologia de Alimentos 0.051 0.038 Ciencia Florestal 0.093 0.036 Ciencia Ginecologika 0.000 0.034 Ciencia Rural 0.142 0.040 Ciencia y Enfermeria 0.063 0.036 Ciencia y Tecnologia Alimentaria 0.204 0.044 Ciencia y Tecnologia Pharmaceutica 0.034 Ciencias Marinas 0.200 0.045 CIN-Computers Informatics Nursing 0.258 0.054 Cinema Journal 0.211 0.034 Cinesiologie 0.034 Circuit World 0.182 0.049 Circuits, Systems, and Signal Processing 0.461 0.049 Circular Farmaceutica 0.034 Circulation 2.551 1.499 Circulation Journal 0.768 0.253 Circulation Research 1.588 1.496 CIRP Annals - Manufacturing Technology 1.405 0.083 CIRP Journal of Manufacturing Science and Technology 0.523 0.062 Cirugia Espanola 0.130 0.046 Ciruga peditrica : organo oficial de la Sociedad Espaola de Ciruga Peditrica 0.119 0.041 Cirugia Plastica Ibero-Latinoamericana 0.115 0.038 Cirugia y cirujanos 0.049 0.045 Cithara 0.034 Cities 0.648 0.044 Citizenship Studies 0.507 0.039 City 0.342 0.038 City and Society 0.305 0.037 Civil Engineering and Environmental Systems 0.464 0.043 Civil War history 0.000 0.034 CLA Journal 0.034 Cladistics 1.184 0.239 Classical and Quantum Gravity 0.534 0.088 Classical Bulletin 0.000 0.034 Classical Journal 0.053 0.034 Classical Philology 0.142 0.034 Classical Quarterly 0.023 0.034 Classical World 0.034 Clay Minerals 0.596 0.064 Clays and Clay Minerals 0.736 0.084 CLB Chemie in Labor und Biotechnik 0.034 Clean - Soil, Air, Water 0.392 0.078 Clean Air 0.011 0.036 Clean Technologies and Environmental Policy 0.256 0.054 Cleft Palate-Craniofacial Journal 0.560 0.114 Cleveland Clinic Journal of Medicine 0.227 0.106 Climacteric 0.615 0.143 Climate Dynamics 1.164 0.412 Climate of the Past 0.480 0.270 Climate of the Past Discussions 0.155 0.206 Climate Policy 0.681 0.098 Climate Research 0.805 0.154 Climatic Change 0.987 0.217 Clin Med Res 0.628 0.294 Clinica Chimica Acta 0.762 0.268 Clinica e Investigacion en Arteriosclerosis 0.021 0.034 Clinica e Investigacion en Ginecologia y Obstetricia 0.004 0.034 Clinica Terapeutica 0.116 0.049 Clinical & experimental optometry : journal of the Australian Optometrical Association 0.420 0.086 Clinical & translational oncology : official publication of the Federation of Spanish Oncology Societies and of the National Cancer Institute of Mexico. 0.191 0.123 Clinical advances in hematology & oncology : H&O 0.119 0.134 Clinical allergy and immunology 0.294 0.105 Clinical Anatomy 0.634 0.082 Clinical and Applied Thrombosis/Hemostasis 0.413 0.150 Clinical and Developmental Immunology 0.432 0.299 Clinical and Experimental Allergy 0.869 0.405 Clinical and Experimental Allergy Reviews 0.142 0.096 Clinical and Experimental Dermatology 0.336 0.108 Clinical and experimental hypertension (New York, N.Y. : 1993) 0.332 0.105 Clinical and Experimental Immunology 0.676 0.370 Clinical and Experimental Medicine 0.491 0.195 Clinical and Experimental Metastasis 0.687 0.661 Clinical and Experimental Nephrology 0.426 0.167 Clinical and Experimental Obstetrics and Gynecology 0.182 0.058 Clinical and Experimental Ophthalmology 0.339 0.119 Clinical and Experimental Pharmacology and Physiology 0.495 0.214 Clinical and Experimental Rheumatology 0.490 0.189 Clinical and Investigative Medicine 0.279 0.116 Clinical and Molecular Allergy 0.349 0.132 Clinical and Refractive Optometry 0.034 Clinical and Surgical Ophthalmology 0.000 0.034 Clinical and Transfusion Haematology 0.034 Clinical and Vaccine Immunology 0.675 0.275 Clinical Autonomic Research 0.502 0.126 Clinical Biochemistry 0.554 0.214 Clinical Biomechanics 1.071 0.128 Clinical breast cancer 0.286 0.197 Clinical calcium 0.045 0.041 Clinical Cancer Research 1.207 1.127 Clinical cardiology 0.372 0.150 Clinical Case Studies 0.151 0.040 Clinical Cases in Mineral and Bone Metabolism 0.034 Clinical chemistry 1.424 0.622 Clinical Chemistry and Laboratory Medicine 0.498 0.177 Clinical Child and Family Psychology Review 1.371 0.208 Clinical Child Psychology and Psychiatry 0.294 0.064 Clinical Chiropractic 0.158 0.072 Clinical colorectal cancer 0.273 0.211 Clinical Cornerstone 0.312 0.139 Clinical Cytometry 0.450 0.232 Clinical Dermatology: Retinoids and other Treatments 0.034 Clinical Diabetes 0.203 0.099 Clinical Drug Investigation 0.353 0.125 Clinical Dysmorphology 0.319 0.141 Clinical EEG and Neuroscience 0.333 0.107 Clinical Endocrinology 0.761 0.338 Clinical Gastroenterology and Hepatology 0.865 0.483 Clinical Genetics 0.656 0.484 Clinical Genitourinary Cancer 0.336 0.286 Clinical Geriatrics 0.042 0.041 Clinical Gerontologist 0.332 0.041 Clinical Governance 0.092 0.042 Clinical Hemorheology and Microcirculation 0.453 0.124 Clinical Imaging 0.457 0.089 Clinical Immunology 0.657 0.518 Clinical implant dentistry and related research 0.790 0.111 Clinical Infectious Diseases 1.565 0.815 Clinical interventions in aging 0.206 0.104 Clinical journal of oncology nursing 0.182 0.073 Clinical Journal of Pain 0.947 0.234 Clinical journal of sport medicine : official journal of the Canadian Academy of Sport Medicine 0.431 0.100 Clinical journal of the American Society of Nephrology : CJASN 0.845 0.426 Clinical Laboratory 0.276 0.115 Clinical Laboratory Science 0.051 0.040 Clinical Leadership and Management Review 0.016 0.035 Clinical Linguistics and Phonetics 0.330 0.047 Clinical Lung Cancer 0.292 0.256 Clinical Lymphoma and Myeloma 0.206 0.136 Clinical medicine (London, England) 0.234 0.078 Clinical Microbiology and Infection 0.936 0.382 Clinical Microbiology Newsletter 0.146 0.080 Clinical Microbiology Reviews 4.701 1.899 Clinical MRI 0.034 Clinical nephrology 0.353 0.128 Clinical Neurology 0.048 0.050 Clinical Neurology and Neurosurgery 0.425 0.125 Clinical Neuropathology 0.278 0.122 Clinical Neuropharmacology 0.389 0.215 Clinical Neurophysiology 1.137 0.260 Clinical Neuropsychiatry 0.144 0.072 Clinical Neuropsychologist 0.522 0.099 Clinical neurosurgery 0.090 0.050 Clinical Nuclear Medicine 0.220 0.098 Clinical nurse specialist CNS 0.303 0.047 Clinical nursing research 0.438 0.070 Clinical Nutrition 0.934 0.235 Clinical Nutrition, Supplement 0.363 0.074 Clinical Obstetrics and Gynecology 0.734 0.211 Clinical Oncology 0.528 0.214 Clinical Oral Implants Research 1.315 0.162 Clinical oral investigations 0.657 0.123 Clinical Orthopaedics and Related Research 0.867 0.149 Clinical otolaryngology : official journal of ENT-UK ; official journal of Netherlands Society for Oto-Rhino-Laryngology & Cervico-Facial Surgery. 0.656 0.126 Clinical Pediatric Emergency Medicine 0.094 0.045 Clinical Pediatric Endocrinology 0.071 0.035 Clinical Pediatrics 0.249 0.078 Clinical Pharmacokinetics 1.056 0.398 Clinical Pharmacology and Therapeutics 1.228 0.731 Clinical Physiology and Functional Imaging 0.498 0.111 Clinical Practice and Epidemiology in Mental Health 0.325 0.100 Clinical privilege white paper 0.034 Clinical Psychology 0.034 Clinical Psychology and Psychotherapy 0.546 0.060 Clinical Psychology Review 1.832 0.264 Clinical Psychology: Science and Practice 0.851 0.091 Clinical Psychopharmacology and Neuroscience 0.061 0.037 Clinical Pulmonary Medicine 0.092 0.046 Clinical Radiology 0.663 0.159 Clinical Rehabilitation 0.697 0.155 Clinical Research and Regulatory Affairs 0.075 0.039 Clinical Research in Cardiology 0.549 0.214 Clinical Reviews in Allergy and Immunology 0.506 0.296 Clinical Reviews in Bone and Mineral Metabolism 0.043 0.046 Clinical Rheumatology 0.449 0.150 Clinical Risk 0.017 0.034 Clinical Schizophrenia and Related Psychoses 0.074 0.058 Clinical Science 0.802 0.431 Clinical Simulation in Nursing 0.208 0.035 Clinical Social Work Journal 0.250 0.038 Clinical Supervisor 0.115 0.036 Clinical Teacher 0.313 0.063 Clinical Techniques in Equine Practice 0.319 0.063 Clinical Therapeutics 0.695 0.242 Clinical Toxicology 0.455 0.107 Clinical Transplantation 0.511 0.214 Clinical transplants 0.345 0.116 Clinical trials (London, England) 0.565 0.322 Clinician in Management 0.070 0.038 Clinics (So Paulo, Brazil) 0.344 0.095 Clinics in Chest Medicine 0.626 0.243 Clinics in Colon and Rectal Surgery 0.013 0.038 Clinics in Dermatology 0.758 0.241 Clinics in Geriatric Medicine 0.310 0.109 Clinics in Laboratory Medicine 0.394 0.216 Clinics in liver disease 0.806 0.339 Clinics in Perinatology 0.743 0.163 Clinics in Plastic Surgery 0.450 0.081 Clinics in Podiatric Medicine and Surgery 0.435 0.053 Clinics in Sports Medicine 0.555 0.101 Cliniques Mediterraneennes 0.247 0.035 Clio medica (Amsterdam, Netherlands) 0.000 0.034 Cliometrica 0.230 0.049 Cloning and Stem Cells 0.553 0.302 Clothing & Textiles Research Journal 0.474 0.038 Cluster Computing 0.372 0.041 CMAJ : Canadian Medical Association journal = journal de l'Association medicale canadienne 0.780 0.047 CME Journal Gastroenterology, Hepatology and Nutrition 0.000 0.034 CME Journal Geriatric Medicine 0.032 0.036 CME Orthopaedics 0.000 0.034 CMES - Computer Modeling in Engineering and Sciences 0.741 0.068 CNS and Neurological Disorders - Drug Targets 0.739 0.448 CNS Drug Reviews 1.408 0.592 CNS Drugs 0.850 0.303 CNS Neuroscience and Therapeutics 0.421 0.172 CNS Spectrums 0.382 0.160 Coastal Engineering 1.144 0.081 Coastal Engineering Journal 0.538 0.044 Coastal Management 0.445 0.051 Coatings Magazine 0.034 Cochlear Implants International 0.359 0.072 Cochrane database of systematic reviews (Online : Update Software) 0.348 0.148 Cocuk Cerrahisi Dergisi 0.034 Cocuk Sagligi ve Hastaliklari Dergisi 0.027 0.035 Cognition 1.319 0.327 Cognition and Emotion 0.756 0.126 Cognition and Instruction 1.656 0.064 Cognition, Technology and Work 0.364 0.056 Cognitive and Behavior Practice 0.387 0.068 Cognitive and Behavioral Neurology 0.347 0.110 Cognitive Behaviour Therapy 0.631 0.113 Cognitive Development 0.879 0.092 Cognitive Linguistics 0.510 0.037 Cognitive Neurodynamics 0.384 0.116 Cognitive Neuropsychiatry 0.550 0.156 Cognitive Neuropsychology 0.721 0.181 Cognitive Processing 0.261 0.115 Cognitive Psychology 2.013 0.294 Cognitive Science 0.923 0.133 Cognitive Systems Research 0.422 0.106 Cognitive Therapy and Research 0.598 0.083 Cognitive, Affective and Behavioral Neuroscience 1.083 0.573 Cold Regions Science and Technology 0.684 0.056 Cold Spring Harbor Protocols 0.048 0.056 Cold Spring Harbor Symposia on Quantitative Biology 0.520 1.143 Coleopterists Bulletin 0.122 0.041 Collectanea Mathematica 0.167 0.038 Collection Building 0.333 0.043 Collection Management 0.010 0.035 Collection of Czechoslovak Chemical Communications 0.224 0.069 Collection of Technical Papers - AIAA/ASME/ASCE/AHS/ASC Structures, Structural Dynamics and Materials Conference 0.009 0.034 College and Research Libraries 0.324 0.047 College and Research Libraries News 0.106 0.038 College and Undergraduate Libraries 0.067 0.041 College Composition and Communication 0.560 0.036 College English 0.360 0.036 Collegian (Royal College of Nursing, Australia) 0.429 0.047 Collegium Antropologicum 0.106 0.055 Colloid and Polymer Science 0.712 0.149 Colloid Journal of the Russian Academy of Sciences: Kolloidnyi Zhurnal 0.098 0.046 Colloids and Surfaces A: Physicochemical and Engineering Aspects 0.794 0.137 Colloids and Surfaces B: Biointerfaces 0.793 0.171 Colloquia Germanica 0.034 Colombia Medica 0.041 0.035 Colon and Rectum 0.034 Colonial Latin American Review 0.034 Coloproctology 0.000 0.034 Color Research and Application 0.557 0.063 Colorado nurse (1985) 0.034 Coloration Technology 0.498 0.063 Colorectal Disease 0.869 0.206 Columbia Journal of Law and Social Problems 0.034 Columbia Journal of Transnational Law 0.098 0.034 Columbia Law Review 0.378 0.069 Coluna/ Columna 0.027 0.034 Combinatorial Chemistry and High Throughput Screening 0.371 0.247 Combinatorica 1.121 0.103 Combinatorics Probability and Computing 1.056 0.066 Combustion and Flame 1.726 0.122 Combustion Science and Technology 0.571 0.068 Combustion Theory and Modelling 0.872 0.079 Combustion, Explosion and Shock Waves 0.265 0.046 Comitatus 0.034 Commentarii Mathematici Helvetici 0.637 0.044 Commentary (New York, N.Y.) 0.052 0.034 Comments on Inorganic Chemistry 0.506 0.127 Common Market Law Review 0.710 0.034 Commonwealth and Comparative Politics 0.392 0.040 Commonwealth Law Bulletin 0.034 Communicable diseases intelligence 0.304 0.118 Communicating nursing research 0.000 0.034 Communication & medicine. 0.353 0.054 Communication Education 0.471 0.040 Communication Law and Policy 0.069 0.034 Communication Monographs 0.662 0.054 Communication Reports 0.233 0.046 Communication Research 1.071 0.062 Communication Theory 0.960 0.059 Communications 0.137 0.042 Communications in agricultural and applied biological sciences 0.030 0.038 Communications in Algebra 0.541 0.044 Communications in Analysis and Geometry 0.452 0.042 Communications in Applied Analysis 0.260 0.042 Communications in Biometry and Crop Science 0.176 0.037 Communications in Computational Physics 0.898 0.105 Communications in Contemporary Mathematics 0.572 0.045 Communications in Mathematical Physics 1.119 0.095 Communications in Mathematical Sciences 0.541 0.062 Communications in Nonlinear Science and Numerical Simulation 1.146 0.076 Communications in Numerical Methods in Engineering 0.365 0.050 Communications in Partial Differential Equations 1.108 0.063 Communications in Soil Science and Plant Analysis 0.247 0.046 Communications in Statistics - Theory and Methods 0.481 0.045 Communications in Statistics Part B: Simulation and Computation 0.279 0.052 Communications in Theoretical Physics 0.209 0.049 Communications Law 0.000 0.034 Communications News 0.033 Communications of the ACM 1.173 0.062 Communications of the Korean Mathematical Society 0.058 0.034 Communications on Applied Nonlinear Analysis 0.261 0.041 Communications on Pure and Applied Analysis 0.689 0.049 Communications on Pure and Applied Mathematics 1.996 0.165 Communist and Post-Communist Studies 0.379 0.037 Community and Junior College Libraries 0.034 Community Care 0.034 Community College Review 0.339 0.043 Community Dental Health 0.328 0.061 Community Dentistry and Oral Epidemiology 1.279 0.143 Community Development Journal 0.326 0.039 Community Ecology 0.329 0.064 Community Eye Health Journal 0.066 0.048 Community Mental Health Journal 0.476 0.072 Community Oncology 0.032 0.046 Community practitioner : the journal of the Community Practitioners' & Health Visitors' Association. 0.053 0.041 Community, Work and Family 0.292 0.038 Comparative American Studies 0.034 Comparative and Functional Genomics 0.144 0.093 Comparative Biochemistry and Physiology - A Molecular and Integrative Physiology 0.750 0.143 Comparative Biochemistry and Physiology - C Toxicology and Pharmacology 0.904 0.154 Comparative Biochemistry and Physiology - Part D: Genomics and Proteomics 0.435 0.163 Comparative biochemistry and physiology. Part B, Biochemistry & molecular biology 0.650 0.140 Comparative Clinical Pathology 0.171 0.040 Comparative Drama 0.034 Comparative economic studies 0.593 0.038 Comparative Education 0.637 0.039 Comparative Education Review 0.600 0.038 Comparative Hepatology 0.453 0.169 Comparative Immunology, Microbiology and Infectious Diseases 0.696 0.222 Comparative Literature 0.132 0.034 Comparative Literature Studies 0.000 0.034 Comparative Medicine 0.298 0.105 Comparative Parasitology 0.173 0.042 Comparative Political Studies 0.906 0.046 Comparative Politics 0.474 0.038 Comparative Social Research 0.178 0.036 Comparative Sociology 0.334 0.039 Comparative Studies in Society and History 0.488 0.037 Comparative Studies of South Asia, Africa and the Middle East 0.252 0.036 Compare 0.529 0.037 COMPEL - The International Journal for Computation and Mathematics in Electrical and Electronic Engineering 0.280 0.043 Compendium of continuing education in dentistry (Jamesburg, N.J. : 1995) 0.205 0.052 Compendium: Continuing Education For Veterinarians 0.151 0.040 Complementary Therapies in Clinical Practice 0.497 0.086 Complementary Therapies in Medicine 0.576 0.095 Complex Analysis and Operator Theory 0.536 0.049 Complexity 0.224 0.131 Composite Interfaces 0.271 0.060 Composite Structures 1.667 0.093 Composites Part A: Applied Science and Manufacturing 1.221 0.121 Composites Part B: Engineering 1.077 0.108 Composites Science and Technology 1.454 0.160 Compositio Mathematica 1.057 0.050 Compost Science and Utilization 0.403 0.056 Comprehensive Ophthalmology Update 0.142 0.066 Comprehensive Psychiatry 0.782 0.153 Comprehensive Reviews in Food Science and Food Safety 0.836 0.099 Comprehensive Therapy 0.056 0.046 Comptes Rendus - Biologies 0.391 0.146 Comptes Rendus - Mecanique 0.481 0.054 Comptes Rendus - Palevol 0.253 0.047 Comptes Rendus Chimie 0.323 0.123 Comptes Rendus de L'Academie Bulgare des Sciences 0.056 0.038 Comptes Rendus Geoscience 0.389 0.058 Comptes Rendus Mathematique 0.444 0.046 Comptes Rendus Physique 0.239 0.058 Computational and Applied Mathematics 0.417 0.048 Computational and Mathematical Methods in Medicine 0.291 0.264 Computational and Mathematical Organization Theory 0.788 0.069 Computational Biology and Chemistry 0.379 0.208 Computational Complexity 0.600 0.051 Computational Economics 0.463 0.050 Computational Geometry: Theory and Applications 0.532 0.054 Computational Geosciences 0.756 0.068 Computational Intelligence 0.792 0.064 Computational Intelligence and Neuroscience 0.449 0.100 Computational Linguistics 1.604 0.091 Computational Management Science 0.841 0.055 Computational Materials Science 0.930 0.103 Computational Mathematics and Mathematical Physics 0.154 0.038 Computational Mathematics and Modeling 0.009 0.034 Computational Mechanics 0.831 0.076 Computational Optimization and Applications 1.230 0.062 Computational Science and Discovery 0.142 0.044 Computational Statistics 0.441 0.067 Computational Statistics and Data Analysis 0.892 0.094 Computer Aided Geometric Design 1.686 0.077 Computer Aided Surgery 0.559 0.120 Computer Animation and Virtual Worlds 0.341 0.043 Computer Applications in Engineering Education 0.579 0.038 Computer Assisted Language Learning 0.605 0.043 Computer Assisted Mechanics and Engineering Sciences 0.138 0.037 Computer Communication Review 1.665 0.092 Computer Communications 0.508 0.048 Computer Fraud and Security 0.069 0.035 Computer Graphics Forum 0.818 0.063 Computer Journal 0.590 0.058 Computer Languages, Systems and Structures 1.054 0.041 Computer Law and Security Report 0.070 0.037 Computer Methods and Programs in Biomedicine 0.651 0.120 Computer Methods in Applied Mechanics and Engineering 1.109 0.100 Computer methods in biomechanics and biomedical engineering 0.536 0.101 Computer Music Journal 0.093 0.035 Computer Networks 0.998 0.063 Computer Physics Communications 0.922 0.150 Computer Science - Research and Development 0.095 0.036 Computer Science Review 0.174 0.068 Computer Software 0.034 Computer Speech and Language 0.710 0.063 Computer Standards and Interfaces 0.945 0.054 Computer Supported Cooperative Work: CSCW: An International Journal 0.497 0.044 Computer Systems Science and Engineering 0.210 0.039 Computer Vision and Image Understanding 1.302 0.080 Computer-Aided Civil and Infrastructure Engineering 0.592 0.055 Computer-Aided Design and Applications 0.385 0.044 Computerized Medical Imaging and Graphics 0.716 0.102 Computers and Chemical Engineering 1.333 0.088 Computers and Composition 0.745 0.035 Computers and Concrete 0.341 0.040 Computers and Education 1.301 0.055 Computers and Electrical Engineering 0.513 0.041 Computers and Electronics in Agriculture 0.986 0.076 Computers and Fluids 1.278 0.085 Computers and Geosciences 0.852 0.064 Computers and Geotechnics 1.472 0.056 Computers and Graphics (Pergamon) 0.491 0.046 Computers and Industrial Engineering 1.011 0.058 Computers and Mathematics with Applications 0.645 0.060 Computers and Operations Research 1.639 0.079 Computers and Security 0.968 0.055 Computers and Structures 1.190 0.075 Computers in Biology and Medicine 0.662 0.090 Computers in Cardiology 0.104 0.043 Computers in Education Journal 0.344 0.035 Computers in Entertainment 0.195 0.039 Computers in Human Behavior 0.862 0.056 Computers in Industry 1.157 0.060 Computers in the Schools 0.086 0.035 Computers, Environment and Urban Systems 0.675 0.052 Computers, Materials and Continua 0.470 0.074 Computing (Vienna/New York) 0.456 0.051 Computing and Control Engineering Journal 0.017 0.034 Computing and Informatics 0.307 0.040 Computing and Visualization in Science 0.400 0.062 Computing in Science and Engineering 0.296 0.063 Comunicar 0.034 CONCAWE Reports 0.034 CONCAWE Review 0.034 Concepts in Magnetic Resonance Part A: Bridging Education and Research 0.554 0.163 Concepts in Magnetic Resonance Part B: Magnetic Resonance Engineering 0.522 0.167 Concurrency Computation Practice and Experience 0.521 0.053 Concurrent Engineering Research and Applications 0.534 0.045 Concurrent Systems Engineering Series 0.036 0.035 Condensed Matter Physics 0.216 0.050 Condor 0.685 0.096 Conference Digest - IEEE International Semiconductor Laser Conference 0.056 0.046 Conference on Human Factors in Computing Systems - Proceedings 0.171 0.038 Conference on Quantum Electronics and Laser Science (QELS) - Technical Digest Series 0.000 0.033 Conference Proceedings - IEEE Applied Power Electronics Conference and Exposition - APEC 0.453 0.049 Conference Proceedings - International Conference on Indium Phosphide and Related Materials 0.064 0.041 Conference Proceedings - Lasers and Electro-Optics Society Annual Meeting-LEOS 0.043 0.039 Conference Proceedings from the International Symposium for Testing and Failure Analysis 0.027 0.034 Conference Record - IAS Annual Meeting (IEEE Industry Applications Society) 0.199 0.041 Conference Record - IEEE Instrumentation and Measurement Technology Conference 0.246 0.038 Conference Record of the Annual ACM Symposium on Principles of Programming Languages 0.368 0.042 Conference Record of the Asilomar Conference on Signals, Systems and Computers 0.134 0.038 Confinia Cephalalgica 0.034 Conflict Management and Peace Science 0.475 0.042 Conflict Resolution Quarterly 0.154 0.038 Confluencia 0.034 Conformal Geometry and Dynamics 0.418 0.039 Confrontations Psychiatriques 0.034 Congenital anomalies 0.266 0.120 Congenital Heart Disease 0.214 0.097 Congestive Heart Failure 0.215 0.118 Connecticut medicine 0.074 0.047 Connection Science 0.123 0.043 Connective Tissue Research 0.413 0.155 Connector Specifier 0.034 Connexions 0.000 0.034 Consciousness and Cognition 0.670 0.194 Conservation Biology 1.451 0.290 Conservation Genetics 0.503 0.108 Conservation Science Western Australia 0.092 0.035 Constitutional Political Economy 0.268 0.035 Constraints 1.128 0.084 Construction and Building Materials 1.357 0.068 Construction History 0.035 Construction Management and Economics 0.384 0.040 Constructive Approximation 0.947 0.073 Consultant 0.004 0.034 Consultant Pharmacist 0.088 0.053 Consulting Psychology Journal 0.390 0.039 Consumer reports 0.005 0.036 Contact Dermatitis 0.581 0.114 Contact Lens and Anterior Eye 0.522 0.105 Contemporary Accounting Research 0.872 0.047 Contemporary British History 0.158 0.034 Contemporary Chinese Thought 0.000 0.034 Contemporary Clinical Trials 0.583 0.197 Contemporary Economic Policy 0.498 0.045 Contemporary Educational Psychology 0.969 0.054 Contemporary European History 0.207 0.034 Contemporary Family Therapy 0.264 0.036 Contemporary French and Francophone Studies 0.067 0.034 Contemporary Hypnosis 0.370 0.066 Contemporary Islam 0.292 0.035 Contemporary Issues in Early Childhood 0.034 Contemporary Literature 0.000 0.035 Contemporary Music Review 0.036 0.034 Contemporary nurse : a journal for the Australian nursing profession 0.159 0.042 Contemporary Ob-Gyn 0.022 0.037 Contemporary Pacific 0.772 0.037 Contemporary Pediatrics 0.001 0.034 Contemporary Physics 1.146 0.161 Contemporary Psychoanalysis 0.129 0.035 Contemporary Rehab 0.034 Contemporary South Asia 0.172 0.034 Contemporary Studies in Economic and Financial Analysis 0.030 0.034 Contemporary Theatre Review 0.034 Contemporary urology 0.034 Continental Philosophy Review 0.072 0.036 Continental Shelf Research 0.887 0.107 Continuing Education in Anaesthesia, Critical Care and Pain 0.087 0.041 Continuity and Change 0.076 0.034 CONTINUUM Lifelong Learning in Neurology 0.076 0.048 Continuum Mechanics and Thermodynamics 1.068 0.092 Contraception 0.818 0.215 Contrast media & molecular imaging 0.504 0.316 Contribution - University of California, Water Resources Center 0.039 Contributions of the Astronomical Observatory Skalnate Pleso 0.077 0.045 Contributions to Geophysics and Geodesy 0.143 0.040 Contributions to Indian Sociology 0.174 0.036 Contributions to microbiology 0.442 0.322 Contributions to Mineralogy and Petrology 1.157 0.207 Contributions to Nephrology 0.441 0.152 Contributions to Plasma Physics 0.753 0.083 Contributions to Political Economy 0.869 0.041 Contributions to the History of Concepts 0.176 0.034 Contributions to Zoology 0.331 0.062 Control and Cybernetics 0.157 0.039 Control and Intelligent Systems 0.129 0.035 Control Engineering Practice 1.680 0.082 Controle and Automacao 0.030 0.034 Convergence 0.317 #N/A Cooperation and Conflict 0.397 0.037 Coordination Chemistry Reviews 2.865 0.714 COPD 0.325 0.234 Copeia 0.432 0.068 Copenhagen Journal of Asian Studies 0.000 0.034 Cor et Vasa 0.077 0.035 Coral Reefs 0.586 0.128 Core Evidence 0.009 0.035 Corella 0.151 0.042 Cornea 0.733 0.210 Cornell Hospitality Quarterly 0.602 0.038 Cornell international law journal 0.060 0.034 Cornell journal of law and public policy 0.941 0.065 Cornell Law Review 0.281 0.035 Coronary Artery Disease 0.281 0.168 Corporate Communications 0.132 0.035 Corporate Governance 0.459 0.041 Corporate Social Responsibility and Environmental Management 0.800 0.046 Corpus Linguistics and Linguistic Theory 0.431 #N/A Corrosion 0.365 0.060 Corrosion Engineering Science and Technology 0.303 0.051 Corrosion Reviews 0.183 0.051 Corrosion Science 1.176 0.139 Corrosion Science and Protection Technology 0.077 0.037 Cortex 0.740 0.270 Cosmetic Dermatology 0.042 0.038 Cosmic Research 0.166 0.045 COSSMA 0.034 Cost Effectiveness and Resource Allocation 0.650 0.150 Costume 0.034 Cough 0.412 0.089 Counseling Psychologist 1.292 0.061 Counselling Psychology Quarterly 0.184 0.041 CPD Anaesthesia 0.056 0.039 CPD Bulletin Cellular Pathology 0.025 0.047 CPD Bulletin Clinical Biochemistry 0.034 CPD Journal Radiology Update 0.034 CPEM Digest (Conference on Precision Electromagnetic Measurements) 0.309 0.041 Cranio 0.420 0.057 CRC Reports 0.035 Creative nursing 0.076 0.037 Creativity Research Journal 0.504 0.043 Cretaceous Research 0.810 0.054 Crime and Delinquency 1.278 0.055 Crime and Justice 0.466 0.054 Crime, Law and Social Change 0.303 0.037 Crime, Media, Culture 0.284 0.036 Criminal Behaviour and Mental Health 0.265 0.060 Criminal Justice and Behavior 0.654 0.080 Criminal Justice Policy Review 0.226 0.036 Criminal Justice Review 0.357 0.046 Criminal Justice Studies 0.084 0.040 Criminal Law and Philosophy 0.246 0.034 Criminal Law Forum 0.154 0.034 Criminal Law Review 0.083 0.034 Criminology 2.005 0.064 Criminology and Criminal Justice 0.807 0.044 Crisis 0.809 0.124 Critica d'Arte 0.034 Critica Hispanica 0.034 Critical Asian Studies 0.488 0.036 Critical Care 0.766 0.312 Critical care and resuscitation : journal of the Australasian Academy of Critical Care Medicine 0.187 0.082 Critical Care and Shock 0.051 0.036 Critical Care Clinics 0.489 0.162 Critical Care Medicine 1.525 0.557 Critical care nurse 0.263 0.057 Critical care nursing clinics of North America 0.131 0.050 Critical Care Nursing Quarterly 0.228 0.065 Critical Criminology 0.565 0.038 Critical Horizons 0.000 0.034 Critical Inquiry 0.120 0.035 Critical Pathways in Cardiology 0.192 0.121 Critical Perspectives on Accounting 0.401 0.037 Critical Perspectives on International Business 0.098 0.036 Critical public health 0.315 0.050 Critical Quarterly 0.000 0.034 Critical Review 0.240 0.039 Critical Reviews in Analytical Chemistry 0.926 0.277 Critical Reviews in Biochemistry and Molecular Biology 2.196 2.768 Critical Reviews in Biomedical Engineering 0.389 0.106 Critical Reviews in Biotechnology 1.238 0.359 Critical Reviews in Clinical Laboratory Sciences 1.040 0.569 Critical Reviews in Environmental Science and Technology 3.402 0.420 Critical Reviews in Eukaryotic Gene Expression 0.492 0.414 Critical Reviews in Food Science and Nutrition 1.422 0.222 Critical Reviews in Immunology 0.684 0.620 Critical Reviews in Microbiology 0.755 0.202 Critical Reviews in Neurobiology 0.320 0.256 Critical Reviews in Oncogenesis 0.451 0.639 Critical Reviews in Oncology/Hematology 1.110 0.635 Critical Reviews in Physical and Rehabilitation Medicine 0.078 0.036 Critical Reviews in Plant Sciences 1.345 0.352 Critical Reviews in Solid State and Materials Sciences 2.003 0.481 Critical Reviews in Therapeutic Drug Carrier Systems 0.884 0.299 Critical Reviews in Toxicology 1.243 0.405 Critical Social Policy 0.762 0.048 Critical Sociology 0.261 0.036 Critical Studies in Media Communication 0.443 0.037 Criticism 0.000 0.034 Critique 0.144 #N/A Critique - Studies in Contemporary Fiction 0.034 Critique Internationale 0.019 #N/A Critique of Anthropology 0.358 0.036 Croatian Economic Survey 0.035 Croatian Journal of Forest Engineering 0.139 0.038 Croatian Medical Journal 0.266 0.087 Croatica Chemica Acta 0.363 0.062 Crop and Pasture Science 0.095 Crop Breeding and Applied Biotechnology 0.164 0.039 Crop Protection 0.654 0.071 Crop Science 0.781 0.118 Cross-Cultural Research 0.288 0.058 CrossTalk 0.179 0.036 CrossTalk 0.107 #N/A Crustaceana 0.260 0.043 Cryobiology 0.893 0.136 Cryogenics 0.768 0.068 Cryo-Letters 0.349 0.068 Cryosphere 0.115 0.062 Cryosphere Discussions 0.356 0.061 Cryptogamie, Bryologie 0.214 0.043 Cryptogamie, Mycologie 0.189 0.039 Cryptogamie: Algologie 0.360 0.060 Cryptologia 0.044 0.035 Crystal Growth and Design 1.115 0.250 Crystal Research and Technology 0.616 0.085 Crystallography Reports 0.076 0.045 Crystallography Reviews 0.685 0.159 CrystEngComm 0.673 0.205 CT y F - Ciencia, Tecnologia y Futuro 0.034 Cuadernos de Administracion 0.034 Cuadernos de biotica : revista oficial de la Asociacin Espaola de Biotica y tica Mdica 0.123 0.036 Cuadernos de Economia 0.034 Cuadernos de Economia - Latin American Journal of Economics 0.000 0.034 Cuadernos de Medicina Forense 0.018 0.034 Cuadernos del Cendes 0.034 Cuadernos Geograficos 0.000 0.034 Cuadernos Hispanoamericanos 0.037 0.034 Cultura Neolatina 0.034 Cultural and Social History 0.074 #N/A Cultural Anthropology 0.604 0.039 Cultural Critique 0.333 0.041 Cultural Diversity and Ethnic Minority Psychology 0.808 0.077 Cultural Dynamics 0.409 0.035 Cultural Geographies 0.348 0.041 Cultural Sociology 0.488 0.039 Cultural Studies 0.483 0.038 Cultural Studies - Critical Methodologies 0.283 0.035 Cultural Studies of Science Education 0.423 0.044 Culture and Psychology 0.211 0.048 Culture, Health and Sexuality 0.435 0.082 Culture, Medicine and Psychiatry 0.354 0.066 Curationis 0.150 0.046 Curr Alzheimer Res 0.679 0.619 Curr Diab Rep 0.314 0.212 Curr HIV Res 0.404 0.352 Curr Med Chem Anti-Canc Agents 0.886 0.420 Curr Neurovasc Res 0.468 0.305 Current 0.034 Current allergy and asthma reports 0.381 0.197 Current Anaesthesia and Critical Care 0.107 0.045 Current Analytical Chemistry 0.265 0.138 Current Anthropology 0.631 0.136 Current Applied Physics 0.575 0.102 Current atherosclerosis reports 0.440 0.262 Current Bioactive Compounds 0.305 0.093 Current Bioinformatics 0.204 0.136 Current Biology 1.378 2.792 Current Cancer Drug Targets 0.890 0.724 Current Cancer Therapy Reviews 0.042 0.046 Current cardiology reports 0.227 0.129 Current Cardiology Reviews 0.043 0.054 Current Chemical Biology 0.375 0.222 Current clinical pharmacology 0.437 0.232 Current Computer-Aided Drug Design 0.299 0.137 Current diabetes reviews 0.463 0.391 Current directions in autoimmunity 0.609 0.579 Current Directions in Psychological Science 1.409 0.304 Current drug delivery. 0.400 0.237 Current drug discovery technologies. 0.268 0.208 Current Drug Metabolism 0.790 0.329 Current drug safety 0.183 0.116 Current Drug Targets 0.735 0.556 Current Drug Therapy 0.083 0.069 Current Enzyme Inhibition 0.076 0.064 Current Eye Research 0.389 0.136 Current gastroenterology reports 0.372 0.147 Current gene therapy 0.762 0.773 Current Genetics 0.528 0.419 Current Genomics 0.216 0.178 Current heart failure reports 0.171 0.107 Current Hematologic Malignancy Reports 0.034 Current Hepatitis Reports 0.019 0.044 Current History 0.167 0.041 Current HIV/AIDS reports 0.326 0.252 Current hypertension reports 0.461 0.268 Current Hypertension Reviews 0.059 0.053 Current Immunology Reviews 0.076 0.127 Current Infectious Disease Reports 0.306 0.159 Current issues in intestinal microbiology 1.206 0.433 Current Issues in Language Planning 0.618 #N/A Current issues in molecular biology 1.140 0.878 Current Issues in Tourism 0.299 0.041 Current Medical Imaging Reviews 0.178 0.069 Current Medical Research and Opinion 0.536 0.228 Current Medical Research and Opinion, Supplement 0.501 0.141 Current Medicinal Chemistry 0.967 0.524 Current Microbiology 0.464 0.121 Current Molecular Medicine 0.848 0.817 Current Nanoscience 0.245 0.113 Current neurology and neuroscience reports 0.410 0.240 Current Neuropharmacology 0.367 0.260 Current Nutrition and Food Science 0.112 0.056 Current Oncology 0.228 0.183 Current oncology reports 0.333 0.241 Current opinion in allergy and clinical immunology 0.672 0.396 Current Opinion in Anaesthesiology 0.570 0.138 Current Opinion in Biotechnology 1.636 1.255 Current Opinion in Cardiology 0.579 0.274 Current opinion in cell biology 2.383 4.789 Current Opinion in Chemical Biology 1.813 1.365 Current Opinion in Clinical Nutrition and Metabolic Care 0.805 0.377 Current Opinion in Colloid and Interface Science 2.110 0.504 Current Opinion in Critical Care 0.720 0.230 Current Opinion in Drug Discovery and Development 0.784 0.614 Current Opinion in Endocrinology, Diabetes and Obesity 0.328 0.245 Current Opinion in Gastroenterology 0.710 0.446 Current Opinion in Genetics and Development 1.534 3.458 Current Opinion in Hematology 0.831 0.777 Current Opinion in HIV and AIDS 0.074 0.129 Current Opinion in Immunology 1.602 2.569 Current Opinion in Infectious Diseases 0.981 0.503 Current Opinion in Investigational Drugs 0.603 0.403 Current Opinion in Lipidology 0.954 0.796 Current Opinion in Microbiology 1.708 2.177 Current Opinion in Molecular Therapeutics 0.490 0.451 Current Opinion in Nephrology and Hypertension 0.731 0.378 Current opinion in neurobiology 1.735 2.181 Current Opinion in Neurology 1.063 0.539 Current Opinion in Obstetrics and Gynecology 0.691 0.220 Current Opinion in Oncology 0.620 0.408 Current Opinion in Ophthalmology 1.015 0.268 Current Opinion in Organ Transplantation 0.162 0.098 Current Opinion in Otolaryngology and Head and Neck Surgery 0.591 0.143 Current Opinion in Pediatrics 0.501 0.179 Current opinion in pharmacology 1.360 1.018 Current Opinion in Plant Biology 1.965 2.132 Current Opinion in Psychiatry 0.712 0.221 Current Opinion in Pulmonary Medicine 0.646 0.285 Current Opinion in Rheumatology 0.926 0.409 Current Opinion in Solid State and Materials Science 1.613 0.405 Current Opinion in Structural Biology 1.979 2.826 Current opinion in supportive and palliative care 0.143 0.076 Current Opinion in Urology 0.629 0.291 Current Organic Chemistry 0.724 0.234 Current Organic Synthesis 0.493 0.199 Current Orthopaedic Practice 0.119 0.047 Current osteoporosis reports 0.447 0.219 Current Paediatric Research 0.000 0.034 Current pain and headache reports 0.383 0.124 Current Pediatric Reviews 0.072 0.053 Current Perspectives in Social Theory 0.178 0.036 Current Pharmaceutical Analysis 0.184 0.097 Current pharmaceutical biotechnology 0.561 0.469 Current Pharmaceutical Design 0.755 0.440 Current Pharmacogenomics 0.075 0.067 Current Pharmacogenomics and Personalized Medicine 0.044 0.052 Current Problems in Cancer 0.717 0.371 Current Problems in Cardiology 0.943 0.428 Current Problems in Diagnostic Radiology 0.269 0.080 Current Problems in Pediatric and Adolescent Health Care 0.230 0.091 Current Problems in Surgery 0.299 0.154 Current Prostate Reports 0.029 0.041 Current Protein and Peptide Science 0.787 0.536 Current Proteomics 0.093 0.079 Current protocols in bioinformatics / editoral board, Andreas D. Baxevanis ... [et al.] 0.137 0.180 Current protocols in cell biology / editorial board, Juan S. Bonifacino ... [et al.] 0.074 0.130 Current protocols in cytometry / editorial board, J. Paul Robinson, managing editor ... [et al.] 0.007 0.036 Current protocols in human genetics / editorial board, Jonathan L. Haines ... [et al.] 0.061 0.233 Current protocols in immunology / edited by John E. Coligan ... [et al.] 0.213 0.197 Current protocols in microbiology 0.073 0.084 Current protocols in molecular biology / edited by Frederick M. Ausubel ... [et al.] 0.092 0.109 Current protocols in neuroscience / editorial board, Jacqueline N. Crawley ... [et al.] 0.075 0.101 Current protocols in nucleic acid chemistry / edited by Serge L. Beaucage ... [et al.] 0.047 0.039 Current Protocols in Pharmacology 0.053 #N/A Current protocols in protein science / editorial board, John E. Coligan ... [et al.] 0.081 0.079 Current protocols in stem cell biology 0.015 0.035 Current Protocols in Toxicology 0.068 0.039 Current psychiatry reports 0.418 0.224 Current Psychiatry Reviews 0.318 0.093 Current Psychology 0.166 0.041 Current Psychology Letters: Behaviour, Brain and Cognition 0.132 #N/A Current Research in Earth Sciences 0.284 #N/A Current Research in Social Psychology 0.178 0.038 Current Respiratory Medicine Reviews 0.047 0.046 Current Reviews for Nurse Anesthetists 0.098 0.037 Current rheumatology reports 0.439 0.234 Current Rheumatology Reviews 0.050 0.100 Current Science 0.277 0.060 Current Sexual Health Reports 0.004 0.036 Current Signal Transduction Therapy 0.101 0.056 Current Sociology 0.540 0.041 Current sports medicine reports. 0.203 0.061 Current stem cell research & therapy 0.406 0.461 Current Therapeutic Research - Clinical and Experimental 0.095 0.044 Current topics in developmental biology 0.897 1.181 Current topics in medicinal chemistry 0.824 0.440 Current Topics in Membranes 0.051 0.078 Current Topics in Microbiology and Immunology 0.732 0.892 Current Topics in Nutraceutical Research 0.093 0.051 Current Topics in Peptide and Protein Research 0.178 0.040 Current Topics in Pharmacology 0.051 0.044 Current Topics in Toxicology 0.203 0.058 Current Treatment Options in Cardiovascular Medicine 0.182 0.094 Current Treatment Options in Gastroenterology 0.300 0.124 Current Treatment Options in Neurology 0.282 0.102 Current treatment options in oncology 0.467 0.274 Current Trends in Immunology 0.026 0.039 Current urology reports 0.326 0.168 Current vascular pharmacology 0.542 0.291 Current Women's Health Reviews 0.023 0.043 Curriculum Inquiry 0.327 0.038 Cutaneous and Ocular Toxicology 0.271 0.074 Cutis 0.266 0.079 Cutter IT Journal 0.063 0.034 CVD Prevention and Control 0.202 0.063 CyberGeo 0.000 0.034 Cybermetrics 0.183 0.041 Cybernetics and Systems 0.248 0.038 Cybernetics and Systems Analysis 0.407 0.036 Cyberpsychology and Behavior 0.566 0.070 Cybium 0.230 0.044 Cyprus Review 0.277 0.037 Cytogenetic and Genome Research 0.365 0.326 CytoJournal 0.385 0.110 Cytokine 0.649 0.438 Cytokine & growth factor reviews 1.574 1.824 Cytologia 0.117 0.041 Cytometry Part A 0.678 0.327 Cytopathology 0.505 0.126 Cytotechnology 0.189 0.114 Cytotherapy 0.573 0.344 Czasopismo Geograficzne 0.051 0.034 Czech Journal of Animal Science 0.517 0.054 Czech Journal of Food Sciences 0.183 0.047 Czech Journal of Genetics and Plant Breeding 0.094 0.040 Czechoslovak Mathematical Journal 0.362 0.040 Dados 0.045 0.034 Daedalus 0.050 0.038 Dairy Industries International 0.024 0.034 Dairy Science and Technology 0.559 0.105 Dakar medical 0.000 0.034 Dalhousie Review 0.000 0.034 Dalian Haishi Daxue Xuebao/Journal of Dalian Maritime University 0.023 0.034 Dalian Ligong Daxue Xuebao/Journal of Dalian University of Technology 0.257 0.039 Dalton Transactions 0.462 0.133 Dance Chronicle 0.155 0.034 Dandao Xuebao/Journal of Ballistics 0.220 0.038 Danish Medical Bulletin 0.618 0.310 Dansk medicinhistorisk rbog 0.034 Dao 0.034 Daphnis 0.034 Daru 0.176 0.047 Darwiniana 0.110 0.038 Data and Knowledge Engineering 0.919 0.064 Data Compression Conference Proceedings 0.319 0.063 Data Mining and Knowledge Discovery 1.493 0.115 Data Science Journal 0.028 0.040 Dead Sea Discoveries 0.000 0.034 Deafness and Education International 0.346 0.044 Death Studies 0.378 0.054 Debatte 0.103 0.035 Decision Sciences 1.311 0.064 Decision Support Systems 1.340 0.069 Decisions in Economics and Finance 0.582 0.041 Deep-Sea Research Part I: Oceanographic Research Papers 1.064 0.155 Deep-Sea Research Part II: Topical Studies in Oceanography 0.898 0.296 Defence and Peace Economics 0.287 0.036 Defence Science Journal 0.116 0.038 Defense and Security Analysis 0.072 0.035 Degres 0.034 Dela 0.034 Delaware medical journal 0.042 0.038 DELTA Documentacao de Estudos em Linguistica Teorica e Aplicada 0.263 0.035 Dementia 0.171 0.038 Dementia and Geriatric Cognitive Disorders 0.688 0.269 Democratization 0.609 0.038 Demographic research [electronic resource] 0.495 0.051 Demography 1.452 0.112 Demokratizatsiya 0.156 0.036 Dendrobiology 0.036 0.035 Dendrochronologia 0.394 0.055 Dengue Bulletin 0.068 0.048 Dental assistant (Chicago, Ill. : 1994) 0.000 0.034 Dental Cadmos 0.030 0.034 Dental clinics of North America 0.462 0.073 Dental historian : Lindsay Club newsletter 0.034 Dental implantology update 0.013 0.040 Dental Materials 1.532 0.139 Dental Materials Journal 0.506 0.069 Dental Traumatology 0.924 0.061 Dental update 0.081 0.048 Dentistry today 0.055 0.038 Dentomaxillofacial Radiology 0.495 0.096 Denver University Law Review 0.140 0.034 Depression and Anxiety 0.713 0.183 Der Chirurg; Zeitschrift fr alle Gebiete der operativen Medizen 0.172 0.055 Der Hautarzt; Zeitschrift fr Dermatologie, Venerologie, und verwandte Gebiete 0.148 0.052 Dermatitis 0.355 0.097 Dermatologia Clinica 0.034 Dermatologia Cosmetica, Medica y Quirurgica 0.137 0.038 Dermatologia Kliniczna 0.067 0.036 Dermatologia Revista Mexicana 0.072 0.035 Dermatologic Clinics 0.461 0.158 Dermatologic Surgery 0.623 0.121 Dermatologic Therapy 0.547 0.161 Dermatologica Sinica 0.034 Dermatologie in Beruf und Umwelt 0.155 0.054 Dermatology 0.612 0.146 Dermatology nursing / Dermatology Nurses' Association 0.120 0.049 Dermatology Online Journal 0.165 0.058 Desalination 0.631 0.100 Desarrollo Economico 0.034 0.034 Descant 0.034 Design Automation for Embedded Systems 0.316 0.034 Design Issues 0.258 0.035 Design Journal 0.083 0.035 Design Studies 1.325 0.047 Designed Monomers and Polymers 0.255 0.059 Designs, Codes, and Cryptography 0.815 0.054 Deutsche Apotheker Zeitung 0.001 0.034 Deutsche Lebensmittel-Rundschau 0.099 0.045 Deutsche medizinische Wochenschrift (1946) 0.139 0.056 Deutsche Sprache 0.034 Deutsche Tierarztliche Wochenschrift 0.211 0.052 Deutsche Vierteljahrsschrift fur Literaturwissenschaft und Geistesgeschichte 0.485 0.034 Deutsche Zeitschrift fur Akupunktur 0.350 0.043 Deutsche Zeitschrift fur Onkologie 0.023 0.035 Deutsche Zeitschrift fur Philosophie 0.009 0.034 Deutsche Zeitschrift fur Sportmedizin 0.080 0.036 Deutsches Archiv fur Erforschung des Mittelalters 0.034 Deutsches Arzteblatt 0.159 0.047 Developing Economies 0.188 0.038 Developing World Bioeth 0.330 0.093 Development 1.307 2.538 Development 0.116 0.036 Development and Change 0.779 0.046 Development and Learning in Organisations 0.037 0.034 Development and Psychopathology 1.414 0.306 Development Dialogue 0.054 0.034 Development Genes and Evolution 0.504 0.562 Development Growth and Differentiation 0.531 0.488 Development in Practice 0.174 0.037 Development Policy Review 0.717 0.051 Development Southern Africa 0.115 0.036 Developmental and Comparative Immunology 1.006 0.319 Developmental Biology 0.883 1.306 Developmental Cell 1.989 5.473 Developmental Disabilities Research Reviews 0.763 0.510 Developmental Dynamics 0.598 0.711 Developmental Medicine and Child Neurology 1.102 0.252 Developmental medicine and child neurology. Supplement 0.784 0.161 Developmental Neurobiology 0.712 0.580 Developmental Neuropsychology 0.701 0.140 Developmental Neurorehabilitation 0.420 0.093 Developmental Neuroscience 0.530 0.378 Developmental Psychobiology 0.709 0.171 Developmental Psychology 1.596 0.233 Developmental Review 1.108 0.249 Developmental Science 1.271 0.429 Developments in biologicals 0.141 0.091 Developments in ophthalmology 0.480 0.161 Devenir 0.192 0.045 Deviance et Societe 0.461 0.034 Deviant Behavior 0.379 0.042 DGMK Forschungsbericht 0.034 DGMK Tagungsbericht 0.015 0.035 Diabetes 1.530 1.412 Diabetes & vascular disease research : official journal of the International Society of Diabetes and Vascular Disease. 0.515 0.312 Diabetes and Metabolic Syndrome: Clinical Research and Reviews 0.108 0.061 Diabetes and Metabolism 0.472 0.221 Diabetes Care 1.690 0.771 Diabetes Forecast 0.002 0.038 Diabetes Primary Care 0.018 0.035 Diabetes Research and Clinical Practice 0.429 0.187 Diabetes self-management 0.013 0.037 Diabetes Spectrum 0.135 0.076 Diabetes technology & therapeutics 0.510 0.208 Diabetes, Obesity and Metabolism 0.673 0.371 Diabetes, Stoffwechsel und Herz 0.027 0.035 Diabetes/Metabolism Research and Reviews 0.648 0.325 Diabetic medicine : a journal of the British Diabetic Association 0.871 0.374 Diabetologe 0.019 0.035 Diabetologia 1.135 0.808 Diabetologia Croatica 0.089 0.045 Diabetologia Doswiadczalna i Kliniczna 0.003 0.038 Diabetologie Metabolismus Endokrinologie Vyziva 0.007 0.034 Diabetologie und Stoffwechsel 0.127 0.035 Diachronica 0.402 0.035 Diacritics 0.035 Diagnostic and Interventional Radiology 0.462 0.101 Diagnostic Cytopathology 0.449 0.127 Diagnostic Histopathology 0.135 0.067 Diagnostic Microbiology and Infectious Disease 0.605 0.230 Diagnostic Molecular Pathology 0.451 0.236 Diagnostic Pathology 0.281 0.118 Diagnostica 0.540 0.050 Dialectica 0.517 0.035 Dialectical Anthropology 0.061 0.034 Dialisis y Trasplante 0.019 0.035 Dialogue 0.043 0.034 Dialogues d'Histoire Ancienne 0.034 Dialogues in Cardiovascular Medicine 0.027 0.035 Dialogues in Clinical Neuroscience 0.451 0.194 Dialysis and Transplantation 0.041 0.037 Diamond and Related Materials 0.840 0.155 Dianbo Kexue Xuebao/Chinese Journal of Radio Science 0.325 0.042 Diangong Jishu Xuebao/Transactions of China Electrotechnical Society 0.571 0.049 Dianji yu Kongzhi Xuebao/Electric Machines and Control 0.220 0.039 Dianli Xitong Zidonghue/Automation of Electric Power Systems 1.249 0.053 Dianli Zidonghua Shebei / Electric Power Automation Equipment 0.571 0.044 Dianzi Keji Daxue Xuebao/Journal of the University of Electronic Science and Technology of China 0.117 0.037 Dianzi Yu Xinxi Xuebao/Journal of Electronics and Information Technology 0.162 0.038 Diatom Research 0.231 0.048 Dickens Quarterly 0.034 Dickensian 0.034 Differences 0.076 0.034 Differential Equations 0.157 0.038 Differential Equations and Nonlinear Mechanics 0.233 0.044 Differential Geometry and its Application 0.418 0.043 Differentiation 0.691 0.690 Diffusion and Defect Data Pt.B: Solid State Phenomena 0.104 0.043 Diffusion and Defect Data. Pt A Defect and Diffusion Forum 0.083 0.040 Digest of Technical Papers - IEEE International Conference on Consumer Electronics 0.037 0.036 Digest of Technical Papers - IEEE International Solid-State Circuits Conference 0.713 0.140 Digest of Technical Papers - Symposium on VLSI Technology 0.468 0.144 Digest of Technical Papers-IEEE International Pulsed Power Conference 0.007 0.034 Digestion 0.430 0.197 Digestive and Liver Disease 0.496 0.199 Digestive and Liver Disease Supplements 0.034 Digestive Diseases 0.383 0.196 Digestive Diseases and Sciences 0.450 0.189 Digestive Endoscopy 0.211 0.079 Digestive Surgery 0.480 0.123 Digital Creativity 0.261 0.040 Digital Investigation 0.424 0.044 Digital Signal Processing: A Review Journal 0.904 0.059 Digital Textile 0.034 Dimensions of critical care nursing : DCCN 0.214 0.049 Diogenes 0.029 0.034 Diplomatic History 0.238 0.034 Diqiu Kexue Zhongguo Dizhi Daxue Xuebao/Earth Science - Journal of China University of Geosciences 0.208 0.040 Director (Cincinnati, Ohio) 0.033 0.035 Disability and Health Journal 0.176 0.034 Disability and Rehabilitation 0.482 0.094 Disability and rehabilitation. Assistive technology 0.159 0.056 Disability and Society 0.628 0.045 Disaster Manag Response 0.034 0.039 Disaster medicine and public health preparedness 0.156 0.083 Disaster Prevention and Management 0.174 0.038 Disasters 0.544 0.078 Discourse 0.383 0.039 Discourse and Communication 0.089 0.035 Discourse and Society 1.190 0.044 Discourse Processes 0.367 0.046 Discourse Studies 0.864 0.043 Discovery and Innovation 0.021 0.034 Discrete and Computational Geometry 0.766 0.061 Discrete and Continuous Dynamical Systems 0.890 0.052 Discrete and Continuous Dynamical Systems - Series B 0.556 0.064 Discrete Applied Mathematics 0.809 0.059 Discrete Dynamics in Nature and Society 0.319 0.065 Discrete Event Dynamic Systems: Theory and Applications 0.746 0.048 Discrete Mathematics 0.978 0.048 Discrete Mathematics and Applications 0.000 0.034 Discrete Mathematics and Theoretical Computer Science 0.475 0.044 Discrete Optimization 0.483 0.043 Disease management advisor 0.000 0.034 Disease Management and Health Outcomes 0.152 0.065 Disease Markers 0.384 0.271 Disease models & mechanisms 0.165 0.362 Disease of Aquatic Organisms 0.684 0.121 Disease-a-Month 0.195 0.071 Diseases of the colon and rectum 1.050 0.272 Diseases of the Esophagus 0.521 0.208 DISP 0.127 0.036 Displays 0.789 0.100 Dissent 0.007 0.034 Distance Education 0.892 0.048 Distributed and Parallel Databases 0.499 0.041 Distributed Computing 0.795 0.063 Diversity and Distributions 1.161 0.223 Diving and Hyperbaric Medicine 0.420 0.040 Divulgaciones Matematicas 0.065 0.037 Dix-Huitieme Siecle 0.036 Dizhen Dizhi 0.312 0.056 D-Lib Magazine 0.356 0.046 DNA and Cell Biology 0.437 0.408 DNA Repair 0.829 1.390 DNA Reporter 0.034 DNA Research 0.945 0.840 Document Numerique 0.000 0.034 Documenta Mathematica 0.523 0.044 Documenta Ophthalmologica 0.484 0.126 Documentaliste: Sciences de l'Information 0.000 0.034 Documents d'Analisi Geografica 0.198 0.034 Dokkyo Journal of Medical Sciences 0.000 0.034 Doklady Biological Sciences 0.107 0.041 Doklady Chemistry 0.101 0.049 Doklady Earth Sciences 0.086 0.038 Doklady Mathematics 0.269 0.039 Doklady Physical Chemistry 0.147 0.046 Doklady Physics 0.078 0.040 Doklady. Biochemistry and biophysics 0.068 0.055 Dokumentacja Geograficzna 0.076 0.034 DOLOR 0.034 Domestic Animal Endocrinology 0.589 0.117 Dongbei Daxue Xuebao/Journal of Northeastern University 0.183 0.039 Dongli Gongcheng/Power Engineering 0.223 0.042 Dongnan Daxue Xuebao (Ziran Kexue Ban)/Journal of Southeast University (Natural Science Edition) 0.151 0.040 Douleur et Analgesie 0.062 0.039 Douleurs 0.074 0.037 Down"s syndrome, research and practice : the journal of the Sarah Duffen Centre / University of Portsmouth 0.153 0.089 Drapers 0.034 Dreaming 0.574 0.057 Drilling Fluid and Completion Fluid 0.007 0.034 Drogi i Mosty 0.064 0.035 Droit et Societe 0.071 0.034 Droit, Deontologie et Soin 0.034 Drug and Alcohol Dependence 1.156 0.238 Drug and Alcohol Review 0.484 0.119 Drug and Chemical Toxicology 0.268 0.074 Drug and Therapeutics Bulletin 0.053 0.038 Drug Benefit Trends 0.017 0.041 Drug Delivery: Journal of Delivery and Targeting of Therapeutic Agents 0.304 0.115 Drug Development and Industrial Pharmacy 0.303 0.088 Drug Development Research 0.272 0.123 Drug Discovery Today 1.159 0.765 Drug Discovery Today: Disease Mechanisms 0.128 0.123 Drug Discovery Today: Disease Models 0.060 0.101 Drug Discovery Today: Technologies 0.172 0.091 Drug Discovery Today: Therapeutic Strategies 0.122 0.113 Drug Discovery World 0.085 0.069 Drug Information Journal 0.298 0.070 Drug Metabolism and Disposition 0.850 0.382 Drug Metabolism and Drug Interactions 0.252 0.110 Drug metabolism and pharmacokinetics 0.646 0.314 Drug metabolism letters 0.136 0.077 Drug metabolism reviews 0.900 0.500 Drug News and Perspectives 0.241 0.182 Drug Resistance Updates 1.686 1.365 Drug Safety 0.900 0.269 Drug Topics 0.000 0.033 Drugs 0.904 0.366 Drugs and Aging 0.523 0.163 Drugs and Therapy Perspectives 0.034 Drugs in Context 0.034 Drugs in R&D 0.370 0.167 Drugs of the Future 0.142 0.077 Drugs of Today 0.303 0.170 Drugs: Education, Prevention and Policy 0.235 0.047 Drustvena Istrazivanja 0.016 0.034 Drvna Industrija 0.034 Drying Technology 0.617 0.063 Duke Environmental Law and Policy Forum 0.158 0.046 Duke Law Journal 0.166 0.037 Duke Mathematical Journal 1.406 0.060 Duodecim 0.000 0.034 Dyes and Pigments 1.044 0.143 DYNA 0.021 0.035 Dynamic Medicine 0.274 0.054 Dynamic Systems and Applications 0.325 0.045 Dynamical Psychology 0.000 0.035 Dynamical Systems 0.312 0.044 Dynamics (Pembroke, Ont.) 0.035 0.035 Dynamics of Atmospheres and Oceans 0.780 0.176 Dynamics of Continuous, Discrete and Impulsive Systems Series A: Mathematical Analysis 0.103 0.037 Dynamics of Continuous, Discrete and Impulsive Systems Series B: Application and Algorithm 0.208 0.039 Dynamics of Partial Differential Equations 0.789 0.057 Dynamis (Granada, Spain) 0.274 0.038 Dyslexia 0.400 0.055 Dysphagia 1.192 0.148 E a M: Ekonomie a Management 0.416 0.036 E:CO Emergence: Complexity and Organization 0.121 #N/A Ear and hearing 1.082 0.152 Ear, Nose and Throat Journal 0.153 0.049 Early Childhood Education Journal 0.344 0.040 Early Childhood Research and Practice 0.161 0.037 Early Childhood Research Quarterly 1.359 0.074 Early education and development 0.585 0.055 Early Human Development 0.632 0.164 Early Intervention in Psychiatry 0.146 0.046 Early Medieval Europe 0.361 0.034 Early Music 0.034 Early Music History 0.000 0.034 Early science and medicine 0.081 0.034 Earth 0.034 Earth and Environmental Science Transactions of the Royal Society of Edinburgh 0.288 0.143 Earth and Planetary Science Letters 1.108 0.375 Earth Sciences Research Journal 0.187 0.036 Earth Surface Processes and Landforms 0.668 0.085 Earth System Monitor 0.000 0.034 Earth, Moon and Planets 0.186 0.111 Earth, Planets and Space 0.531 0.102 Earthquake (Beijing) 0.100 0.034 Earthquake Engineering and Engineering Vibration 0.315 0.041 Earthquake Engineering and Structural Dynamics 1.245 0.066 Earthquake Spectra 1.043 0.074 Earth's Cryosphere 0.061 0.036 Earth-Science Reviews 2.274 0.300 East African journal of public health 0.082 0.047 East African Medical Journal 0.147 0.052 East Asia 0.180 0.036 East European Politics and Societies 0.559 0.042 Eastern Buddhist 0.035 Eastern Economic Journal 0.114 0.035 Eastern European Economics 0.277 0.037 Eastern Mediterranean Health Journal 0.178 0.056 Eating and weight disorders : EWD 0.229 0.077 Eating Behaviors 0.667 0.111 Eating Disorders 0.323 0.064 EAU-EBU Update Series 0.389 0.158 EBR - European Biopharmaceutical Review 0.034 EBRI issue brief / Employee Benefit Research Institute 0.121 0.042 Echocardiography 0.453 0.177 Ecletica Quimica 0.064 0.040 Ecography 1.568 0.256 EcoHealth 0.449 0.141 Ecohydrology and Hydrobiology 0.099 0.038 Ecologia 0.114 0.035 Ecologia Austral 0.282 0.049 Ecological Applications 1.367 0.237 Ecological Complexity 0.710 0.101 Ecological Economics 1.150 0.096 Ecological Engineering 1.449 0.101 Ecological Entomology 0.689 0.104 Ecological Indicators 1.218 0.094 Ecological Informatics 0.363 0.066 Ecological Management and Restoration 0.326 0.071 Ecological Modelling 0.848 0.107 Ecological Monographs 1.978 0.407 Ecological Psychology 0.874 0.088 Ecological Research 0.612 0.083 Ecological Restoration 0.145 0.070 Ecologist 0.017 0.034 Ecology 1.739 0.372 Ecology and Society 0.514 0.084 Ecology Law Quarterly 0.023 0.034 Ecology Letters 2.765 1.024 Ecology of Food and Nutrition 0.182 0.043 Ecology of Freshwater Fish 0.493 0.065 Ecology, Environment and Conservation 0.007 0.034 Econ Journal Watch 1.751 0.036 Econometric Reviews 1.435 0.074 Econometric Theory 0.728 0.062 Econometrica 3.145 0.145 Econometrics Journal 0.670 0.048 Economia Aplicada 0.085 0.034 Economia Mexicana, Nueva Epoca 0.034 Economic Affairs 0.067 0.035 Economic and Industrial Democracy 0.428 0.039 Economic and Labour Relations Review 0.254 0.034 Economic and Social Review 0.469 0.039 Economic Annals 0.254 0.034 Economic Botany 0.544 0.065 Economic Change and Restructuring 0.186 0.034 Economic Development and Cultural Change 0.585 0.052 Economic Development Quarterly 0.552 0.045 Economic Geography 0.844 0.054 Economic Geology 0.488 0.071 Economic History Review 0.432 0.037 Economic Inquiry 0.723 0.061 Economic Journal 1.666 0.086 Economic Modelling 0.752 0.043 Economic Notes 0.075 0.034 Economic Outlook 0.023 0.035 Economic Policy 1.897 0.127 Economic Record 0.592 0.047 Economic Systems 0.479 0.038 Economic Systems Research 0.710 0.053 Economic Theory 1.072 0.049 Economica 1.220 0.050 Economics and Human Biology 0.302 0.101 Economics and Philosophy 0.231 0.040 Economics and Politics 0.961 0.047 Economics Bulletin 0.117 0.035 Economics Letters 0.455 0.042 Economics of Education Review 1.136 0.047 Economics of Governance 0.500 0.042 Economics of Innovation and New Technology 0.083 0.034 Economics of Transition 1.181 0.044 Economie & statistique 0.431 0.036 Economie et Prevision 0.064 0.034 Economie Internationale 0.087 0.035 Economist 0.460 0.042 Economist (London, England : 1843) 0.002 0.034 Economists' Voice 0.374 0.043 Economy and Society 1.057 0.049 Ecos: a Review of Conservation 0.121 0.034 Ecoscience 0.545 0.074 Ecosystems 1.251 0.282 Ecotoxicology 0.855 0.183 Ecotoxicology and Environmental Safety 1.002 0.126 Ecumenical Review 0.034 ED management : the monthly update on emergency department management 0.000 0.034 ED Nursing 0.033 Edad de Oro 0.018 0.039 Edinburgh Journal of Botany 0.071 0.039 Educacao e Pesquisa 0.060 0.035 Educacao e Sociedade 0.027 0.034 Educacion Medica 0.017 0.034 Education and Health 0.013 0.034 Education and Information Technologies 0.308 0.035 Education and Training 0.337 0.039 Education and Training in Developmental Disabilities 0.362 0.040 Education and Treatment of Children 0.546 0.048 Education and Urban Society 0.246 0.037 Education Economics 0.756 0.043 Education et Societes 0.091 #N/A Education for Chemical Engineers 0.214 0.046 Education for Health 0.099 0.042 Education for Information 0.131 0.036 Education for Primary Care 0.139 0.042 Education in Chemistry 0.078 0.042 Education Next 0.104 0.035 Education Policy Analysis Archives 0.224 0.037 Education, Citizenship and Social Justice 0.330 0.038 Educational Action Research 0.254 0.037 Educational Administration Quarterly 1.047 0.043 Educational and Psychological Measurement 0.648 0.051 Educational Assessment 0.811 0.039 Educational Assessment, Evaluation and Accountability 0.035 Educational Evaluation and Policy Analysis 1.866 0.060 Educational Gerontology 0.187 0.044 Educational Leadership 0.236 0.039 Educational Management Administration and Leadership 0.405 0.038 Educational Measurement: Issues and Practice 0.377 0.119 Educational Philosophy and Theory 0.214 0.035 Educational Policy 0.455 0.035 Educational Psychologist 2.701 0.081 Educational Psychology 0.363 0.041 Educational Psychology Review 2.013 0.126 Educational Research 0.473 0.040 Educational Research and Evaluation 0.259 0.039 Educational Research for Policy and Practice 0.102 0.034 Educational Research Review 1.036 0.050 Educational Review 0.350 0.039 Educational Studies 0.332 0.039 Educational Studies in Mathematics 0.914 0.041 Educational Technology and Society 0.579 0.040 Educational Technology Research and Development 1.079 0.049 Educational Theory 0.558 0.043 eEarth Discussions 0.039 Egitim Arastirmalari - Eurasian Journal of Educational Research 0.218 0.035 Egyptian Journal of Chemistry 0.007 0.034 Eighteenth century (Lubbock, Tex.) 0.034 Eighteenth century life 0.000 0.034 Eighteenth-Century Fiction 0.000 0.034 Eighteenth-Century Ireland 0.034 Eighteenth-century studies 0.342 0.034 Eigse - Journal of Irish Studies 0.034 ire-Ireland; a journal of Irish studies 0.000 0.034 Eirene 0.034 E-Journal of Chemistry 0.090 0.042 e-Journal of Surface Science and Nanotechnology 0.211 0.060 EJVES Extra 0.082 0.041 Eklem Hastaliklari ve Cerrahisi 0.029 0.034 Ekologia Bratislava 0.267 0.038 Ekoloji 0.207 0.038 Ekonomicky Casopis 0.224 0.036 Ekonomiska Samfundets Tidskrift 0.034 Ekonomska Istrazivanja 0.046 0.034 Ekonomski Pregled 0.034 Eksperimental"naia i klinicheskaia gastroenterologiia = Experimental & clinical gastroenterology 0.004 0.035 Eksperimental'naya i Klinicheskaya Farmakologiya 0.026 0.036 Electoral Studies 0.663 0.042 Electric Power Components and Systems 0.309 0.042 Electric Power Systems Research 0.861 0.065 Electrical Contacts, Proceedings of the Annual Holm Conference on Electrical Contacts 0.034 Electrical Engineering 0.345 0.047 Electrical Engineering in Japan (English translation of Denki Gakkai Ronbunshi) 0.083 0.036 Electricity Journal 0.211 0.045 Electroanalysis 0.655 0.202 Electrochemical and Solid-State Letters 0.732 0.235 Electrochemistry 0.181 0.071 Electrochemistry Communications 1.290 0.380 Electrochimica Acta 1.174 0.271 Electrolyte and Blood Pressure 0.034 Electromagnetic Biology and Medicine 0.434 0.101 Electromagnetics 0.412 0.068 Electromyography and Clinical Neurophysiology 0.253 0.062 Electron Technology (Warsaw) 0.000 0.034 Electronic Commerce Research 0.218 0.037 Electronic Commerce Research and Applications 0.657 0.045 Electronic Communications in Probability 0.323 0.044 Electronic Device Failure Analysis 0.023 0.034 Electronic Government 0.602 0.044 Electronic Green Journal 0.374 0.037 Electronic Journal of Biotechnology 0.235 0.059 Electronic Journal of Combinatorics 0.708 0.047 Electronic Journal of Differential Equations 0.460 0.044 Electronic Journal of Environmental, Agricultural and Food Chemistry 0.048 0.039 Electronic Journal of Geotechnical Engineering 0.102 0.034 Electronic Journal of Human Sexuality 0.053 0.035 Electronic Journal of Information Technology in Construction 0.242 0.038 Electronic Journal of Linear Algebra 0.487 0.059 Electronic Journal of Probability 0.748 0.060 Electronic Journal of Qualitative Theory of Differential Equations 0.345 0.041 Electronic Journal of Structural Engineering 0.296 0.036 Electronic Journal of Theoretical Physics 0.170 0.036 Electronic Library 0.309 0.043 Electronic Materials Letters 0.178 0.061 Electronic Notes in Discrete Mathematics 0.127 0.038 Electronic Notes in Theoretical Computer Science 0.330 0.046 Electronic Research Announcements of the American Mathematical Society 0.575 0.048 Electronic Transactions on Numerical Analysis 0.553 0.053 Electronics and Communications in Japan, Part I: Communications (English translation of Denshi Tsushin Gakkai Ronbunshi) 0.074 0.037 Electronics and Communications in Japan, Part II: Electronics (English translation of Denshi Tsushin Gakkai Ronbunshi) 0.057 0.039 Electronics and Communications in Japan, Part III: Fundamental Electronic Science (English translation of Denshi Tsushin Gakkai Ronbunshi) 0.064 0.035 Electronics Letters 0.592 0.105 Electrophoresis 0.533 0.317 Elektrotechnik und Informationstechnik 0.052 0.036 Elektrotehniski Vestnik/Electrotechnical Review 0.034 0.035 Elementary School Journal 0.590 0.054 Elements 0.679 0.116 ELH - English Literary History 0.156 0.034 ELT Journal 0.538 0.038 EMA - Emergency Medicine Australasia 0.383 0.085 Emblematica 0.034 EMBO Journal 1.660 3.483 EMBO reports 0.752 1.338 Emergency Medicine 0.000 0.034 Emergency Medicine Clinics of North America 0.464 0.100 Emergency Medicine Journal 0.379 0.085 Emergency nurse : the journal of the RCN Accident and Emergency Nursing Association 0.019 0.034 Emergency Radiology 0.510 0.128 Emerging infectious diseases 1.548 0.679 Emerging Markets Finance and Trade 0.223 0.035 Emerging Markets Review 0.814 0.044 Emerging Themes in Epidemiology 0.493 0.167 Emrita / Junta para Ampliacin de Estudios, Centro de Estudios Histricos 0.034 Emirates Medical Journal 0.011 0.034 EMJ - Engineering Management Journal 0.551 0.040 Emotion (Washington, D.C.) 1.295 0.271 Emotion, Space and Society 0.034 Emotional and Behavioural Difficulties 0.121 0.038 Empan 0.033 Empirica 0.416 0.039 Empirical Economics 0.588 0.039 Empirical Software Engineering 0.706 0.048 Employee Relations 0.258 0.041 Employee Relations Law Journal 0.023 0.038 Employee Responsibilities and Rights Journal 0.125 0.035 EMS magazine 0.009 0.035 Emu 0.301 0.054 Encephale 0.105 0.043 Endangered Species Research 0.454 0.074 Endeavour 0.138 0.044 Endocr Dev 0.540 0.338 Endocrine 0.396 0.217 Endocrine Journal 0.432 0.183 Endocrine Pathology 0.397 0.177 Endocrine practice : official journal of the American College of Endocrinology and the American Association of Clinical Endocrinologists 0.303 0.192 Endocrine Regulations 0.244 0.146 Endocrine Research 0.136 0.071 Endocrine Reviews 4.603 2.854 Endocrine, Metabolic and Immune Disorders - Drug Targets 0.465 0.266 Endocrine-Related Cancer 0.978 0.802 Endocrinologia y Nutricion 0.028 0.035 Endocrinologist 0.046 0.038 Endocrinology 1.093 0.651 Endocrinology and Metabolism Clinics of North America 0.655 0.306 Endokrinologya 0.000 0.034 Endokrynologia Polska 0.094 0.048 Endokrynologia, Diabetologia i Choroby Przemiany Materii Wieku Rozwojowego 0.047 0.042 Endoscopic Forum for Digestive Disease 0.020 0.042 Endoscopy 0.696 0.378 Endoskopie 0.000 0.034 Endothelium: Journal of Endothelial Cell Research 0.466 0.383 Energetika 0.063 0.034 Energia Elettrica 0.034 Energy 1.260 0.113 Energy and Buildings 1.091 0.083 Energy and Environment 0.213 0.043 Energy and Fuels 1.035 0.127 Energy Conversion and Management 1.265 0.111 Energy Economics 1.284 0.080 Energy Efficiency 0.213 0.046 Energy Engineering: Journal of the Association of Energy Engineering 0.006 0.034 Energy Exploration and Exploitation 0.122 0.046 Energy for Sustainable Development 0.415 0.052 Energy Materials: Materials Science and Engineering for Energy Systems 0.034 Energy Policy 1.141 0.097 Energy Sources, Part A: Recovery, Utilization and Environmental Effects 0.108 0.062 Energy Sources, Part B: Economics, Planning and Policy 0.121 0.060 Enfance 0.019 0.034 Enfances et Psy 0.048 0.035 Enfermedades Emergentes 0.078 0.042 Enfermedades Infecciosas y Microbiologia Clinica 0.186 0.069 Enfermeria Clinica 0.103 0.037 Enfermera intensiva / Sociedad Espaola de Enfermera Intensiva y Unidades Coronarias 0.089 0.039 Engenharia Agricola 0.079 0.035 Engenharia Sanitaria e Ambiental 0.039 0.034 Engineer 0.000 0.033 Engineering (London) 0.000 0.033 Engineering Analysis with Boundary Elements 1.381 0.070 Engineering and Technology 0.009 0.034 Engineering Applications of Artificial Intelligence 0.851 0.062 Engineering Computations (Swansea, Wales) 0.358 0.046 Engineering Design Graphics Journal 0.127 0.035 Engineering Economist 0.499 0.037 Engineering Failure Analysis 1.075 0.056 Engineering Fracture Mechanics 1.112 0.091 Engineering Geology 1.132 0.063 Engineering in Life Sciences 0.367 0.111 Engineering Optimization 0.715 0.055 Engineering Structures 1.301 0.069 Engineering with Computers 0.500 0.052 Engineering, Construction and Architectural Management 0.333 0.041 English 0.034 English for Specific Purposes 1.143 0.040 English Language and Linguistics 0.083 0.034 English Language Notes 0.028 0.034 English Literary Renaissance 0.000 0.034 English Literature in Transition, 1880-1920 0.034 English Manuscript Studies: 1100-1700 0.034 English Studies 0.175 0.034 English Studies in Canada 0.000 0.034 English World-Wide 0.127 #N/A ENR (Engineering News-Record) 0.004 0.034 Ensaio 0.034 Enterprise & society 0.212 0.035 Enterprise Information Systems 0.555 0.050 Entomologia Experimentalis et Applicata 0.590 0.094 Entomologia Generalis 0.108 0.078 Entomologica Fennica 0.187 0.040 Entomological News 0.073 0.035 Entomological Research 0.266 0.049 Entomological Review 0.056 0.036 Entomological Science 0.224 0.043 Entomologist's Gazette 0.052 0.037 Entomotropica 0.035 Entrepreneurship and Regional Development 0.787 0.044 Entrepreneurship: Theory and Practice 1.022 0.048 Entropy 0.348 0.047 Environ Sci 0.259 0.076 Environment 0.543 0.078 Environment and Behavior 0.932 0.061 Environment and Development Economics 0.597 0.071 Environment and History 0.269 0.043 Environment and Planning A 0.771 0.049 Environment and Planning B: Planning and Design 0.487 0.044 Environment and Planning C: Government and Policy 0.610 0.045 Environment and Planning D: Society and Space 0.800 0.051 Environment and Urbanization 0.546 0.073 Environment Canada Arctic and Marine Oil Spill Program Technical Seminar (AMOP) Proceedings 0.031 0.037 Environment International 1.630 0.218 Environment, Development and Sustainability 0.455 0.049 Environmental and Ecological Statistics 0.511 0.067 Environmental and Engineering Geoscience 0.228 0.044 Environmental and Experimental Botany 1.149 0.137 Environmental and Molecular Mutagenesis 0.543 0.238 Environmental and Planning Law Journal 0.681 0.038 Environmental and Resource Economics 0.983 0.062 Environmental Archaeology 0.260 0.160 Environmental Bioindicators 0.196 0.046 Environmental Biology of Fishes 0.491 0.062 Environmental biosafety research 0.248 0.095 Environmental Chemistry 0.430 0.110 Environmental Chemistry Letters 0.543 0.105 Environmental Claims Journal 0.290 0.034 Environmental Conservation 0.583 0.112 Environmental Engineering and Management Journal 0.128 0.039 Environmental Engineering Science 0.470 0.075 Environmental Entomology 0.514 0.070 Environmental Ethics 0.140 0.035 Environmental Fluid Mechanics 0.546 0.070 Environmental Forensics 0.193 0.057 Environmental Geochemistry and Health 0.846 0.099 Environmental Geology 0.614 0.056 Environmental Geosciences 1.268 0.072 Environmental Hazards 0.458 #N/A Environmental Health and Preventive Medicine 0.068 0.042 Environmental health perspectives 1.346 0.421 Environmental Health: A Global Access Science Source 0.536 0.158 Environmental History 0.123 0.036 Environmental Impact Assessment Review 1.223 0.062 Environmental Law and Management 0.014 0.034 Environmental Management 0.605 0.064 Environmental microbiology 1.121 0.522 Environmental Modeling and Assessment 0.411 0.055 Environmental Modelling and Software 1.334 0.098 Environmental Monitoring and Assessment 0.512 0.067 Environmental Policy and Governance 0.042 Environmental Policy and Law 0.016 0.034 Environmental Politics 0.653 0.048 Environmental Pollution 1.339 0.180 Environmental Practice 0.209 0.040 Environmental Progress and Sustainable Energy 0.070 Environmental Protection Engineering 0.035 0.036 Environmental Quality Management 0.453 0.040 Environmental Research 0.899 0.208 Environmental Research Letters 0.566 0.229 Environmental Reviews 1.434 0.126 Environmental Science and Policy 0.863 0.113 Environmental Science and Pollution Research 0.598 0.109 Environmental Science and Technology 1.453 0.305 Environmental Technology 0.253 0.051 Environmental Toxicology 0.662 0.119 Environmental Toxicology and Chemistry 0.865 0.147 Environmental Toxicology and Pharmacology 0.474 0.090 Environmental Values 0.190 0.038 Environmentalist 0.234 0.045 Environments 0.000 0.034 Environmetrics 0.424 0.075 Environnement, Risques et Sante 0.030 0.037 Enzyme and Microbial Technology 1.068 0.149 Eos 0.328 0.137 EPC - European Pharmaceutical Contractor 0.034 EPE Journal (European Power Electronics and Drives Journal) 0.151 0.044 Ephemerides Theologicae Lovanienses 0.125 0.034 Epidemiologia e prevenzione 0.100 0.051 Epidemiologia e Psichiatria Sociale 0.259 0.073 Epidemiologic Perspectives and Innovations 0.929 0.289 Epidemiologic Reviews 3.581 1.232 Epidemiologie, mikrobiologie, imunologie : casopis Spolecnosti pro epidemiologii a mikrobiologii Cesk lkarsk spolecnosti J.E. Purkyne 0.050 0.042 Epidemiology 1.256 0.508 Epidemiology and Infection 0.639 0.206 Epigenetics : official journal of the DNA Methylation Society 0.433 0.600 Epigraphica 0.034 Epilepsia 1.140 0.326 Epilepsies 0.033 0.034 Epilepsy and Behavior 0.833 0.166 Epilepsy Research 0.712 0.230 Epileptic Disorders 0.304 0.086 Episodes 0.367 #N/A Epistemologia 0.034 Epites-Epiteszettudomany 0.034 Epitheorese Klinikes Farmakologias kai Farmakokinetikes 0.031 0.036 EPJ Applied Physics 0.368 0.074 E-Polymers 0.023 0.037 EPPO Bulletin 0.143 0.047 Equilibrium Research 0.034 0.034 Equine Veterinary Education 0.227 0.040 Equine Veterinary Journal 0.711 0.084 Equity and Excellence in Education 0.106 0.035 Erciyes Tip Dergisi 0.022 0.034 Erde 0.130 0.036 Erdkunde 0.162 0.042 Ergodic Theory and Dynamical Systems 0.578 0.047 Ergonomics 0.803 0.072 Ergonomics in Design 0.053 0.035 Ergotherapie und Rehabilitation 0.007 0.034 Erkenntnis 0.366 0.038 Ernahrungs Umschau 0.051 0.040 Ernst Schering Research Foundation workshop 0.131 0.114 Erosion Control 0.034 Erwerbsobstbau 0.425 0.037 ESA bulletin. Bulletin ASE. European Space Agency 0.075 0.038 ESAIM - Probability and Statistics 1.014 0.057 ESAIM Control, Optimisation and Calculus of Variations 0.877 0.053 ESHRE Monographs 0.039 ESO Astrophysics Symposia 0.033 Esophagus 0.056 0.048 Espace Geographique 0.445 0.036 Espace-Populations-Societes 0.000 0.034 Espacios 0.034 e-SPEN 0.140 0.046 Esprit Createur 0.034 ESQ - Journal of the American Renaissance 0.034 Essaim 0.034 Essays in Biochemistry 0.547 0.320 Essays in Criticism 0.119 0.034 Essays in Education 0.000 0.034 Estonian Journal of Earth Sciences 0.117 0.041 Estonian Journal of Ecology 0.034 Estuaries and Coasts 0.616 0.115 Estuarine, Coastal and Shelf Science 0.946 0.103 Estudios Atacamenos 0.048 0.038 Estudios Geograficos 0.000 0.034 Estudios Geologicos 0.216 0.059 Estudios Pedagogicos 0.034 Estudos Avancados 0.096 0.036 Estudos de Psicologia (Natal) 0.047 0.035 Estudos Economicos 0.034 Estudos Ibero-Americanos 0.034 Ethical Human Psychology and Psychiatry 0.058 0.035 Ethical Theory Moral Pract 0.267 0.039 Ethics 0.565 0.042 Ethics and Behavior 0.273 0.045 Ethics and information technology. 0.284 0.037 Ethics in Science and Environmental Politics 0.346 0.106 Ethics, Place and Environment 0.107 0.037 Ethik in der Medizin 0.055 0.037 Ethiopian Medical Journal 0.105 0.049 Ethique et Sante 0.034 0.036 Ethnic and Racial Studies 0.663 0.042 Ethnicities 0.389 0.038 Ethnicity and Disease 0.216 0.094 Ethnicity and Health 0.653 0.120 Ethnobotany Research and Applications 0.294 0.045 Ethnography 0.476 0.042 Ethnohistory 0.437 0.052 Ethnologie Francaise 0.075 0.034 Ethnology 0.101 0.035 Ethnomusicology 0.341 0.035 Ethnos 0.610 0.036 Ethology 0.719 0.157 Ethology Ecology and Evolution 0.323 0.060 Ethos 0.219 0.041 Ethylene Industry 0.041 0.034 ETRI Journal 0.497 0.058 Etude de la population africaine = African population studies 0.000 0.034 Etudes Anglaises 0.034 Etudes Classiques 0.229 0.034 Etudes franaises (Montral, Qubec) 0.034 Etudes Germaniques 0.034 Etudes Philosophiques 0.034 Etudes Photographiques 0.034 Etudes Rurales 0.036 0.034 Etudes sur la Mort 0.000 0.034 Etudes Theologiques et Religieuses 0.091 0.034 Eukaryotic Cell 0.722 0.750 Euphorion - Zeitschrift fur Literaturgeschichte 0.000 0.034 Euphytica 0.579 0.094 Eurasia Journal of Mathematics, Science and Technology Education 0.325 0.039 Eurasian Chemico-Technological Journal 0.047 0.039 Eurasian Geography and Economics 0.661 0.043 Eurasian Soil Science 0.039 0.036 Eurasip Journal of Embedded Systems 0.124 0.039 Eurasip Journal on Advances in Signal Processing 0.281 0.044 Eurasip Journal on Audio, Speech, and Music Processing 0.093 0.038 Eurasip Journal on Bioinformatics and Systems Biology 0.190 0.138 Eurasip Journal on Image and Video Processing 0.095 0.036 Eurasip Journal on Information Security 0.203 0.044 Eurasip Journal on Wireless Communications and Networking 0.150 0.039 Euratex Bulletin 0.034 Eure 0.140 0.035 Euro surveillance : bulletin europeen sur les maladies transmissibles = European communicable disease bulletin 0.379 0.151 EuroAsia Semiconductor 0.018 0.034 EuroChoices 0.137 0.043 Euroheat and Power (English Edition) 0.025 0.034 EuroIntervention : journal of EuroPCR in collaboration with the Working Group on Interventional Cardiology of the European Society of Cardiology 0.242 0.179 Europace : European pacing, arrhythmias, and cardiac electrophysiology : journal of the working groups on cardiac pacing, arrhythmias, and cardiac cellular electrophysiology of the European Society of Cardiology 0.461 0.215 Europe 0.033 Europe - Asia Studies 0.363 0.042 European Accounting Review 0.412 0.043 European Addiction Research 0.528 0.097 European Annals of Allergy and Clinical Immunology 0.272 0.084 European Archives of Oto-Rhino-Laryngology 0.468 0.101 European archives of paediatric dentistry : official journal of the European Academy of Paediatric Dentistry 0.185 0.052 European Archives of Psychiatry and Clinical Neuroscience 0.628 0.195 European Biophysics Journal 0.501 0.265 European Biotechnology Science and Industry News 0.034 European Business Review 0.346 0.040 European Cells and Materials 1.121 0.406 European Child and Adolescent Psychiatry 0.686 0.130 European Clinics in Obstetrics and Gynaecology 0.086 0.052 European Constitutional Law Review 0.035 0.034 European Cytokine Network 0.563 0.277 European Diabetes Nursing 0.034 European Eating Disorders Review 0.371 0.067 European Economic Review 1.506 0.056 European Educational Research Journal 0.189 0.036 European Environmental Law Review 0.205 0.035 European Financial Management 0.888 0.046 European Food Research and Technology 0.616 0.084 European Heart Journal 1.843 0.998 European Heart Journal, Supplement 0.222 0.153 European History Quarterly 0.079 #N/A European Journal of Ageing 0.337 0.053 European Journal of Agronomy 1.154 0.108 European Journal of Anaesthesiology 0.462 0.106 European Journal of Anaesthesiology, Supplement 0.000 0.035 European Journal of Anatomy 0.128 0.045 European Journal of Applied Mathematics 0.644 0.072 European Journal of Applied Physiology 0.774 0.132 European Journal of Archaeology 0.000 0.034 European Journal of Cancer 0.938 0.555 European Journal of Cancer Care 0.426 0.116 European Journal of Cancer Prevention 0.542 0.185 European Journal of Cancer, Supplement 0.094 0.074 European Journal of Cardio-thoracic Surgery 0.775 0.240 European Journal of Cardiovascular Nursing 0.480 0.077 European Journal of Cardiovascular Prevention and Rehabilitation 0.747 0.260 European Journal of Cell Biology 0.658 0.676 European journal of cell biology. Supplement 0.035 European Journal of Clinical Investigation 0.598 0.306 European Journal of Clinical Microbiology and Infectious Diseases 0.586 0.255 European Journal of Clinical Nutrition 0.773 0.194 European Journal of Clinical Pharmacology 0.595 0.237 European Journal of Cognitive Psychology 0.407 0.083 European Journal of Combinatorics 0.910 0.059 European Journal of Communication 0.771 0.044 European Journal of Contraception and Reproductive Health Care 0.307 0.076 European Journal of Control 0.511 0.047 European Journal of Crime, Criminal Law and Criminal Justice 0.203 0.036 European Journal of Criminology 0.496 0.049 European Journal of Cultural Studies 0.423 0.037 European journal of dental education : official journal of the Association for Dental Education in Europe 0.230 0.054 European Journal of Dermatology 0.377 0.167 European Journal of Development Research 0.220 0.037 European Journal of Drug Metabolism and Pharmacokinetics 0.135 0.075 European Journal of East Asian Studies 0.034 European Journal of Echocardiography 0.437 0.191 European Journal of Economics, Finance and Administrative Sciences 0.034 European Journal of Education 0.345 0.037 European journal of emergency medicine : official journal of the European Society for Emergency Medicine 0.245 0.080 European journal of endocrinology / European Federation of Endocrine Societies 0.790 0.368 European Journal of Endocrinology, Supplement 0.684 0.261 European Journal of Engineering Education 0.298 0.037 European Journal of Entomology 0.337 0.055 European Journal of Epidemiology 0.826 0.416 European Journal of Finance 0.365 0.039 European Journal of Forest Research 0.470 0.059 European Journal of Gastroenterology and Hepatology 0.442 0.176 European Journal of General Medicine 0.018 0.040 European Journal of General Practice 0.194 0.059 European Journal of Gynaecological Oncology 0.184 0.073 European Journal of Haematology 0.470 0.283 European journal of haematology. Supplementum 0.332 0.197 European Journal of Health Economics 0.399 0.078 European Journal of Health Law 0.093 0.053 European Journal of Heart Failure 0.795 0.414 European Journal of Histochemistry 0.208 0.139 European Journal of Horticultural Science 0.197 0.044 European Journal of Housing Policy 0.716 0.045 European Journal of Human Genetics 0.799 0.793 European Journal of Immunology 0.652 0.959 European Journal of Industrial Engineering 0.359 0.048 European Journal of Industrial Relations 0.894 0.045 European Journal of Inflammation 0.112 0.056 European Journal of Information Systems 0.720 0.048 European Journal of Innovation Management 0.260 0.039 European Journal of Inorganic Chemistry 0.605 0.153 European Journal of Internal Medicine 0.330 0.116 European Journal of International Law 0.457 0.035 European Journal of International Relations 1.307 0.044 European Journal of Jewish Studies 0.034 European Journal of Law and Economics 0.244 0.036 European Journal of Legal Education 0.034 European Journal of Lipid Science and Technology 0.669 0.096 European Journal of Marketing 0.571 0.044 European Journal of Mass Spectrometry 0.298 0.109 European Journal of Mechanics, A/Solids 1.445 0.088 European Journal of Mechanics, B/Fluids 1.230 0.081 European Journal of Medical Genetics 0.429 0.241 European journal of medical research 0.297 0.124 European Journal of Medicinal Chemistry 0.984 0.162 European Journal of Migration and Law 0.234 0.035 European Journal of Mineralogy 0.354 0.089 European Journal of Neurology 0.745 0.264 European Journal of Neuroscience 0.827 0.539 European Journal of Nuclear Medicine and Molecular Imaging 0.710 0.376 European Journal of Nutrition 0.612 0.215 European Journal of Obstetrics Gynecology and Reproductive Biology 0.599 0.154 European Journal of Oncology 0.006 0.035 European Journal of Oncology Nursing 0.495 0.111 European Journal of Operational Research 1.774 0.076 European Journal of Ophthalmology 0.274 0.098 European journal of oral sciences 0.764 0.132 European Journal of Organic Chemistry 0.451 0.171 European Journal of Oriental Medicine 0.056 0.035 European Journal of Orthodontics 0.670 0.071 European Journal of Orthopaedic Surgery and Traumatology 0.076 0.037 European Journal of Paediatric Neurology 0.515 0.169 European Journal of Pain 0.999 0.281 European Journal of Pain Supplements 0.036 0.037 European Journal of Palliative Care 0.024 0.038 European Journal of Pediatric Dermatology 0.002 0.034 European Journal of Pediatric Surgery 0.336 0.071 European Journal of Pediatrics 0.504 0.172 European Journal of Personality 0.544 0.073 European Journal of Pharmaceutical Sciences 0.909 0.247 European Journal of Pharmaceutics and Biopharmaceutics 0.902 0.220 European Journal of Pharmacology 0.643 0.262 European Journal of Philosophy 0.957 0.036 European Journal of Phycology 0.555 0.119 European Journal of Physical and Rehabilitation Medicine 0.484 0.127 European Journal of Physics 0.323 0.053 European Journal of Plant Pathology 0.818 0.127 European Journal of Plastic Surgery 0.092 0.042 European Journal of Political Economy 0.862 0.045 European Journal of Political Research 0.571 0.041 European Journal of Political Theory 0.128 0.035 European Journal of Population 0.579 0.042 European Journal of Protistology 0.507 0.092 European Journal of Psychiatry 0.119 0.050 European Journal of Psychological Assessment 0.717 0.070 European Journal of Psychology of Education 0.388 0.040 European Journal of Public Health 0.981 0.168 European Journal of Radiology 0.829 0.233 European Journal of Radiology Extra 0.073 0.038 European Journal of Scientific Research 0.047 0.034 European Journal of Social Psychology 0.912 0.069 European Journal of Social Sciences 0.061 0.034 European Journal of Social Theory 0.727 0.041 European Journal of Social Work 0.158 #N/A European Journal of Soil Biology 0.574 0.071 European Journal of Soil Science 0.978 0.109 European Journal of Spatial Development 0.035 European Journal of Special Needs Education 0.325 0.039 European Journal of Sport Science 0.300 0.070 European Journal of Surgical Oncology 0.790 0.297 European Journal of Teacher Education 0.332 0.036 European Journal of the History of Economic Thought 0.207 0.035 European Journal of Transport and Infrastructure Research 0.034 European Journal of Trauma and Emergency Surgery 0.078 0.040 European journal of vascular and endovascular surgery : the official journal of the European Society for Vascular Surgery 0.945 0.242 European Journal of Wildlife Research 0.555 0.074 European Journal of Women's Studies 0.733 0.043 European Journal of Work and Organizational Psychology 0.795 0.052 European Journal on Criminal Policy and Research 0.286 0.036 European Law Journal 0.644 #N/A European Management Journal 0.694 0.043 European Medieval Drama 0.034 European Neurology 0.376 0.140 European Neuropsychopharmacology 0.626 0.235 European Physical Education Review 0.148 0.042 European Physical Journal A 0.861 0.091 European Physical Journal B 0.601 0.112 European Physical Journal C 0.811 0.101 European Physical Journal D 0.478 0.104 European Physical Journal E 0.443 0.129 European Physical Journal: Special Topics 0.249 0.062 European Planning Studies 0.443 0.040 European Political Science 0.173 #N/A European Polymer Journal 0.953 0.143 European Psychiatry 0.580 0.141 European Psychologist 0.523 0.058 European Radiology 1.134 0.357 European Radiology, Supplement 0.586 0.185 European Respiratory Journal 1.225 0.593 European Respiratory Review 0.069 0.058 European Review 0.111 0.036 European Review for Medical and Pharmacological Sciences 0.158 0.064 European Review of Aging and Physical Activity 0.200 0.058 European Review of Agricultural Economics 0.460 0.047 European review of applied psychology = Revue europenne de psychologie applique 0.378 0.045 European Review of Economic History 0.115 0.037 European Romantic Review 0.086 0.034 European Science Editing 0.025 0.049 European Societies 0.359 0.040 European Sociological Review 0.954 0.050 European Space Agency (Brochure) ESA BR 0.034 European Space Agency (Scientific and Technical Reports) ESA STR 0.035 European Spine Journal 0.829 0.168 European Surgery - Acta Chirurgica Austriaca 0.098 0.073 European Surgical Research 0.381 0.144 European Transactions on Electrical Power 0.270 0.040 European Transactions on Telecommunications 0.187 0.043 European Union Politics 0.935 0.052 European Urban and Regional Studies 0.763 0.043 European Urology 1.486 0.749 European Urology, Supplement 0.184 0.114 European Water Management Online 0.000 0.034 Europhysics Letters 0.324 0.112 Europhysics News 0.175 0.050 Evaluation 0.271 0.041 Evaluation and Program Planning 0.424 0.079 Evaluation and the Health Professions 0.738 0.148 Evaluation Review 0.473 0.068 Event Management 0.191 0.040 Evidence and Policy 0.322 0.047 Evidence Based Midwifery 0.020 0.038 Evidence report/technology assessment 0.650 0.304 Evidence-Based Communication Assessment and Intervention 0.169 0.038 Evidence-based Complementary and Alternative Medicine 0.418 0.085 Evidence-based dentistry 0.124 0.113 Evidence-Based Medicine 0.315 0.061 Evidence-based mental health 0.468 0.060 Evidence-based nursing 0.265 0.048 Evidence-Based Ophthalmology 0.034 Evidence-Based Practice 0.034 Evolution 1.428 0.680 Evolution & development 0.837 0.646 Evolution and Human Behavior 1.039 0.252 Evolution Psychiatrique 0.134 0.037 Evolutionary Anthropology 0.721 0.321 Evolutionary Bioinformatics 0.351 0.440 Evolutionary Computation 1.034 0.067 Evolutionary Ecology 0.860 0.181 Evolutionary Ecology Research 0.609 0.171 EVRS Educational Electronic Journal 0.034 Exceptional Children 1.464 0.065 Exemplaria 0.000 0.034 Exercise and Sport Sciences Reviews 1.000 0.255 Exercise Immunology Review 0.722 0.136 Experimental Aging Research 0.377 0.089 Experimental Agriculture 0.252 0.043 Experimental and Applied Acarology 0.663 0.083 Experimental and Clinical Cardiology 0.089 0.087 Experimental and Clinical Endocrinology and Diabetes 0.352 0.165 Experimental and Clinical Hepatology 0.081 0.034 Experimental and Clinical Psychopharmacology 0.673 0.177 Experimental and clinical transplantation : official journal of the Middle East Society for Organ Transplantation 0.151 0.079 Experimental and Molecular Medicine 0.534 0.302 Experimental and Molecular Pathology 0.478 0.279 Experimental and Toxicologic Pathology 0.374 0.141 Experimental Animals 0.244 0.121 Experimental Astronomy 0.280 0.060 Experimental Biology and Medicine 0.527 0.237 Experimental Brain Research 0.933 0.241 Experimental Cell Research 0.785 0.864 Experimental Dermatology 0.744 0.378 Experimental diabetes research 0.499 0.408 Experimental Economics 1.339 0.120 Experimental Eye Research 0.575 0.286 Experimental Gerontology 0.577 0.423 Experimental Heat Transfer 0.468 0.051 Experimental Hematology 0.575 0.561 Experimental Lung Research 0.247 0.175 Experimental Mathematics 0.368 0.046 Experimental Mechanics 0.930 0.091 Experimental Neurology 0.815 0.470 Experimental Oncology 0.209 0.117 Experimental Parasitology 0.451 0.178 Experimental Physiology 0.697 0.307 Experimental Psychology 0.769 0.139 Experimental Techniques 0.268 0.045 Experimental Thermal and Fluid Science 1.002 0.074 Experiments in Fluids 1.212 0.119 Expert Opin Drug Saf 0.456 0.215 Expert Opinion on Biological Therapy 0.486 0.371 Expert Opinion on Drug Delivery 0.519 0.252 Expert Opinion on Drug Discovery 0.178 0.172 Expert opinion on drug metabolism & toxicology. 0.504 0.262 Expert Opinion on Emerging Drugs 0.409 0.240 Expert Opinion on Investigational Drugs 0.625 0.397 Expert Opinion on Pharmacotherapy 0.369 0.178 Expert Opinion on Therapeutic Patents 0.304 0.129 Expert Opinion on Therapeutic Targets 0.530 0.476 Expert Review of Anticancer Therapy 0.398 0.276 Expert Review of Anti-Infective Therapy 0.459 0.313 Expert review of cardiovascular therapy 0.276 0.168 Expert Review of Clinical Immunology 0.072 0.064 Expert Review of Clinical Pharmacology 0.110 0.059 Expert Review of Dermatology 0.056 0.054 Expert Review of Endocrinology and Metabolism 0.037 0.060 Expert review of gastroenterology & hepatology 0.076 0.097 Expert Review of Medical Devices 0.316 0.140 Expert Review of Molecular Diagnostics 0.544 0.322 Expert Review of Neurotherapeutics 0.411 0.181 Expert Review of Obstetrics and Gynecology 0.055 0.041 Expert Review of Ophthalmology 0.014 0.035 Expert Review of Pharmacoeconomics and Outcomes Research 0.164 0.066 Expert Review of Proteomics 0.273 0.241 Expert Review of Respiratory Medicine 0.058 0.065 Expert Review of Vaccines 0.647 0.451 Expert reviews in molecular medicine 0.673 0.621 Expert Systems 0.784 0.056 Expert Systems with Applications 1.847 0.068 Explicator 0.033 Exploration and Mining Geology 0.159 0.036 Exploration Geophysics 0.309 0.059 Explorations in Economic History 0.347 0.041 Explore: The Journal of Science and Healing 0.095 0.047 Explosion 0.034 Expositiones Mathematicae 1.085 0.048 Expository Times 0.115 0.034 Express Polymer Letters 0.417 0.068 Expressions Maghrebines 0.034 EXS 0.229 0.193 Extremes 0.573 0.076 Extremophiles 0.757 0.238 Eye 0.477 0.136 Eye Contact Lens 0.441 0.110 F, The Fashion Business 0.034 Fa yi xue za zhi 0.016 0.035 Fabula 0.000 0.034 Facial Plastic Surgery 0.395 0.060 Facial plastic surgery clinics of North America 0.409 0.066 Facies 0.497 0.062 Facilities 0.147 0.038 Facts, News and Views 0.034 Familial Cancer 0.428 0.263 Familiendynamik 0.117 0.035 Families in Society 0.251 0.038 Families, Systems and Health 0.307 0.046 Family and Community Health 0.484 0.088 Family Business Review 0.377 0.037 Family Journal 0.213 0.040 Family Law Quarterly 0.042 0.035 Family medicine 0.414 0.085 Family Medicine and Primary Care Review 0.029 0.035 Family Practice 0.731 0.143 Family practice management 0.112 0.050 Family Process 0.896 0.077 Family Relations 1.117 0.065 Family Therapy 0.012 0.035 Fangzhi Gaoxiao Jichukexue Xuebao 0.000 0.033 FAO food and nutrition paper 0.034 Faraday Discussions 0.882 0.331 Farmaceuticky Obzor 0.002 0.035 Farmaceutico Hospitales 0.034 Farmaceutisch Tijdschrift voor Belgie 0.108 0.034 Farmaceutski Glasnik 0.213 0.034 Farmacevtski Vestnik 0.012 0.036 Farmacia 0.044 0.038 Farmacia Hospitalaria 0.220 0.043 FASEB Journal 0.969 0.830 Fashion Theory - Journal of Dress Body and Culture 0.185 0.035 Fatigue and Fracture of Engineering Materials and Structures 0.612 0.061 Fauna Norvegica 0.000 0.035 FDA consumer 0.034 0.040 FEBS Journal 0.705 0.471 FEBS Letters 0.608 0.520 Feddes Repertorium 0.155 0.038 Federal Probation 0.175 0.038 Federal register 0.063 0.052 Federal Reserve Bank of St. Louis Review 0.572 0.045 Felsbau 0.143 0.034 Felsbau Magazin 0.034 Feminism and Psychology 0.319 0.041 Feminist Criminology 0.169 0.034 Feminist Economics 1.025 0.047 Feminist Legal Studies 0.140 0.036 Feminist Media Studies 0.368 0.036 Feminist Review 0.254 0.037 Feminist Studies 0.266 0.035 Feminist Theory 0.466 0.040 FEMS Immunology and Medical Microbiology 0.466 0.209 FEMS Microbiology Ecology 1.048 0.234 FEMS Microbiology Letters 0.590 0.241 FEMS Microbiology Reviews 2.731 1.440 FEMS Yeast Research 0.583 0.312 Fen zi xi bao sheng wu xue bao = Journal of molecular cell biology / Zhongguo xi bao sheng wu xue xue hui zhu ban 0.019 0.040 Fenmo Yejin Jishu/Powder Metallurgy Technology 0.068 0.035 Fennia 0.041 0.035 Fenxi Huaxue 0.133 0.052 Fern Gazette 0.018 0.035 Ferroelectrics 0.257 0.055 Ferroelectrics, Letters Section 0.192 0.057 Fertility and Sterility 0.761 0.203 Fetal and Maternal Medicine Review 0.155 0.061 Fetal and Pediatric Pathology 0.190 0.066 Fetal Diagnosis and Therapy 0.352 0.151 Feuillets de Biologie 0.000 0.033 Feuillets de Radiologie 0.039 0.034 Few-Body Systems 0.567 0.070 Fiber and Integrated Optics 0.249 0.053 Fibers and Polymers 0.157 0.044 Fibonacci Quarterly 0.163 0.036 Fibre Chemistry 0.019 0.036 Fibres and Textiles in Eastern Europe 0.055 0.041 Field Crops Research 1.049 0.102 Field Methods 0.182 #N/A Field Mycology 0.033 0.037 Figures de la Psychanalyse 0.013 0.034 Filaria Journal 0.556 0.115 Film Criticism 0.034 Film History: An International Journal 0.045 0.034 Film Quarterly 0.165 #N/A Film Studies 0.034 Filosoficky Casopis 0.034 Filozofia 0.031 0.033 Filozofski Vestnik 0.000 0.034 Filtration 0.097 0.038 Filtration and Separation 0.032 0.036 Finance a Uver 0.168 0.035 Finance and Stochastics 2.189 0.073 Finance Research Letters 0.292 0.038 Financial Analysts Journal 0.649 0.042 Financial History Review 0.255 0.035 Financial Management 1.037 0.047 Financial Markets and Portfolio Management 0.218 0.039 Financial Markets, Institutions and Instruments 0.650 0.042 FinanzArchiv 0.112 0.035 Findings brief [electronic resource] : health care financing & organization 0.000 0.034 Finishing Today 0.022 0.034 Finite Elements in Analysis and Design 1.039 0.067 Finite Fields and their Applications 1.066 0.063 Fire and Materials 0.547 0.061 Fire Rescue Magazine 0.033 Fire Safety Journal 1.488 0.069 Fire Technology 0.566 0.047 First Break 0.216 0.044 First Language 0.513 0.125 First Monday 0.181 0.039 Fiscal Studies 0.591 0.065 Fish and Fisheries 1.411 0.260 Fish and Shellfish Immunology 1.207 0.186 Fish Pathology 0.160 0.047 Fish Physiology and Biochemistry 0.453 0.063 Fisheries 0.432 0.084 Fisheries Management and Ecology 0.566 0.058 Fisheries Oceanography 0.808 0.107 Fisheries Research 0.763 0.070 Fisheries Science 0.399 0.055 Fishery Bulletin 0.627 0.079 Fisioterapia 0.028 0.039 Fitopatologia Brasileira 0.242 0.039 Fitoterapia 0.575 0.081 Fixed Point Theory and Applications 0.268 0.048 Fizika Nizkikh Temperatur (Kharkov) 0.331 0.053 Fiziologiia cheloveka 0.208 0.038 Fiziolohichnyi zhurnal (Kiev, Ukraine : 1994) 0.058 0.042 Fizjoterapia 0.020 0.035 Fizjoterapia Polska 0.062 0.035 Fizyoterapi Rehabilitasyon 0.013 0.034 Flavour and Fragrance Journal 0.480 0.076 Flexible Services and Manufacturing Journal 0.042 Flora 0.556 0.069 Florida Entomologist 0.385 0.052 Florida Geographer 0.034 Flow Measurement and Instrumentation 1.249 0.062 Flow, Turbulence and Combustion 0.540 0.062 Fluctuation and Noise Letters 0.311 0.061 Fluid Dynamics 0.436 0.041 Fluid Dynamics and Materials Processing 0.176 0.058 Fluid Dynamics Research 0.736 0.063 Fluid Phase Equilibria 0.818 0.113 Fluoride 0.422 0.063 Flux 0.248 0.034 Fly 0.157 0.318 FMC Formacion Medica Continuada en Atencion Primaria 0.009 0.034 Focus (San Francisco, Calif.) 0.000 0.034 Focus on Alternative and Complementary Therapies 0.034 Focus on Exceptional Children 0.187 0.039 Focus on Geography 0.086 0.034 Focus on Parkinson's Disease 0.169 0.078 FOG - Freiberg Online Geoscience 0.035 Fogorvosi szemle 0.092 0.043 Foldrajzi Ertesito 0.034 Folia Biologica 0.239 0.125 Folia Biologica 0.111 0.054 Folia Forestalia Polonica, Series A 0.034 Folia Geobotanica 0.392 0.071 Folia Histochemica et Cytobiologica 0.252 0.138 Folia Linguistica 0.017 0.034 Folia Linguistica Historica 0.245 0.034 Folia medica 0.032 0.039 Folia medica Cracoviensia 0.027 0.039 Folia microbiologica 0.337 0.088 Folia Morphologica 0.165 0.052 Folia Neuropathologica 0.224 0.106 Folia Oecologica 0.095 0.035 Folia Ophthalmologica Japonica 0.006 0.034 Folia Parasitologica 0.466 0.095 Folia Pharmacologica Japonica 0.015 0.035 Folia Phoniatrica et Logopaedica 0.661 0.087 Folia primatologica; international journal of primatology 0.626 0.101 Folia Zoologica 0.226 0.044 Folk Life 0.034 Folk Music Journal 0.034 Folklore 0.268 0.034 Food & foodways 0.374 0.035 Food and Agricultural Immunology 0.097 0.048 Food and Bioproducts Processing: Transactions of the Institution of of Chemical Engineers, Part C 0.352 0.061 Food and Chemical Toxicology 0.707 0.141 Food and Drug Law Journal 0.074 0.062 Food and Nutrition Bulletin 0.437 0.129 Food Australia 0.067 0.042 Food Biophysics 0.571 0.115 Food Biotechnology 0.165 0.052 Food Chemistry 1.445 0.148 Food Control 0.922 0.111 Food Hydrocolloids 1.586 0.147 Food Microbiology 1.268 0.172 Food Policy 0.697 0.072 Food Quality and Preference 1.017 0.080 Food Research International 1.270 0.120 Food Reviews International 0.796 0.094 Food Science and Biotechnology 0.099 0.044 Food Science and Technology 0.025 0.036 Food Science and Technology International 0.373 0.057 Food Science and Technology Research 0.260 0.054 Food Technology and Biotechnology 0.541 0.086 Food, Culture and Society 0.034 Foodborne Pathogens and Disease 0.443 0.168 Foot 0.232 0.039 Foot and ankle clinics 0.525 0.067 Foot and Ankle International 0.833 0.081 Foot and Ankle Surgery 0.196 0.041 Fordham Law Review 0.092 0.034 Foreign Affairs 1.259 0.055 Foreign Language Annals 0.303 0.036 Foreign Literature Studies 0.033 Foreign Policy 0.237 0.036 Forensic Engineering, Proceedings of the Congress 0.034 Forensic Science International 0.656 0.170 Forensic Science International: Genetics 0.192 0.284 Forensic Science International: Genetics Supplement Series 0.014 0.037 Forensic Science, Medicine, and Pathology 0.044 0.060 Forensic Toxicology 0.106 0.096 Forensische Psychiatrie, Psychologie, Kriminologie 0.159 0.036 Foresight 0.116 0.037 Forest Ecology and Management 0.801 0.086 Forest Pathology 0.724 0.061 Forest Policy and Economics 0.749 0.061 Forest Products Journal 0.337 0.045 Forest Research (China) 0.035 0.035 Forest Science 0.861 0.077 Forest Snow and Landscape Research 0.336 0.055 Forestry 0.749 0.064 Forestry Chronicle 0.258 0.044 Forestry Studies 0.024 0.036 Forestry Studies in China 0.120 0.039 Forests Trees and Livelihoods 0.275 0.040 Formal Aspects of Computing 0.955 0.064 Formal Methods in System Design 0.598 0.062 Formulary 0.022 0.040 Forschende Komplementarmedizin 0.291 0.060 Forschung im Ingenieurwesen/Engineering Research 0.379 0.040 Forschungsbericht - Deutsche Forschungsanstalt fuer Luft - und Raumfahrt, DLR-FB 0.000 0.034 Fortschritte der Chemie organischer Naturstoffe. Progress in the chemistry of organic natural products. Progrs dans la chimie des substances organiques naturelles 0.124 0.046 Fortschritte der Neurologie Psychiatrie 0.159 0.048 Fortschritte der Physik 0.247 0.064 Fortune 0.013 0.034 Forum 0.115 0.035 Forum der Psychoanalyse 0.106 0.034 Forum for Development Studies 0.000 0.034 Forum for Modern Language Studies 0.033 0.034 Forum for Nordic Dermato-Venerology 0.034 Forum Italicum 0.034 Forum Logopadie 0.061 0.036 Forum Mathematicum 0.691 0.043 Forum Modernes Theater 0.034 Forum of Nutrition 0.227 0.093 Forum Qualitative Sozialforschung 0.067 0.036 Fossils 0.061 0.036 Foundation Years 0.004 0.034 Foundations and Trends in Communications and Information Theory 1.813 0.132 Foundations and Trends in Computer Graphics and Vision 3.005 0.100 Foundations and Trends in Electronic Design Automation 0.813 0.060 Foundations and Trends in Entrepreneurship 0.000 Foundations and Trends in Human-Computer Interaction 0.711 0.038 Foundations and Trends in Information Retrieval 2.152 0.092 Foundations and Trends in Networking 0.847 0.065 Foundations of Chemistry 0.318 0.073 Foundations of Computational Mathematics 1.479 0.086 Foundations of Physics 0.373 0.061 Foundations of Science 0.253 0.040 Fractals 0.520 0.067 Fragmenta Floristica et Geobotanica Polonica 0.309 0.035 Francia. Forschungen zur Westeuropaischen Geschichte 0.158 0.034 Free Radical Biology and Medicine 1.135 0.599 Free Radical Research 0.590 0.262 French Cultural Studies 0.053 0.034 French Forum 0.034 French historical studies 0.027 0.034 French History 0.280 0.034 French Review 0.072 0.034 French Studies 0.103 0.034 French Studies Bulletin 0.034 Frequenz 0.188 0.038 Fresenius Environmental Bulletin 0.186 0.045 Freshwater Biology 1.190 0.120 Frontiers 0.000 0.034 Frontiers in bioscience : a journal and virtual library. 0.665 0.577 Frontiers in Ecology and the Environment 1.608 0.570 Frontiers in Mathematics 0.004 0.034 Frontiers in Neuroendocrinology 2.537 1.138 Frontiers in Zoology 1.180 0.260 Frontiers of Agriculture in China 0.037 0.038 Frontiers of Architecture and Civil Engineering in China 0.014 0.035 Frontiers of Biology in China 0.047 0.040 Frontiers of Business Research in China 0.034 Frontiers of Chemical Engineering in China 0.036 0.039 Frontiers of Chemistry in China 0.031 0.038 Frontiers of Computer Science in China 0.252 0.045 Frontiers of Earth Science in China 0.025 0.035 Frontiers of Economics in China 0.052 0.035 Frontiers of Education in China 0.070 0.034 Frontiers of Electrical and Electronic Engineering in China 0.060 0.034 Frontiers of Energy and Power Engineering in China 0.076 0.036 Frontiers of Environmental Science and Engineering in China 0.058 0.041 Frontiers of Forestry in China 0.035 0.036 Frontiers of health services management 0.275 0.058 Frontiers of History in China 0.034 Frontiers of Hormone Research 0.644 0.383 Frontiers of Law in China 0.009 0.034 Frontiers of Literary Studies in China 0.034 Frontiers of Materials Science in China 0.090 0.044 Frontiers of Mathematics in China 0.177 0.036 Frontiers of Mechanical Engineering in China 0.088 0.035 Frontiers of Medicine in China 0.018 0.036 Frontiers of neurology and neuroscience 0.181 0.074 Frontiers of oral biology 0.250 0.132 Frontiers of Philosophy in China 0.034 Frontiers of Physics in China 0.154 0.054 Frontiers of Radiation Therapy and Oncology 0.144 0.097 Fruits 0.285 0.046 FTR - Turkiye Fiziksel Tip ve Rehabilitasyon Dergisi 0.000 0.034 Fudan University Journal of Medical Sciences 0.018 0.036 Fuel 1.626 0.151 Fuel Cells 0.634 0.219 Fuel Cells Bulletin 0.102 0.066 Fuel Processing Technology 1.372 0.133 Fuels and Lubes International 0.034 Fuhe Cailiao Xuebao/Acta Materiae Compositae Sinica 0.553 0.054 Fujitsu Scientific and Technical Journal 0.061 0.042 Fukuoka igaku zasshi = Hukuoka acta medica 0.032 0.044 Fukushima journal of medical science 0.036 0.037 Fullerenes Nanotubes and Carbon Nanostructures 0.152 0.061 Functional Analysis and its Applications 0.378 0.037 Functional and Integrative Genomics 1.055 0.770 Functional Ecology 1.414 0.309 Functional Neurology 0.213 0.076 Functional Plant Biology 0.642 0.196 Functions of Language 0.241 0.035 Fundamenta Informaticae 0.445 0.047 Fundamenta Mathematicae 0.912 0.043 Fundamental and Applied Limnology 0.504 0.062 Fundamental and Clinical Pharmacology 0.465 0.190 Fungal Biology Reviews 0.712 0.121 Fungal Diversity 0.678 0.077 Fungal Ecology 0.195 0.050 Fungal Genetics and Biology 0.737 0.368 Funtai Oyobi Fummatsu Yakin/Journal of the Japan Society of Powder and Powder Metallurgy 0.058 0.041 Furukawa Review 0.071 0.037 Fusion Engineering and Design 1.049 0.070 Fusion Science and Technology 0.641 0.075 Fuss und Sprunggelenk 0.164 0.038 Future Cardiology 0.048 0.050 Future Generation Computer Systems 1.279 0.060 Future HIV Therapy 0.029 0.045 Future Lipidology 0.097 0.086 Future microbiology 0.463 0.302 Future Neurology 0.072 0.089 Future of Children 1.590 0.275 Future oncology (London, England) 0.321 0.317 Future Rheumatology 0.026 0.041 Future Virology 0.121 0.095 Futures 0.454 0.047 Futuribles (Paris, France : 1981) 0.032 #N/A Fuzzy Optimization and Decision Making 0.979 0.067 Fuzzy Sets and Systems 1.365 0.069 Gaceta Medica de Caracas 0.034 Gaceta mdica de Mxico 0.049 0.039 Gaceta sanitaria / S.E.S.P.A.S 0.320 0.054 GAIA 0.085 0.038 Gait and Posture 1.398 0.163 Game Studies 0.301 0.037 Games and Culture 0.791 #N/A Games and Economic Behavior 1.114 0.081 Gao Xiao Hua Xue Gong Cheng Xue Bao/Journal of Chemical Engineering of Chinese Universities 0.322 0.047 Gaodianya Jishu/High Voltage Engineering 0.612 0.046 Gaofenzi Cailiao Kexue Yu Gongcheng/Polymeric Materials Science and Engineering 0.160 0.039 Gaojishu Tongxin/High Technology Letters 0.073 0.037 Gaoya Dianqi/High Voltage Apparatus 0.247 0.039 Gaoya Wuli Xuebao/Chinese Journal of High Pressure Physics 0.088 0.037 Gastric Cancer 0.900 0.392 Gastroenterologe 0.007 0.034 Gastroenterologia Polska 0.062 0.036 Gastroenterologa y hepatologa 0.130 0.050 Gastroenterologia y Hepatologia Continuada 0.034 Gastroenterological Endoscopy 0.051 0.040 Gastroenterologie Clinique et Biologique 0.209 0.085 Gastroenterology 1.619 1.141 Gastroenterology and Hepatology 0.033 0.044 Gastroenterology Clinics of North America 0.618 0.246 Gastroenterology nursing : the official journal of the Society of Gastroenterology Nurses and Associates 0.158 0.058 Gastrointestinal Endoscopy 1.043 0.479 Gastrointestinal Endoscopy Clinics of North America 0.413 0.210 Gastrointestinal Nursing 0.012 0.034 Gayana 0.081 0.036 Gayana - Botanica 0.033 0.036 Gazi Medical Journal 0.014 0.034 Gazzetta Medica Italiana Archivio per le Scienze Mediche 0.010 0.034 Geburtshilfe und Frauenheilkunde 0.055 0.036 GED - Gastrenterologia Endoscopia Digestiva 0.017 0.035 Gefahrstoffe Reinhaltung der Luft 0.069 0.040 Gefasschirurgie 0.162 0.042 Gematologiya i Transfusiologiya 0.034 Gems and Gemology 0.560 0.053 Gender & history 0.191 0.034 Gender and development 0.176 0.042 Gender and Education 0.833 0.043 Gender and Society 1.254 0.050 Gender in Management 0.193 0.039 Gender Medicine 0.450 0.243 Gender, Place and Culture 0.426 0.041 Gender, technology and development 0.071 0.035 Gender, Work and Organization 1.002 0.046 Gene 0.634 0.459 Gene expression 0.247 0.239 Gene Expression Patterns 0.496 0.582 Gene Therapy 0.879 0.818 Gene Therapy and Molecular Biology 0.100 0.082 Gene Therapy and Regulation 0.104 0.059 Geneesmiddelenbulletin 0.034 General and Comparative Endocrinology 0.946 0.203 General dentistry 0.102 0.049 General Hospital Psychiatry 0.836 0.184 General Medicine 0.034 General Physiology and Biophysics 0.214 0.101 General Relativity and Gravitation 0.573 0.089 General Thoracic and Cardiovascular Surgery 0.208 0.070 Generations 0.145 0.039 Genes & genetic systems 0.337 0.201 Genes and Development 2.075 5.885 Genes and Genomics 0.080 0.042 Genes and Immunity 0.826 0.833 Genes and Nutrition 0.119 0.127 Genes Chromosomes and Cancer 0.779 1.019 Genes to Cells 0.609 0.945 Genes, Brain and Behavior 0.706 0.455 Geneses 0.425 0.035 Genesis 0.499 0.762 Genetic Counseling 0.142 0.075 Genetic engineering 0.461 0.258 Genetic Engineering and Biotechnology News 0.011 0.036 Genetic Epidemiology 0.739 1.006 Genetic Programming and Evolvable Machines 0.588 0.057 Genetic Resources and Crop Evolution 0.596 0.071 Genetic testing and molecular biomarkers 0.123 Genetic Vaccines and Therapy 0.485 0.258 Genetic, Social, and General Psychology Monographs 0.782 0.080 Genetica 0.494 0.183 Genetical Research 0.290 0.210 Genetics 0.848 1.040 Genetics and Molecular Biology 0.219 0.055 Genetics and Molecular Research 0.257 0.100 Genetics in medicine : official journal of the American College of Medical Genetics 0.734 0.537 Genetics Selection Evolution 0.494 0.137 Genetika 0.128 0.043 Geneva Papers on Risk and Insurance: Issues and Practice 0.273 0.040 GENEVA Risk and Insurance Review 0.937 0.047 Genome 0.652 0.190 Genome biology 1.182 1.746 Genome dynamics 0.230 0.319 Genome informatics series : proceedings of the . Workshop on Genome Informatics. Workshop on Genome Informatics 0.159 0.158 Genome Research 2.118 4.033 Genomic Medicine 0.185 0.094 Genomics 0.638 0.688 Genomics Proteomics Bioinformatics 0.229 0.200 Genus 0.034 GeoActa 0.188 0.041 GeoArabia 0.906 0.049 Geoarchaeology - An International Journal 0.397 0.051 Geobiology 0.631 0.433 Geobios 0.321 0.042 Geocarto International 0.169 0.038 Geochemical Journal 0.238 0.051 Geochemical Transactions 0.793 0.108 Geochemistry International 0.059 0.038 Geochemistry: Exploration, Environment, Analysis 0.563 0.058 Geochimica et Cosmochimica Acta 1.416 0.290 Geochronometria 0.231 0.050 Geociencias (Sao Paulo) 0.179 0.034 Geoderma 1.183 0.101 Geodesy and Cartography 0.268 0.035 Geodetski List 0.034 Geodetski Vestnik (Ljubljana) 0.034 Geodezia es Kartografia 0.048 0.034 Geodinamica Acta 0.242 0.051 Geodiversitas 0.229 0.044 Geofisica Internacional 0.196 0.043 Geofizika 0.018 0.034 Geofluids 0.661 0.121 Geoforum 0.575 0.054 Geografia Fisica e Dinamica Quaternaria 0.110 0.038 Geograficky Casopis 0.094 0.034 Geografija V Soli 0.034 Geografisk Tidsskrift 0.244 0.039 Geografiska Annaler, Series A: Physical Geography 0.303 0.048 Geografiska Annaler, Series B 0.783 0.052 Geografiski Vestnik 0.055 0.034 Geografski Obzornik 0.034 Geographia Polonica 0.102 0.035 Geographical Analysis 1.692 0.124 Geographical Bulletin - Gamma Theta Upsilon 0.000 0.034 Geographical Journal 0.696 0.060 Geographical Research 0.373 0.050 Geographical Review 0.088 0.035 Geographical Review of Japan 0.012 0.034 Geographie 0.034 Geographie Economie Societe 0.165 0.034 Geographie et Cultures 0.068 0.034 Geographie Physique et Quaternaire 0.116 0.038 Geographische Rundschau 0.044 0.035 Geographische Zeitschrift 0.000 0.034 Geography 0.158 0.036 Geography and Natural Resources 0.034 Geography Compass 0.034 Geography Research Forum 0.000 0.034 Geography Review 0.082 0.034 Geo-Info 0.033 GeoInformatica 0.797 0.044 GeoJournal 0.286 0.038 Geologia Croatica 0.257 0.037 Geologia Sudetica 0.274 0.040 Geologia USP - Serie Cientifica 0.131 0.035 Geologica Acta 0.577 0.062 Geologica Belgica 0.083 0.040 Geologica Carpathica 0.367 0.043 Geological Journal 0.615 0.168 Geological Magazine 0.621 0.076 Geological Quarterly 0.297 0.040 Geological Society Special Publication 0.216 0.047 Geological Survey of Denmark and Greenland Bulletin 0.133 0.039 Geologie de la France 0.156 0.071 Geologie en Mijnbouw 0.380 0.050 Geologie und Palaontologie in Westfalen 0.034 Geologiya Nefti i Gaza 0.000 0.034 Geology 1.122 0.323 Geology in China 0.137 0.040 Geology of Central Europe 0.019 0.034 Geology of Ore Deposits 0.021 0.035 Geomagnetism and Aeronomy 0.398 0.045 Geo-Marine Letters 0.738 0.064 Geomatica 0.048 0.035 Geomechanics and Geoengineering 0.202 0.039 Geometriae Dedicata 0.566 0.042 Geometric and Functional Analysis 0.913 0.051 Geometry and Topology 0.643 0.042 Geomicrobiology Journal 0.574 0.124 Geomorfologiya 0.000 0.034 Geomorphologie. Relief, Processus, Environnement 0.046 0.034 Geomorphology 0.834 0.114 Geophysica 0.000 0.034 Geophysical and Astrophysical Fluid Dynamics 0.453 0.182 Geophysical Journal International 0.953 0.161 Geophysical Prospecting 0.707 0.058 Geophysical Research Letters 0.785 0.234 Geophysical Transactions 2.032 0.037 Geophysics 1.077 0.071 Geopolitics 0.297 0.037 Geopolitics of Energy 0.028 0.035 George Washington Law Review 0.076 0.034 Georgetown Law Journal 0.140 0.043 Georgia Review 0.034 Georgian medical news. 0.030 0.038 Georisk 0.083 0.040 Geoscience Canada 0.180 0.042 Geoscience Reports - Shizuoka University 0.000 0.034 Geosciences 0.034 Geosciences Journal 0.227 0.043 Geospatial health 0.168 0.086 Geo-Spatial Information Science 0.077 0.035 Geosphere 0.183 0.047 Geostandards and Geoanalytical Research 0.415 0.114 Geosynthetics International 0.878 0.061 Geotechnical and Geological Engineering 0.563 0.044 Geotechnical Engineering 0.145 0.034 Geotechnical Fabrics Report 0.047 0.034 Geotechnical News 0.171 0.035 Geotechnical Special Publication 0.040 0.034 Geotechnical Testing Journal 0.769 0.044 Geotechnik 0.030 0.034 Geotechnique 1.379 0.057 Geotectonics 0.726 0.046 Geotermia - Revista Mexicana de Geoenergia 0.158 0.036 Geotextiles and Geomembranes 2.491 0.077 Geothermics 0.933 0.062 Geriatric and Medical Intelligence 0.034 Geriatric nursing (New York, N.Y.) 0.234 0.052 Geriatrics 0.163 0.066 Geriatrics and Aging 0.031 0.037 Geriatrics and Gerontology International 0.176 0.051 German Economic Review 0.344 0.038 German History 0.165 0.034 German Journal of Psychiatry 0.052 0.036 German Life and Letters 0.620 0.034 German Quarterly 0.762 0.035 German Studies Review 0.093 0.034 Germanic Notes and Reviews 0.034 Germanic Review 0.052 0.034 Germanisch-Romanische Monatsschrift 0.034 Gerodontology 0.544 0.076 Gerokomos 0.103 0.037 Gerontologie et Societe 0.015 0.034 Gerontology 0.607 0.179 Gerontology & geriatrics education 0.291 0.074 Geschichte und Gesellschaft (Vandenhoeck & Ruprecht) 0.000 0.034 Gesnerus 0.035 0.036 GESTA-International Center of Medieval Art 0.034 Gestalt 0.034 Gestao e Producao 0.021 0.036 Gestion y Politica Publica 0.099 0.034 Gesture 0.109 #N/A Gesunde Pflanzen 0.257 0.049 Gesundheitsokonomie und Qualitatsmanagement 0.227 0.046 Gesundheitswesen 0.173 0.049 Gesundheitswesen, Supplement 0.000 0.034 Gewina 0.035 GFF 0.239 0.048 GHA today 0.034 Gifted Child Quarterly 0.416 0.045 Gigiena i sanitariia 0.002 0.034 GIMT - Giornale Italiano delle Malattie del Torace 0.002 0.034 Gineco.ro 0.034 Ginecologia y Obstetricia Clinica 0.000 0.034 Ginecologia y Obstetricia de Mexico 0.063 0.038 Ginekologia Onkologiczna 0.034 Ginekologia polska 0.067 0.043 Ginekologia Praktyczna 0.032 0.034 Giornale Critico della Filosofia Italiana 0.034 Giornale del Farmacista 0.034 Giornale del Medico 0.034 Giornale dell'Odontoiatra 0.034 Giornale di Gerontologia 0.016 0.036 Giornale di Neuropsicofarmacologia 0.034 Giornale Italiano di Cardiologia 0.057 0.042 Giornale Italiano di Dermatologia e Venereologia 0.051 0.043 Giornale Italiano di Diabetologia e Metabolismo 0.039 0.035 Giornale Italiano di Endoscopia Digestiva 0.034 Giornale Italiano di Farmacia Clinica 0.017 0.035 Giornale italiano di medicina del lavoro ed ergonomia 0.028 0.038 Giornale Italiano di Medicina Sessuale e Riproduttiva 0.034 Giornale Italiano di Medicina Tropicale 0.000 0.034 Giornale Italiano di Nefrologia 0.021 0.037 Giornale Italiano di Ostetricia e Ginecologia 0.039 0.034 Giornale Italiano di Psicopatologia 0.003 0.034 Giovane Odontoiatria 0.034 GIS-Business 0.010 0.033 GIScience and Remote Sensing 0.264 0.047 GIS-Zeitschrift fur Geoinformatik 0.034 Glas. Srpska akademija nauka i umetnosti. Odeljenje medicinskih nauka 0.105 0.034 Glasgow Mathematical Journal 0.521 0.046 Glasnik Matematicki 0.175 0.039 Glasnik za Sumske Pokuse 0.057 0.035 Glass and Ceramics (English translation of Steklo i Keramika) 0.048 0.035 Glass International 0.034 Glass Physics and Chemistry 0.062 0.039 Glass Technology: European Journal of Glass Science and Technology Part A 0.116 0.047 GLIA 0.897 0.853 Global and Planetary Change 0.840 0.143 Global Biogeochemical Cycles 0.969 0.356 Global Business and Economics Review 0.139 0.036 Global Business and Organizational Excellence 0.098 0.035 Global Change Biology 1.782 0.472 Global Crime 0.144 0.037 Global Ecology and Biogeography 1.619 0.327 Global Economy Journal 0.251 0.038 Global Environmental Change 1.520 0.191 Global Environmental Politics 0.352 0.098 Global Finance Journal 0.401 0.039 Global Governance 0.359 0.041 Global health promotion 0.053 Global Journal of Flexible Systems Management 0.030 0.034 Global Jurist 0.127 0.034 Global Media and Communication 0.076 0.034 Global Networks 0.683 0.045 Global Pipeline Monthly 0.034 Global public health 0.186 0.075 Global Social Policy 0.203 0.038 Global Society 0.272 0.036 Globalization and Health 1.190 0.421 Glotta - Zeitschrift fur Griechische und Lateinische Sprache 0.034 GLQ 0.265 0.034 Glueckauf Mining Reporter 0.034 Glycobiology 0.998 0.698 Glycoconjugate Journal 0.486 0.353 GMHC treatment issues : the Gay Men's Health Crisis newsletter of experimental AIDS therapies 0.000 0.034 Goethe Jahrbuch 0.034 Gogus-Kalp-Damar Anestezi ve Yogun Bakim Dernegi Dergisi 0.000 0.034 Gold Bulletin 0.540 0.165 Gondwana Research 1.268 0.098 Gong Cheng Li Xue/Engineering Mechanics 0.412 0.042 Gongneng Cailiao/Journal of Functional Materials 0.050 0.037 Good Clinical Practice Journal 0.015 0.037 Gornyi Zhurnal 0.047 0.035 Gorteria: Tijdschrift voor Onderzoek aan de Wilde Flora 0.569 0.034 Governance 0.858 0.045 Government and Opposition 0.827 0.040 Government Information Quarterly 0.952 0.055 GOVOR 0.034 Goya 0.034 Goztepe Tip Dergisi 0.010 0.034 GPS Solutions 0.544 0.062 Gradiva 0.034 Graefe's Archive for Clinical and Experimental Ophthalmology 0.472 0.156 GRANA 0.347 0.064 Granular Matter 0.716 0.071 Graphical Models 0.900 0.066 Graphs and Combinatorics 0.811 0.047 Grasas y Aceites 0.436 0.056 Grass and Forage Science 0.944 0.069 Great Lakes Entomologist 0.074 0.038 Great Plains Quarterly 0.144 0.034 Great Plains Research 0.263 0.038 Greece and Rome 0.000 0.034 Greek, Roman and Byzantine Studies 0.136 0.034 Green Chemistry 1.213 0.331 Green Chemistry Letters and Reviews 0.172 0.059 Gregorianum 0.034 Grey Room 0.115 #N/A Grotiana 0.034 Ground Water 0.945 0.075 Ground Water Monitoring and Remediation 0.498 0.073 Group Analysis 0.161 0.037 Group and Organization Management 0.986 0.056 Group Decision and Negotiation 0.756 0.045 Group Dynamics 0.609 0.053 Group Processes and Intergroup Relations 0.728 0.081 Groupwork 0.139 0.036 Growth and Change 0.784 0.045 Growth Factors 0.679 0.558 Growth Hormone and IGF Research 0.612 0.260 Growth, Development and Aging 0.061 0.064 Grundwasser 0.241 0.047 Gruppendynamik 0.073 0.036 Gruppenpsychotherapie und Gruppendynamik 0.163 0.037 GSA Today 0.662 0.255 Guang Pu Xue Yu Guang Pu Fen Xi/Spectroscopy and Spectral Analysis 0.709 0.054 Guangdian Gongcheng/Opto-Electronic Engineering 0.359 0.046 Guangdianzi Jiguang/Journal of Optoelectronics Laser 0.703 0.059 Guangxue Jingmi Gongcheng/Optics and Precision Engineering 0.953 0.058 Guangxue Jishu/Optical Technique 0.110 0.039 Guangxue Xuebao/Acta Optica Sinica 1.122 0.099 Guangzi Xuebao/Acta Photonica Sinica 0.704 0.047 Guerres Mondiales et Conflicts Contemporains 0.034 Gulf of Mexico Science 0.057 0.034 Gulhane Medical Journal 0.023 0.035 Guocheng Gongcheng Xuebao/The Chinese Journal of Process Engineering 0.118 0.038 Guofang Keji Daxue Xuebao/Journal of National University of Defense Technology 0.099 0.037 Gut 1.617 0.868 Guti Dianzixue Yanjiu Yu Jinzhan/Research and Progress of Solid State Electronics 0.072 0.035 Guti Huojian Jishu/Journal of Solid Rocket Technology 0.082 0.036 Guti Lixue Xuebao/Acta Mechanica Solida Sinica 0.210 0.037 GWF Wasser-Abwasser 0.028 0.034 Gynaecologia et Perinatologia 0.274 0.034 Gynaecologia et Perinatologia, Supplement 0.034 Gynakologe 0.049 0.036 Gynakologie fur Hausarzte 0.034 Gynakologische Endokrinologie 0.021 0.034 Gynakologische Praxis 0.010 0.034 Gynakologisch-geburtshilfliche Rundschau 0.081 0.040 Gynecologic and Obstetric Investigation 0.374 0.130 Gynecologic Oncology 1.033 0.425 Gynecological Endocrinology 0.308 0.135 Gynecological Surgery 0.110 0.045 Gynecologie Obstetrique Fertilite 0.196 0.062 Habitat International 0.889 0.052 Hacienda Publica Espanola 0.200 0.036 Haematologica 0.709 0.494 Haematologica Meeting Reports 0.002 0.034 Haemophilia 0.756 0.234 Hallym International Journal of Aging 0.000 0.034 Hamostaseologie 0.110 0.061 Hand 0.150 0.051 Hand clinics 0.471 0.054 Hand surgery : an international journal devoted to hand and upper limb surgery and related research : journal of the Asia-Pacific Federation of Societies for Surgery of the Hand 0.123 0.039 Handbook of Environmental Chemistry, Volume 5: Water Pollution 0.310 0.089 Handbook of experimental pharmacology. 0.541 0.533 Handchirurgie Mikrochirurgie Plastische Chirurgie 0.152 0.042 Hangkong Cailiao Xuebao/Journal of Aeronautical Materials 0.251 0.041 Hangkong Dongli Xuebao/Journal of Aerospace Power 0.416 0.042 Hangkong Taikong ji Minhang Xuekan/Journal of Aeronautics, Astronautics and Aviation 0.040 0.035 Hangkong Xuebao/Acta Aeronautica et Astronautica Sinica 0.460 0.045 Hanjie Xuebao/Transactions of the China Welding Institution 0.194 0.043 Hanneng Cailiao/Energetic Materials 0.307 0.041 Harbin Gongcheng Daxue Xuebao/Journal of Harbin Engineering University 0.140 0.037 Harbin Gongye Daxue Xuebao/Journal of Harbin Institute of Technology 0.078 0.036 Harefuah 0.049 0.042 Harm Reduction Journal 0.636 0.112 Harmful Algae 1.049 0.136 Harrington Gay Men's Literary Quarterly 0.034 Harrington Lesbian Literary Quarterly 0.034 Hart Bulletin 0.000 0.034 Harvard business review 0.907 0.070 Harvard civil rights-civil liberties law review 0.247 0.034 Harvard Educational Review 0.807 0.050 Harvard Environmental Law Review 0.168 0.039 Harvard health letter / from Harvard Medical School 0.002 0.035 Harvard heart letter : from Harvard Medical School 0.033 Harvard International Law Journal 0.370 0.035 Harvard international review 0.022 0.034 Harvard Journal of Law and Public Policy 0.000 0.034 Harvard Journal of Legislation 0.043 0.034 Harvard Law Review 0.470 0.036 Harvard Library Bulletin 0.034 Harvard men's health watch 0.008 0.034 Harvard Review of Psychiatry 0.435 0.121 Harvard studies in classical philology. 0.034 Harvard Theological Review 0.108 0.034 Harvard women's health watch 0.007 0.034 Haseltonia 0.043 0.036 Hastings Law Journal 0.076 0.034 Haut 0.033 0.034 Hawaii dental journal 0.000 0.034 Hawaii medical journal 0.053 0.042 Hawwa 0.034 He Jishu/Nuclear Techniques 0.238 0.041 Head & face medicine 0.202 0.066 Head and Neck 0.841 0.236 Headache 0.905 0.261 Headache and Pain: Diagnostic Challenges, Current Therapy 0.011 0.035 Health 0.611 0.065 Health Affairs 1.204 0.373 Health and Human Rights 0.223 0.132 Health and Place 1.026 0.144 Health and Population: Perspectives and Issues 0.000 0.034 Health and Quality of Life Outcomes 1.222 0.237 Health and Social Care in the Community 0.647 0.090 Health and Social Work 0.310 0.050 Health Care Analysis 0.333 0.046 Health care financing review 0.466 0.195 Health care for women international 0.389 0.069 Health care law monthly 0.034 Health Care Management Review 0.618 0.125 Health Care Management Science 0.831 0.093 Health care strategic management 0.000 0.034 Health Communication 0.458 0.091 Health data management 0.013 0.036 Health devices 0.027 0.037 Health Economics 1.252 0.132 Health economics, policy, and law 0.417 0.059 Health Education 0.231 0.054 Health Education and Behavior 0.618 0.108 Health Education Journal 0.156 0.045 Health Education Research 0.994 0.137 Health estate 0.015 0.034 Health Expectations 0.732 0.123 Health facilities management 0.004 0.034 Health Informatics Journal 0.259 0.068 Health information and libraries journal 0.464 0.086 Health law in Canada 0.026 0.037 Health law journal 0.000 0.034 Health management technology 0.047 0.035 Health marketing quarterly 0.127 0.039 Health matrix (Cleveland, Ohio : 1991) 0.047 0.039 Health news (Waltham, Mass.) 0.000 0.033 Health physics 0.255 0.081 Health Policy 0.752 0.105 Health Policy and Planning 1.088 0.233 Health progress (Saint Louis, Mo.) 0.011 0.036 Health Promotion International 0.600 0.120 Health promotion journal of Australia : official journal of Australian Association of Health Promotion Professionals. 0.209 0.056 Health promotion practice 0.336 0.096 Health Psychology 1.266 0.249 Health reports / Statistics Canada, Canadian Centre for Health Information = Rapports sur la sant / Statistique Canada, Centre canadien d'information sur la sant 0.628 0.103 Health Research Policy and Systems 0.738 0.221 Health Services and Outcomes Research Methodology 0.485 0.102 Health Services Management Research 0.317 0.058 Health Services Research 1.128 0.247 Health Sociology Review 0.112 0.035 Health statistics quarterly / Office for National Statistics 0.256 0.068 Health technology assessment 1.058 0.287 Health, Risk and Society 0.382 0.078 Healthcare benchmarks and quality improvement 0.024 0.045 Healthcare executive 0.049 0.037 Healthcare Financial Management 0.023 0.036 Healthcare hazard management monitor : HHMM : the newsletter of the Center for Healthcare Environmental Management 0.034 Healthcare informatics : the business magazine for information and communication systems 0.007 0.036 Healthcare management forum / Canadian College of Health Service Executives = Forum gestion des soins de sant / Collge canadien des directeurs de services de sant 0.030 0.038 Healthcare quarterly (Toronto, Ont.) 0.156 0.052 Healthcare Review Online 0.034 HealthcarePapers 0.302 0.076 Healthy people statistical notes / Healthy People 2010 0.039 Hearing Journal 0.174 0.039 Hearing Research 0.782 0.258 Heart 0.948 0.445 Heart advisor / the Cleveland Clinic 0.033 Heart and Lung: Journal of Acute and Critical Care 0.481 0.112 Heart and Metabolism 0.024 0.044 Heart and Vessels 0.567 0.140 Heart Failure Clinics 0.161 0.120 Heart failure monitor 0.188 0.078 Heart Failure Reviews 0.822 0.482 Heart Lung and Circulation 0.252 0.117 Heart Rhythm 0.651 0.409 Heat and Mass Transfer/Waerme- und Stoffuebertragung 0.621 0.055 Heat Transfer - Asian Research 0.188 0.040 Heat Transfer Engineering 0.653 0.058 Heat Transfer Research 0.122 0.035 Heat Treating Progress 0.025 0.037 HEC Forum 0.190 0.071 Hecheng Shuzhi Ji Suliao/China Synthetic Resin and Plastics 0.010 0.034 Hedianzixue Yu Tance Jishu/Nuclear Electronics & Detection Technology 0.088 0.035 Hedongli Gongcheng/Nuclear Power Engineering 0.081 0.035 He-Huaxue yu Fangshe Huaxue/Journal of Nuclear and Radiochemistry 0.079 0.037 Heim und Pflege 0.033 Hejubian Yu Dengliziti Wuli/Nuclear Fusion and Plasma Physics 0.053 0.035 Helgoland Marine Research 0.523 0.071 Helicobacter 0.642 0.250 Hellenic Journal of Cardiology 0.316 0.064 Hellenic journal of cardiology : HJC = Hellnik kardiologik epithers 0.296 0.059 Hellenic Journal of Nuclear Medicine 0.093 0.049 Helminthologia 0.373 0.053 Helvetica Chimica Acta 0.354 0.118 Hematological Oncology 0.448 0.281 Hematologie 0.026 0.045 Hematology 0.243 0.121 Hematology / the Education Program of the American Society of Hematology. American Society of Hematology. Education Program 0.588 0.397 Hematology/Oncology Clinics of North America 0.427 0.175 Hemodialysis International 0.403 0.125 Hemoglobin 0.320 0.111 Hepatitis Monthly 0.055 0.034 Hepatobiliary and Pancreatic Diseases International 0.316 0.117 Hepato-Gastro 0.052 0.037 Hepato-Gastroenterology 0.246 0.087 Hepatology 1.822 1.216 Hepatology International 0.186 0.184 Hepatology Research 0.396 0.162 Hepatology Reviews 0.035 Herald of the Russian Academy of Sciences 0.051 0.035 Hercynia 0.034 Hereditary Cancer in Clinical Practice 0.082 0.061 Hereditas 0.353 0.096 Heredity 0.939 0.312 Hermathena 0.034 Hernia 0.587 0.146 Herodote 0.034 Heroin Addiction and Related Clinical Problems 0.052 0.039 Heron 0.106 0.035 Herpes 0.470 0.170 Herpetologica 0.410 0.062 Herpetological Bulletin 0.166 0.040 Herpetological Conservation and Biology 0.593 0.037 Herpetological Journal 0.222 0.048 Herpetological Monographs 0.313 0.056 Herpetological Review 0.086 0.037 Herz 0.272 0.111 Herz, Supplement 0.211 0.051 Herzschrittmachertherapie und Elektrophysiologie 0.068 0.058 Hesperia 0.099 0.035 Heteroatom Chemistry 0.238 0.071 Heterocycles 0.227 0.094 Heterocyclic Communications 0.075 0.046 Heythrop Journal - Quarterly Review of Philosophy and Theology 0.287 0.034 High Ability Studies 0.544 0.047 High Altitude Medicine and Biology 0.317 0.135 High Blood Pressure and Cardiovascular Prevention 0.055 0.043 High Energy Chemistry 0.061 0.043 High Energy Density Physics 1.339 0.110 High Performance Polymers 0.525 0.079 High Pressure Research 0.300 0.081 High Technology Letters 0.074 0.037 High Temperature 0.095 0.041 High Temperature Materials and Processes 0.186 0.047 Higher Education 0.758 0.042 Higher Education in Europe 0.125 0.036 Higher Education Policy 0.584 0.039 Higher Education Quarterly 0.277 #N/A Higher-Order and Symbolic Computation 0.872 0.060 Himalayan Geology 0.163 0.071 HIP International 0.133 0.044 Hipertension y Riesgo Vascular 0.035 Hippocampus 1.085 0.709 Hippokratia 0.053 0.039 Hirosaki Medical Journal 0.008 0.037 Hiroshima Journal of Medical Sciences 0.143 0.061 Hispania (Madrid, Spain : 1940) 0.000 0.034 Hispanic Healthcare International 0.160 0.047 Hispanic Journal of Behavioral Sciences 0.570 0.055 Hispanic Review 0.035 Hispanofila 0.034 Histochemistry and Cell Biology 0.561 0.462 Histoire de l'Education 0.034 Histoire des sciences mdicales 0.000 0.034 Histoire et Societes Rurales 0.000 0.034 Histoire Sociale 0.260 0.034 Histoire Urbaine 0.087 0.034 Histology and Histopathology 0.378 0.224 Histopathology 1.001 0.434 Historia 0.034 Historia - Zeitschrift fur Alte Geschichte 0.034 Historia Agraria 0.224 0.034 Historia Critica 0.000 #N/A Historia Mathematica 0.830 0.036 Historia medicinae veterinariae 0.034 Historia mexicana 0.034 Historia scientiarum : international journal of the History of Science Society of Japan 0.035 Histria, cincias, sade--Manguinhos 0.131 0.035 Historian 0.000 0.034 Historical archaeology 0.356 0.036 Historical Biology 0.375 0.072 Historical Geography 0.000 0.034 Historical Journal 0.259 0.037 Historical Journal of Film, Radio and Television 0.095 0.034 Historical Materialism 0.259 0.035 Historical Methods 0.151 0.034 Historical Records of Australian Science 0.323 0.034 Historical reflections. Rflexions historiques 0.108 0.034 Historical Research 0.017 0.034 Historical Social Research 0.143 0.034 Historicky Casopis 0.034 Historiographia Linguistica 0.034 Historische Sprachforschung 0.034 Historische Zeitschrift 0.034 Historisk tidsskrift : udgivet af Den norske historiske forening 0.000 0.034 History 0.547 0.034 History and Anthropology 0.234 0.034 History and Philosophy of Logic 0.365 0.035 History and philosophy of the life sciences 0.163 0.076 History and Technology 0.209 0.035 History and Theory 0.492 0.034 History of education 0.240 0.034 History of education quarterly 0.089 0.035 History of European Ideas 0.014 0.046 History of Photography 0.034 History of Political Economy 0.265 0.035 History of Political Thought 0.075 0.034 History of Psychiatry 0.110 0.050 History of psychology 0.385 0.040 History of Religions 0.000 0.034 History of Science 0.053 0.034 History of the Family 0.041 0.034 History of the Human Sciences 0.075 0.035 History today 0.004 0.034 History Workshop Journal 0.066 0.035 Hitotsubashi Journal of Economics 0.041 0.034 HIV and AIDS Review 0.016 0.036 HIV Clinical Trials 0.274 0.198 HIV clinician / Delta Region AIDS Education & Training Center 0.016 0.040 HIV Medicine 0.605 0.348 HIV Nursing 0.034 HIV/AIDS policy & law review / Canadian HIV/AIDS Legal Network. 0.017 0.036 HNO 0.305 0.052 Hobbes Studies 0.034 Hokkaido Journal of Orthopaedics and Traumatology 0.034 Holistic nursing practice 0.180 0.047 Holocaust and Genocide Studies 0.095 0.043 Holocene 0.709 0.136 Holzforschung 0.709 0.084 Home Health Care Management and Practice 0.116 0.038 Home health care services quarterly 0.274 0.054 Home healthcare nurse 0.083 0.042 Home Textiles Today 0.033 Homeopathy 0.529 0.050 Homicide Studies 0.592 0.074 Homme 0.191 0.034 Homme et la Societe 0.034 HOMO 0.412 0.063 Homology, Homotopy and Applications 0.296 0.037 Hong Kong Journal of Dermatology and Venereology 0.022 0.035 Hong Kong Journal of Emergency Medicine 0.013 0.036 Hong Kong Journal of Nephrology 0.014 0.036 Hong Kong Journal of Occupational Therapy 0.049 0.038 Hong Kong Journal of Paediatrics 0.053 0.038 Hong Kong Journal of Psychiatry 0.041 0.036 Hong Kong Medical Journal 0.289 0.084 Hong Kong Physiotherapy Journal 0.000 0.034 Hong Kong Practitioner 0.093 0.042 Hongwai Yu Haomibo Xuebao/Journal of Infrared and Millimeter Waves 1.076 0.063 Horizons 0.034 Horizontes Antropologicos 0.142 0.036 Hormone and Metabolic Research 0.409 0.239 Hormone Research 0.460 0.180 Hormones (Athens, Greece) 0.258 0.126 Hormones and Behavior 1.159 0.316 Horticultura Brasileira 0.059 0.038 Horticultural Science 0.282 0.042 HortScience 0.345 0.050 HortTechnology 0.278 0.046 Hortus Artium Mediaevalium 0.034 Hospital case management : the monthly update on hospital-based care planning and critical paths 0.033 Hospital Infection Control 0.034 Hospital material[dollar sign] management 0.018 0.036 Hospital outlook 0.034 Hospital peer review 0.000 0.033 Hospital Pharmacist 0.051 0.036 Hospital Pharmacy 0.043 0.044 Hospital topics 0.184 0.044 Hospitals and Health Networks 0.013 0.035 Hotel and Motel Management 0.000 0.034 Houille Blanche 0.043 0.036 Housing Policy Debate 0.276 0.055 Housing Studies 0.586 0.044 Housing, Theory and Society 0.663 0.038 Houston Journal of Mathematics 0.600 0.044 HOW 0.034 Howard Journal of Communications 0.263 0.037 HPB 0.260 0.099 HPB surgery : a world journal of hepatic, pancreatic and biliary surgery 0.039 0.055 HRSA careaction [electronic resource] 0.034 Hrvatska Revija Za Rehabilitacijska Istrazivanja 0.034 Hrvatske Vode 0.000 0.034 Hrvatski Geografski Glasnik 0.000 0.034 Hrvatski Meteoroloski Casopis/Croatian Meteorological Journal 0.005 0.034 Hsi-An Chiao Tung Ta Hsueh/Journal of Xi'an Jiaotong University 0.219 0.041 HSS Journal 0.119 0.048 Hu li za zhi The journal of nursing 0.099 0.036 Huadong Ligong Daxue Xuebao /Journal of East China University of Science and Technology 0.046 0.035 Huagong Xuebao/Journal of Chemical Industry and Engineering (China) 0.310 0.046 Huanan Ligong Daxue Xuebao/Journal of South China University of Technology (Natural Science) 0.182 0.041 Huanjing Kexue Xuebao / Acta Scientiae Circumstantiae 0.060 0.035 Huanjing Kexue/Environmental Science 0.133 0.039 Huaxue Fanying Gongcheng Yu Gongyi/Chemical Reaction Engineering and Technology 0.117 0.037 Huaxue Gongcheng/Chemical Engineering 0.056 0.036 Huazhong Keji Daxue Xuebao (Ziran Kexue Ban)/Journal of Huazhong University of Science and Technology (Natural Science Edition) 0.083 0.036 Hudebni Veda 0.034 Huisarts en Wetenschap 0.064 0.039 Human and Ecological Risk Assessment (HERA) 0.344 0.065 Human and Experimental Toxicology 0.409 0.155 Human Antibodies 0.109 0.061 Human Biology 0.278 0.128 Human Brain Mapping 1.560 0.642 Human cell : official journal of Human Cell Research Society 0.318 0.229 Human Communication Research 1.365 0.077 Human Development 0.439 0.069 Human Dimensions of Wildlife 0.556 0.046 Human Ecology 0.659 0.096 Human Ecology Review 0.299 0.054 Human Evolution 0.116 0.045 Human Factors 0.665 0.069 Human Factors and Ergonomics In Manufacturing 0.238 0.039 Human Fertility 0.409 0.137 Human gene therapy 0.767 0.812 Human Genetics 0.543 0.578 Human genomics 0.243 0.303 Human Geography 0.034 0.034 Human Heredity 0.845 0.761 Human Immunology 0.565 0.305 Human IT 0.178 0.036 Human Molecular Genetics 1.287 1.887 Human Movement 0.034 Human Movement Science 1.026 0.157 Human Mutation 1.215 1.046 Human Nature 0.497 0.125 Human Organization 0.286 0.042 Human Pathology 0.771 0.441 Human Performance 0.608 0.057 Human physiology 0.011 0.035 Human Psychopharmacology 0.477 0.160 Human Relations 0.807 0.050 Human reproduction (Oxford, England) 1.166 0.363 Human reproduction and genetic ethics 0.000 0.034 Human Reproduction Update 2.339 0.716 Human Resource Development Quarterly 0.510 0.045 Human Resource Development Review 0.525 0.040 Human Resource Management 0.640 0.042 Human Resource Management International Digest 0.008 0.034 Human Resource Management Journal 0.831 0.048 Human Resource Management Review 0.857 0.050 Human Resources for Health 0.965 0.141 Human Rights Law Review 0.480 0.035 Human Rights Quarterly 0.393 0.037 Human Studies 0.168 0.036 Human Systems Management 0.150 0.038 Human vaccines 0.342 0.232 Human-Computer Interaction 0.975 0.045 Humans and Nature 0.034 Humor 1.409 0.049 Hunan Daxue Xuebao/Journal of Hunan University Natural Sciences 0.181 0.038 Hungarian Quarterly 0.034 Hungarian Studies 0.034 Huntington Library Quarterly 0.063 0.034 Husserl Studies 0.000 0.034 HVAC and R Research 0.343 0.061 Hybridoma 0.092 0.068 Hydro Delft 0.034 Hydrobiologia 0.670 0.075 Hydrobiological Journal 0.096 0.034 Hydrogeology Journal 0.729 0.058 Hydrographic Journal 0.085 0.035 Hydrological Processes 0.694 0.075 Hydrological Sciences Journal 0.497 0.068 Hydrologie und Wasserbewirtschaftung 0.165 0.035 Hydrology and Earth System Sciences 0.856 0.099 Hydrology and Earth System Sciences Discussions 0.094 0.040 Hydrometallurgy 1.226 0.096 Hygiea Internationalis 0.084 0.037 Hygiena 0.081 0.037 Hygiene + Medizin 0.031 0.035 Hyle 0.062 0.036 Hyperfine Interactions 0.246 0.056 Hypertension 1.518 0.771 Hypertension in Pregnancy 0.366 0.136 Hypertension Research - Clinical and Experimental 0.637 0.226 Hystrix 0.089 0.035 I I C 0.133 0.034 IAPAC monthly 0.034 IARC monographs on the evaluation of carcinogenic risks to humans / World Health Organization, International Agency for Research on Cancer 0.452 0.148 IARC scientific publications 0.000 0.036 Iatreia 0.013 0.034 IATSS Research 0.034 IAWA Journal 0.553 0.063 Iberoromania 0.034 Ibis 0.873 0.100 IBM Journal of Research and Development 1.226 0.201 IBM Systems Journal 0.837 0.064 Icarus 0.955 0.478 ICASSP, IEEE International Conference on Acoustics, Speech and Signal Processing - Proceedings 0.205 0.043 ICES Journal of Marine Science 0.808 0.119 ICGA Journal 0.156 0.034 Ichnos 0.193 0.045 Ichthyological Exploration of Freshwaters 0.410 0.047 Ichthyological Research 0.274 0.053 ICIASF Record, International Congress on Instrumentation in Aerospace Simulation Facilities 0.000 0.034 Icon News 0.034 IDEA 0.035 Idealistic Studies 0.000 0.034 Ideggyogyaszati szemle 0.029 0.043 Identities 0.222 0.035 Identity 0.434 0.046 Idesia 0.034 IDrugs 0.068 0.069 IDS Bulletin 0.125 0.037 IECON Proceedings (Industrial Electronics Conference) 0.106 0.038 IEE Communications Engineer 0.034 0.036 IEE Electronics Systems and Software 0.014 0.035 IEE Power Engineer 0.036 0.037 IEEE Aerospace and Electronic Systems Magazine 0.251 0.047 IEEE Aerospace Conference Proceedings 0.013 0.034 IEEE AFRICON Conference 0.000 0.033 IEEE Annals of the History of Computing 0.220 0.035 IEEE Antennas and Propagation Magazine 0.633 0.067 IEEE Antennas and Propagation Society, AP-S International Symposium (Digest) 0.162 0.043 IEEE Antennas and Wireless Propagation Letters 1.355 0.124 IEEE Asia-Pacific Conference on Circuits and Systems, Proceedings, APCCAS 0.066 0.036 IEEE Cement Industry Technical Conference (Paper) 0.029 0.034 IEEE Circuits and Systems Magazine 1.338 0.137 IEEE Communications Letters 0.856 0.071 IEEE Communications Magazine 1.594 0.101 IEEE Computational Intelligence Magazine 1.471 0.090 IEEE Computer Architecture Letters 0.549 0.049 IEEE Computer Graphics and Applications 0.592 0.055 IEEE Conference on Human Factors and Power Plants 0.034 IEEE Conference on Intelligent Transportation Systems, Proceedings, ITSC 0.142 0.037 IEEE Conference Record of Annual Pulp and Paper Industry Technical Conference 0.084 0.034 IEEE Control Systems Magazine 1.242 0.078 IEEE Design and Test of Computers 0.532 0.064 IEEE Distributed Systems Online 0.126 0.041 IEEE Electrical Insulation Magazine 0.452 0.044 IEEE Electron Device Letters 1.272 0.276 IEEE Engineering in Medicine and Biology Magazine 0.311 0.082 IEEE Engineering Management Review 0.064 0.034 IEEE Geoscience and Remote Sensing Letters 0.725 0.083 IEEE Industrial Electronics Magazine 0.458 0.080 IEEE Industry Applications Magazine 0.286 0.048 IEEE Instrumentation and Measurement Magazine 0.325 0.045 IEEE Intelligent Systems 0.604 0.053 IEEE Intelligent Vehicles Symposium, Proceedings 0.158 0.040 IEEE International Conference on Communications 0.245 0.042 IEEE International Conference on Fuzzy Systems 0.051 0.034 IEEE International Conference on Intelligent Robots and Systems 0.249 0.041 IEEE International Conference on Microelectronic Test Structures 0.665 0.073 IEEE International Conference on Neural Networks - Conference Proceedings 0.099 0.037 IEEE International Conference on Software Maintenance, ICSM 0.232 0.043 IEEE International Engineering Management Conference 0.013 0.034 IEEE International Professional Communication Conference 0.014 0.034 IEEE International SOI Conference 0.153 0.055 IEEE International Symposium on Applications of Ferroelectrics 0.011 0.034 IEEE International Symposium on Electromagnetic Compatibility 0.084 0.036 IEEE International Symposium on Electronics & the Environment 0.050 0.038 IEEE International Symposium on Information Theory - Proceedings 0.198 0.045 IEEE International Symposium on Intelligent Control - Proceedings 0.075 0.035 IEEE International Symposium on Personal, Indoor and Mobile Radio Communications, PIMRC 0.013 0.034 IEEE International Test Conference (TC) 0.000 0.033 IEEE Internet Computing 0.714 0.059 IEEE Journal of Oceanic Engineering 0.515 0.059 IEEE Journal of Quantum Electronics 1.392 0.215 IEEE Journal of Solid-State Circuits 1.574 0.186 IEEE Journal on Selected Areas in Communications 2.371 0.126 IEEE Journal on Selected Topics in Quantum Electronics 1.389 0.315 IEEE Journal on Selected Topics in Signal Processing 0.861 0.106 IEEE Micro 1.247 0.083 IEEE Microwave and Wireless Components Letters 1.183 0.212 IEEE Microwave Magazine 0.303 0.062 IEEE MTT-S International Microwave Symposium Digest 0.294 0.071 IEEE Multimedia 0.771 0.048 IEEE Nanotechnology Magazine 0.025 0.038 IEEE National Radar Conference - Proceedings 0.403 0.044 IEEE Network 2.092 0.079 IEEE Nuclear Science Symposium Conference Record 0.043 0.042 IEEE Pacific RIM Conference on Communications, Computers, and Signal Processing - Proceedings 0.193 0.037 IEEE Pervasive Computing 0.627 0.123 IEEE Photonics Technology Letters 1.086 0.188 IEEE Potentials 0.129 0.039 IEEE Power and Energy Magazine 0.342 0.068 IEEE Radio Frequency Integrated Circuits Symposium, RFIC, Digest of Technical Papers 0.287 0.070 IEEE Region 10 Annual International Conference, Proceedings/TENCON 0.002 0.034 IEEE Robotics and Automation Magazine 1.391 0.075 IEEE Security and Privacy 0.466 0.048 IEEE Sensors Journal 0.569 0.112 IEEE Signal Processing Letters 0.780 0.066 IEEE Signal Processing Magazine 1.659 0.123 IEEE Software 0.688 0.050 IEEE Spectrum 0.188 0.049 IEEE Symposium on Computers and Communications - Proceedings 0.098 0.037 IEEE Symposium on VLSI Circuits, Digest of Technical Papers 0.481 0.089 IEEE Systems Journal 0.195 0.038 IEEE Technology and Society Magazine 0.130 0.040 IEEE Transactions on Advanced Packaging 0.677 0.095 IEEE Transactions on Aerospace and Electronic Systems 1.094 0.066 IEEE Transactions on Antennas and Propagation 1.379 0.129 IEEE Transactions on Applied Superconductivity 0.394 0.063 IEEE Transactions on Audio, Speech and Language Processing 1.080 0.075 IEEE Transactions on Automatic Control 1.850 0.107 IEEE Transactions on Automation Science and Engineering 0.916 0.088 IEEE Transactions on Biomedical Circuits and Systems 0.311 0.137 IEEE Transactions on Biomedical Engineering 0.931 0.141 IEEE Transactions on Broadcasting 0.897 0.070 IEEE Transactions on Circuits and Systems for Video Technology 2.087 0.093 IEEE Transactions on Circuits and Systems I: Regular Papers 0.987 0.094 IEEE Transactions on Communications 1.398 0.078 IEEE Transactions on Components and Packaging Technologies 0.607 0.071 IEEE Transactions on Computer-Aided Design of Integrated Circuits and Systems 0.890 0.060 IEEE Transactions on Computers 1.299 0.067 IEEE Transactions on Consumer Electronics 0.474 0.054 IEEE Transactions on Control Systems Technology 1.340 0.084 IEEE Transactions on Dependable and Secure Computing 2.030 0.061 IEEE Transactions on Device and Materials Reliability 0.681 0.119 IEEE Transactions on Dielectrics and Electrical Insulation 0.715 0.077 IEEE Transactions on Education 0.975 0.043 IEEE Transactions on Electromagnetic Compatibility 1.252 0.074 IEEE Transactions on Electron Devices 1.365 0.219 IEEE Transactions on Electronics Packaging Manufacturing 0.542 0.072 IEEE Transactions on Energy Conversion 1.525 0.129 IEEE Transactions on Engineering Management 1.157 0.055 IEEE Transactions on Evolutionary Computation 3.130 0.135 IEEE Transactions on Fuzzy Systems 1.840 0.098 IEEE Transactions on Geoscience and Remote Sensing 1.305 0.117 IEEE Transactions on Haptics 2.964 0.057 IEEE Transactions on Image Processing 2.141 0.128 IEEE Transactions on Industrial Electronics 1.858 0.118 IEEE Transactions on Industrial Informatics 1.074 0.076 IEEE Transactions on Industry Applications 1.142 0.085 IEEE Transactions on Information Forensics and Security 1.359 0.098 IEEE Transactions on Information Technology in Biomedicine 0.802 0.097 IEEE Transactions on Information Theory 1.674 0.108 IEEE Transactions on Instrumentation and Measurement 0.802 0.062 IEEE Transactions on Intelligent Transportation Systems 1.334 0.071 IEEE Transactions on Knowledge and Data Engineering 1.880 0.100 IEEE Transactions on Magnetics 0.929 0.090 IEEE Transactions on Medical Imaging 1.660 0.230 IEEE Transactions on Microwave Theory and Techniques 1.462 0.214 IEEE Transactions on Mobile Computing 2.025 0.093 IEEE Transactions on Multimedia 1.222 0.079 IEEE transactions on nanobioscience. 0.424 0.126 IEEE Transactions on Nanotechnology 0.699 0.188 IEEE Transactions on Network and Service Management 0.132 0.042 IEEE Transactions on Neural Networks 1.881 0.111 IEEE Transactions on Neural Systems and Rehabilitation Engineering 0.708 0.149 IEEE Transactions on Nuclear Science 0.582 0.095 IEEE Transactions on Parallel and Distributed Systems 1.340 0.075 IEEE Transactions on Pattern Analysis and Machine Intelligence 3.380 0.171 IEEE Transactions on Plasma Science 0.704 0.092 IEEE Transactions on Power Delivery 1.429 0.072 IEEE Transactions on Power Electronics 1.733 0.107 IEEE Transactions on Power Systems 1.765 0.085 IEEE Transactions on Professional Communication 0.687 0.042 IEEE Transactions on Reliability 1.171 0.059 IEEE Transactions on Robotics 1.208 0.073 IEEE Transactions on Semiconductor Manufacturing 0.816 0.071 IEEE Transactions on Signal Processing 1.477 0.095 IEEE Transactions on Software Engineering 2.354 0.087 IEEE Transactions on Systems, Man and Cybernetics Part C: Applications and Reviews 1.172 0.070 IEEE Transactions on Systems, Man, and Cybernetics Part A:Systems and Humans. 1.143 0.070 IEEE Transactions on Systems, Man, and Cybernetics, Part B: Cybernetics 1.734 0.104 IEEE Transactions on Ultrasonics, Ferroelectrics, and Frequency Control 0.873 0.110 IEEE Transactions on Vehicular Technology 0.975 0.066 IEEE Transactions on Very Large Scale Integration (VLSI) Systems 0.748 0.060 IEEE Transactions on Visualization and Computer Graphics 1.147 0.098 IEEE Transactions on Wireless Communications 1.115 0.077 IEEE Vehicular Technology Conference 0.126 0.037 IEEE Vehicular Technology Magazine 0.367 0.042 IEEE Wireless Communications 1.491 0.088 IEEE/ACM International Conference on Computer-Aided Design, Digest of Technical Papers 0.647 0.055 IEEE/ACM Transactions on Computational Biology and Bioinformatics 0.754 0.242 IEEE/ACM Transactions on Networking 1.979 0.083 IEEE/ASME International Conference on Advanced Intelligent Mechatronics, AIM 0.032 0.034 IEEE/ASME Transactions on Mechatronics 1.468 0.098 IEEE/OSA Journal of Display Technology 0.934 0.186 IEEE/SEMI Advanced Semiconductor Manufacturing Conference and Workshop 0.023 0.034 IEEJ Transactions on Electrical and Electronic Engineering 0.115 0.047 IEEJ Transactions on Electronics, Information and Systems 0.150 0.036 IEEJ Transactions on Fundamentals and Materials 0.164 0.042 IEEJ Transactions on Industry Applications 0.294 0.038 IEEJ Transactions on Power and Energy 0.412 0.037 IEEJ Transactions on Sensors and Micromachines 0.067 0.044 IEICE Electronics Express 0.237 0.048 IEICE Transactions on Communications 0.232 0.039 IEICE Transactions on Electronics 0.352 0.053 IEICE Transactions on Fundamentals of Electronics, Communications and Computer Sciences 0.255 0.041 IEICE Transactions on Information and Systems 0.210 0.044 IET Circuits, Devices and Systems 0.357 0.051 IET Communications 0.513 0.051 IET Computer Vision 0.229 0.062 IET Computers and Digital Techniques 0.541 0.043 IET Control Theory and Applications 0.951 0.072 IET Electric Power Applications 0.902 0.068 IET Generation, Transmission and Distribution 0.780 0.057 IET Image Processing 0.309 0.044 IET Information Security 0.192 0.043 IET Intelligent Transport Systems 0.077 0.039 IET Microwaves, Antennas and Propagation 0.611 0.078 IET Nanobiotechnology 0.379 0.119 IET Optoelectronics 0.483 0.079 IET Radar, Sonar and Navigation 1.218 0.063 IET Renewable Power Generation 0.361 0.058 IET Science, Measurement and Technology 0.460 0.051 IET Signal Processing 0.207 0.041 IET Software 0.394 0.050 IET Systems Biology 0.422 0.177 IETE Journal of Research 0.108 0.037 IETE Technical Review (Institution of Electronics and Telecommunication Engineers, India) 0.043 0.034 IFIP International Federation for Information Processing 0.037 0.035 IFRF Combustion Journal 0.034 Igiene e sanita pubblica 0.034 0.037 Iheringia - Serie Zoologia 0.121 0.038 IIE Transactions (Institute of Industrial Engineers) 1.137 0.060 IJCI - International Journal of Clinical Investigation 0.015 0.041 Ikonomicheski Izsledvania 0.034 Il Giornale di chirurgia 0.036 0.045 ILAR Journal 0.520 0.184 Illinois Journal of Mathematics 0.644 0.046 Illness Crisis and Loss 0.090 0.037 IMA Journal Management Mathematics 0.531 0.044 IMA Journal of Applied Mathematics (Institute of Mathematics and Its Applications) 0.601 0.055 IMA Journal of Mathematical Control and Information 0.547 0.049 IMA Journal of Numerical Analysis 1.460 0.086 Image Analysis and Stereology 0.034 Image and Vision Computing 1.209 0.070 Imagerie de la Femme 0.068 0.036 Images 0.034 Imaginaire et Inconscient 0.000 0.034 Imaging 0.071 0.039 Imaging Decisions MRI 0.028 0.043 Imaging Science Journal 0.128 0.047 Imago Mundi 0.039 0.034 IMF Occasional Papers 0.000 0.034 IMF Staff Papers 0.742 0.042 Immigrants and Minorities 0.158 0.035 Immunity 2.535 7.878 Immunity and Ageing 0.377 0.220 Immuno-Analyse et Biologie Specialisee 0.071 0.037 Immunobiology 0.707 0.546 Immunogenetics 0.721 0.428 Immunohematology 0.245 0.068 Immunologic Research 0.442 0.495 Immunological Investigations 0.229 0.159 Immunological Reviews 1.640 2.478 Immunology 0.643 0.507 Immunology and Allergy Clinics of North America 0.568 0.403 Immunology and Cell Biology 0.624 0.681 Immunology Letters 0.553 0.464 Immunology, Endocrine and Metabolic Agents in Medicinal Chemistry 0.059 0.065 Immunology. Supplement 0.039 Immunome Research 0.382 0.538 Immunopharmacology and Immunotoxicology 0.235 0.088 Impact Assessment and Project Appraisal 0.320 0.038 Implant Dentistry 0.779 0.098 Implementation Science 0.832 0.152 Imprint 0.000 0.034 Improving Schools 0.126 0.034 In Practice 0.128 0.043 In silico biology 0.234 0.243 In Vitro Cellular and Developmental Biology - Animal 0.314 0.164 In Vitro Cellular and Developmental Biology - Plant 0.437 0.059 In Vivo 0.284 0.104 Indagationes Mathematicae 0.378 0.040 Independent Review 0.361 0.036 Index de Enfermeria 0.003 0.034 Index on Censorship 0.006 0.034 Indian Economic and Social History Review 0.049 0.034 Indian Heart Journal 0.047 0.036 Indian Journal of Agricultural Biochemistry 0.000 0.034 Indian Journal of Agricultural Economics 0.038 0.035 Indian Journal of Agricultural Sciences 0.117 0.038 Indian Journal of Agronomy 0.313 0.040 Indian Journal of Animal Sciences 0.183 0.037 Indian Journal of Biochemistry and Biophysics 0.212 0.067 Indian Journal of Biotechnology 0.084 0.038 Indian Journal of Cancer 0.140 0.062 Indian Journal of Chemical Technology 0.104 0.041 Indian Journal of Chemistry - Section A Inorganic, Physical, Theoretical and Analytical Chemistry 0.198 0.052 Indian Journal of Chemistry - Section B Organic and Medicinal Chemistry 0.090 0.047 Indian Journal of Chemistry - Section B Organic and Medicinal Chemistry 0.005 0.034 Indian Journal of Clinical Biochemistry 0.177 0.045 Indian Journal of Critical Care Medicine 0.099 0.040 Indian journal of dental research : official publication of Indian Society for Dental Research 0.179 0.054 Indian Journal of Dermatology 0.042 0.037 Indian Journal of Dermatology, Venereology and Leprology 0.275 0.069 Indian Journal of Engineering and Materials Sciences 0.146 0.038 Indian Journal of Environmental Protection 0.060 0.036 Indian Journal of Experimental Biology 0.232 0.059 Indian Journal of Fibre and Textile Research 0.215 0.040 Indian journal of gastroenterology : official journal of the Indian Society of Gastroenterology 0.215 0.069 Indian journal of gender studies 0.108 0.035 Indian Journal of Hematology and Blood Transfusion 0.000 0.034 Indian Journal of Heterocyclic Chemistry 0.141 0.041 Indian Journal of Human Genetics 0.048 0.035 Indian Journal of Labour Economics 0.010 0.034 Indian Journal of Leprosy 0.122 0.041 Indian journal of medical ethics. 0.033 0.203 Indian Journal of Medical Microbiology 0.330 0.086 Indian Journal of Medical Research 0.480 0.141 Indian journal of medical sciences 0.226 0.066 Indian Journal of Microbiology 0.143 0.045 Indian Journal of Occupational and Environmental Medicine 0.164 0.042 Indian Journal of Ophthalmology 0.241 0.078 Indian Journal of Orthopaedics 0.094 0.036 Indian Journal of Otolaryngology and Head and Neck Surgery 0.084 0.035 Indian Journal of Otology 0.000 0.034 Indian Journal of Palliative Care 0.042 0.035 Indian Journal of Pathology and Microbiology 0.183 0.052 Indian Journal of Pediatrics 0.292 0.070 Indian Journal of Pharmaceutical Sciences 0.107 0.055 Indian Journal of Pharmacology 0.192 0.047 Indian Journal of Physics 0.077 0.039 Indian Journal of Physiology and Pharmacology 0.163 0.053 Indian Journal of Plastic Surgery 0.094 0.038 Indian journal of public health 0.060 0.044 Indian Journal of Pure and Applied Mathematics 0.305 0.048 Indian Journal of Pure and Applied Physics 0.244 0.043 Indian Journal of Radiology and Imaging 0.109 0.040 Indian Journal of Rheumatology 0.119 0.037 Indian Journal of Social Work 0.099 0.034 Indian Journal of Surgery 0.147 0.035 Indian Journal of Urology 0.103 0.042 Indian Minerals 0.053 0.035 Indian Pacing and Electrophysiology Journal 0.222 0.066 Indian Pediatrics 0.274 0.065 Indian Veterinary Journal 0.041 0.035 Indiana Law Journal 0.057 0.035 Indiana University Mathematics Journal 0.726 0.051 Individual Differences Research 0.290 0.043 Indogermanische Forschungen 0.105 0.034 Indo-Iranian Journal 0.242 0.042 Indonesia and the Malay World 0.184 0.034 Indoor Air 1.396 0.210 Indoor and Built Environment 0.317 0.065 Industria Textila 0.254 0.036 Industrial and Commercial Training 0.105 0.037 Industrial and Corporate Change 0.965 0.086 Industrial and Engineering Chemistry Research 0.853 0.119 Industrial and Labor Relations Review 0.891 0.042 Industrial Archaeology Review 0.034 Industrial Biotechnology 0.125 0.079 Industrial Ceramics 0.094 0.041 Industrial Crops and Products 0.956 0.098 Industrial Diamond Review 0.142 0.038 Industrial Engineer 0.034 0.034 Industrial Health 0.334 0.073 Industrial Law Journal 0.133 0.034 Industrial Lubrication and Tribology 0.344 0.041 Industrial Management (Norcross, Georgia) 0.005 0.035 Industrial Management and Data Systems 1.327 0.069 Industrial Marketing Management 0.937 0.048 Industrial Relations 0.795 0.045 Industrial Robot 0.289 0.041 Industrie Alimentari 0.012 0.035 Industry and Innovation 0.708 0.043 Inf Process Med Imaging 0.229 0.063 Infancia y Aprendizaje 0.295 0.038 Infancy 0.747 0.107 Infant and Child Development 0.425 0.093 Infant Behavior and Development 0.640 0.089 Infant Mental Health Journal 0.611 0.079 Infants and Young Children 0.309 0.066 Infection 0.461 0.159 Infection and immunity 1.000 0.671 Infection Control and Hospital Epidemiology 0.748 0.331 Infection, Genetics and Evolution 0.811 0.359 Infections in Medicine 0.007 0.036 Infectious Agents and Cancer 0.517 0.206 Infectious Disease Clinics of North America 0.696 0.273 Infectious Diseases in Clinical Practice 0.046 0.043 Infectious Diseases in Obstetrics and Gynecology 0.340 0.121 Infectious Disorders - Drug Targets 0.562 0.545 Infektoloski Glasnik 0.042 0.037 Infezioni in Medicina 0.045 0.045 Infini 0.034 Infinite Dimensional Analysis, Quantum Probability and Related Topics 0.380 0.043 Inflammation 0.351 0.163 Inflammation and Allergy - Drug Targets 0.561 0.300 Inflammation Research 0.393 0.194 Inflammatory Bowel Diseases 0.842 0.550 Inflammopharmacology 0.372 0.130 Influenza and other respiratory viruses 0.293 0.164 Info 0.371 0.039 InfoKara 0.000 0.034 INFOR 0.203 0.041 Informacije MIDEM 0.000 0.034 Informacion Tecnologica 0.033 0.035 Informatica 0.304 0.049 Informatica (Ljubljana) 0.373 0.055 Informatics for health & social care 0.290 0.056 Informatics in Education 0.186 0.036 Informatics in Primary Care 0.227 0.060 Informatik-Spektrum 0.080 0.039 Information and Computation 1.038 0.077 Information and Management 1.708 0.070 Information and Organization 1.037 0.042 Information and Software Technology 0.963 0.054 Information Bulletin on Variable Stars 0.013 0.081 Information Communication and Society 0.568 0.045 Information Development 0.316 0.067 Information Display 0.038 0.036 Information Economics and Policy 1.244 0.056 Information Fusion 1.422 0.069 Information Management and Computer Security 0.271 0.040 Information Processing and Management 0.845 0.071 Information Processing Letters 0.480 0.058 Information Professional 0.034 Information Psychiatrique 0.063 0.035 Information Research 0.149 0.047 Information Resources Management Journal 0.674 0.042 Information Retrieval 0.641 0.066 Information Sciences 1.613 0.087 Information Security Technical Report 0.067 0.034 Information Services and Use 0.141 0.039 Information Society 0.595 0.044 Information Systems 1.029 0.061 Information Systems and e-Business Management 0.204 0.041 Information Systems Frontiers 0.573 0.046 Information Systems Journal 1.117 0.054 Information Systems Management 0.563 0.050 Information Systems Research 1.661 0.056 Information Technology and Libraries 0.435 0.054 Information Technology and Management 0.542 0.046 Information Technology and People 0.290 0.040 Information Technology for Development 0.323 0.036 Information Technology Journal 0.073 0.035 Information Visualization 0.194 0.039 Information-Wissenschaft und Praxis 0.042 0.034 Informatologia 0.034 Informe Medico 0.000 0.033 Informes de la Construccion 0.095 0.034 Informes Tecnicos - Instituto Espanol de Oceanografia 0.000 0.035 Informing Science 0.578 0.039 INFORMS Journal on Computing 1.107 0.063 Infrared and Laser Engineering 0.601 0.045 Infrared Physics and Technology 0.462 0.093 Ingegneria Ferroviaria 0.069 0.034 Ingeniare 0.034 Ingenieria Hidraulica en Mexico 0.033 0.034 Inhalation Toxicology 0.590 0.218 Injury 0.479 0.089 Injury prevention : journal of the International Society for Child and Adolescent Injury Prevention 0.904 0.118 Inmunologia 0.038 0.052 Innate Immunity 0.571 0.368 Inner Asia 0.085 0.034 Innovation: European Journal of Social Sciences 0.199 0.064 Innovation: Management, Policy and Practice 0.062 0.036 Innovations 0.129 0.034 Innovations in Education and Teaching International 0.435 0.041 Innovations in Systems and Software Engineering 0.222 0.042 Innovations: Technology and Techniques in Cardiothoracic and Vascular Surgery 0.116 0.058 Innovative Food Science and Emerging Technologies 0.978 0.110 Innovative Higher Education 0.473 0.050 Inorganic Chemistry 1.080 0.290 Inorganic Chemistry Communications 0.511 0.104 Inorganic Materials 0.185 0.046 Inorganic Reaction Mechanisms 0.261 0.039 Inorganica Chimica Acta 0.625 0.128 Inquiry : a journal of medical care organization, provision and financing 0.263 0.057 Insect Biochemistry and Molecular Biology 0.822 0.349 Insect Molecular Biology 0.855 0.401 Insect Science 0.379 0.075 Insect Systematics and Evolution 0.459 0.108 Insectes Sociaux 0.554 0.094 Insight - Journal of the American Society of Ophthalmic Registered Nurses 0.031 0.038 Insight: Non-Destructive Testing and Condition Monitoring 0.482 0.048 Institution of Mining and Metallurgy. Transactions. Section A: Mining Technology 0.265 0.037 Instructional course lectures 0.557 0.098 Instructional Science 1.061 0.050 Instrumentation Science and Technology 0.146 0.047 Instruments and Experimental Techniques 0.137 0.039 Insula 0.033 Insulin 0.037 0.040 Insurance: Mathematics and Economics 0.971 0.052 Int J Inj Contr Saf Promot 0.347 0.062 Int J Nurs Terminol Classif 0.220 0.043 Integral Equations and Operator Theory 0.578 0.046 Integral Transforms and Special Functions 0.344 0.048 Integrated Computer-Aided Engineering 0.466 0.055 Integrated environmental assessment and management. 0.305 0.073 Integrated Ferroelectrics 0.085 0.042 Integration, the VLSI Journal 0.378 0.044 Integrative and Comparative Biology 0.813 0.282 Integrative Cancer Therapies 0.401 0.104 Integrative Medicine 0.034 Integrative Psychological and Behavioral Science 0.157 0.049 INTELEC, International Telecommunications Energy Conference (Proceedings) 0.011 0.034 Inteligencia Artificial 0.122 0.039 Intellectual and Developmental Disabilities 0.281 0.052 Intelligence 1.067 0.149 Intelligent Automation and Soft Computing 0.223 0.037 Intelligent Data Analysis 0.412 0.040 Intensiv- und Notfallbehandlung 0.070 0.041 Intensive and Critical Care Nursing 0.638 0.084 Intensive care medicine 1.065 0.408 Intensivmedizin und Notfallmedizin 0.085 0.041 Inter Bloc 0.034 Interacting with Computers 0.646 0.051 Interaction Studies 0.119 #N/A Interactive Cardiovascular and Thoracic Surgery 0.320 0.115 Interactive Learning Environments 0.435 0.041 Interactive Surgery 0.034 0.037 Inter-Asia Cultural Studies 0.194 0.035 InterCeram: International Ceramic Review 0.059 0.036 Interchange 0.084 0.035 Interciencia 0.087 0.039 Intercultural Pragmatics 0.242 0.035 Interdisciplinary Science Reviews 0.068 0.037 Interdisciplinary Topics in Gerontology 0.361 0.459 Intereconomics 0.041 0.034 Interfaces 0.501 0.049 Interfaces and Free Boundaries 0.746 0.058 Interlending and Document Supply 0.286 0.046 Intermetallics 1.133 0.149 Internal and Emergency Medicine 0.318 0.126 Internal Medicine 0.246 0.100 Internal Medicine Clinical and Laboratory 0.000 0.034 Internal Medicine Journal 0.405 0.134 Internasjonal Politikk 0.000 0.034 International Advances in Economic Research 0.239 0.035 International Affairs 0.781 0.047 International Agricultural Engineering Journal 0.105 0.035 International Agrophysics 0.249 0.040 International Anesthesiology Clinics 0.171 0.076 International Angiology 0.355 0.110 International Applied Mechanics 0.264 0.041 International Archives of Allergy and Immunology 0.635 0.281 International Archives of Occupational and Environmental Health 0.680 0.153 International Biodeterioration and Biodegradation 1.091 #N/A International Braz J Urol 0.328 0.115 International Breastfeeding Journal 0.352 0.080 International Business Review 0.782 0.042 International Clinical Psychopharmacology 0.804 0.256 International Commentary on Evidence 0.198 0.037 International Communication Gazette 0.267 0.036 International Communications in Heat and Mass Transfer 1.011 0.075 International Community Law Review 0.047 0.034 International Conference on Application-Specific Systems, Architectures and Processors, Proceedings 0.068 0.036 International Conference on Architectural Support for Programming Languages and Operating Systems - ASPLOS 0.727 0.062 International Conference on Communication Technology Proceedings, ICCT 0.000 0.033 International Conference on Debris-Flow Hazards Mitigation: Mechanics, Prediction, and Assessment, Proceedings 0.014 0.034 International Conference on Digital Printing Technologies 0.010 0.034 International Conference on Health, Safety and Environment in Oil and Gas Exploration and Production 0.039 International Conference on Information and Knowledge Management, Proceedings 0.474 0.057 International Conference on Intelligent User Interfaces, Proceedings IUI 0.190 0.037 International Conference on Signal Processing Proceedings, ICSP 0.007 0.034 International Criminal Justice Review 0.315 0.036 International Criminal Law Review 0.208 0.034 International Dairy Journal 1.332 0.158 International Dental Journal 0.488 0.064 International Development Planning Review 0.136 0.036 International economic journal 0.178 0.034 International Economic Review 1.626 0.059 International Economics and Economic Policy 0.069 0.035 International Electronic Journal for Leadership in Learning 0.050 0.034 International Emergency Nursing 0.375 0.063 International Endodontic Journal 1.020 0.114 International Entrepreneurship and Management Journal 0.402 0.041 International Environmental Agreements: Politics, Law and Economics 0.266 0.042 International Family Planning Perspectives 0.531 0.098 International Feminist Journal of Politics 0.435 0.036 International Fiction Review 0.034 International Finance 0.840 0.042 International Finance Review 0.008 0.034 International Food and Agribusiness Management Review 0.064 0.035 International Food Research Journal 0.254 0.034 International Forestry Review 0.227 0.039 International Forum of Psychoanalysis 0.157 0.034 International Game Theory Review 0.185 0.037 International Geology Review 0.245 0.056 International Geoscience and Remote Sensing Symposium (IGARSS) 0.029 0.035 International Heart Journal 0.430 0.139 International Immunology 0.552 0.729 International Immunopharmacology 0.582 0.208 International Information and Library Review 0.145 0.038 International Interactions 0.151 0.036 International Journal 0.033 0.034 International Journal for Educational and Vocational Guidance 0.509 0.043 International Journal for Engineering Modelling 0.000 0.034 International Journal for Equity in Health 0.842 0.128 International Journal for Housing Science and Its Applications 0.023 0.034 International Journal for Multiscale Computational Engineering 0.216 0.059 International Journal for Numerical and Analytical Methods in Geomechanics 1.143 0.064 International Journal for Numerical Methods in Engineering 1.156 0.099 International Journal for Numerical Methods in Fluids 0.726 0.065 International journal for parasitology 1.016 0.436 International Journal for Philosophy of Religion 0.069 0.035 International Journal for Quality in Health Care 1.061 0.188 International Journal for the Advancement of Counselling 0.208 0.037 International Journal for the Psychology of Religion 0.302 0.052 International Journal for the Semiotics of Law 0.335 0.035 International Journal for Vitamin and Nutrition Research 0.277 0.087 International Journal of Acarology 0.184 0.042 International Journal of Accounting 0.540 0.037 International Journal of Accounting Information Systems 0.407 0.037 International Journal of Accounting, Auditing and Performance Evaluation 0.209 0.035 International Journal of Acoustics and Vibrations 0.569 0.101 International Journal of Ad Hoc and Ubiquitous Computing 0.091 0.040 International Journal of Adaptive Control and Signal Processing 0.934 0.062 International Journal of Adhesion and Adhesives 1.376 0.091 International Journal of Adolescence and Youth 0.081 0.034 International Journal of Adolescent Medicine and Health 0.170 0.053 International Journal of Advanced Manufacturing Technology 0.792 0.061 International Journal of Advanced Media and Communication 0.077 0.039 International Journal of Advanced Robotic Systems 0.558 0.052 International Journal of Advertising 0.248 0.038 International Journal of Ageing and Later Life 0.034 International Journal of Agile Manufacturing 0.000 0.034 International Journal of Aging and Human Development 0.539 0.082 International Journal of Agricultural Research 0.088 0.036 International Journal of Agricultural Resources, Governance and Ecology 0.205 0.037 International Journal of Agricultural Sustainability 0.309 0.039 International Journal of Agriculture and Biology 0.125 0.036 International Journal of Algebra and Computation 0.541 0.045 International Journal of Ambient Energy 0.448 0.049 International Journal of American Linguistics 0.376 0.035 International journal of andrology 0.678 0.304 International Journal of Antimicrobial Agents 0.611 0.210 International Journal of Applied Ceramic Technology 0.685 0.128 International Journal of Applied Earth Observation and Geoinformation 0.782 0.077 International Journal of Applied Electromagnetics and Mechanics 0.132 0.041 International Journal of Applied Linguistics 0.327 0.037 International Journal of Applied Mathematics and Computer Science 0.260 0.042 International Journal of Applied Thermodynamics 0.386 0.046 International Journal of Approximate Reasoning 1.108 0.079 International Journal of Art and Design Education 0.530 0.036 International Journal of Artificial Organs 0.305 0.111 International Journal of Astrobiology 0.277 0.088 International Journal of Audiology 0.566 0.105 International Journal of Automation and Computing 0.239 0.043 International Journal of Automotive Technology 0.572 0.045 International Journal of Automotive Technology and Management 0.289 0.036 International Journal of Aviation Psychology 0.167 0.038 International Journal of Bank Marketing 0.453 0.041 International Journal of Behavioral Development 0.730 0.067 International Journal of Behavioral Medicine 0.409 0.086 International Journal of Behavioral Nutrition and Physical Activity 0.932 0.207 International Journal of Bifurcation and Chaos in Applied Sciences and Engineering 0.693 0.063 International Journal of Bilingual Education and Bilingualism 0.203 0.037 International Journal of Bilingualism 0.727 #N/A International Journal of Biochemistry and Cell Biology 0.902 0.631 International Journal of Biodiversity Science and Management 0.221 0.038 International journal of bioinformatics research and applications 0.139 0.096 International Journal of Biological Macromolecules 0.866 0.166 International Journal of Biological Markers 0.253 0.160 International journal of biological sciences 0.467 0.238 International Journal of Biomedical Imaging 0.338 0.094 International Journal of Biometeorology 0.628 0.099 International Journal of Biostatistics 0.397 0.166 International Journal of Biotechnology 0.074 0.039 International Journal of Botany 0.062 0.038 International Journal of Business Data Communications and Networking 0.022 0.034 International Journal of Business Governance and Ethics 0.156 0.038 International Journal of Business Information Systems 0.828 0.046 International Journal of Business Innovation and Research 0.585 0.038 International Journal of Business Intelligence and Data Mining 0.172 0.035 International Journal of Business Performance Management 0.328 0.039 International Journal of Business Process Integration and Management 0.114 0.039 International Journal of Cancer 0.980 0.679 International Journal of Cancer Prevention 0.012 0.036 International Journal of Cancer Research 0.095 0.040 International Journal of Cardiology 0.480 0.178 International Journal of Cardiovascular Imaging 0.441 0.172 International Journal of Cast Metals Research 0.160 0.040 International Journal of Chemical Kinetics 0.737 0.089 International Journal of Chemical Reactor Engineering 0.234 0.048 International Journal of Children's Rights 0.143 0.035 International journal of chronic obstructive pulmonary disease 0.147 0.118 International Journal of Circuit Theory and Applications 1.145 0.071 International journal of circumpolar health 0.296 0.082 International Journal of Climatology 0.814 0.128 International Journal of Clinical and Experimental Hypnosis 0.478 0.134 International Journal of Clinical and Health Psychology 0.537 0.067 International Journal of Clinical Oncology 0.455 0.215 International Journal of Clinical Pharmacology and Therapeutics 0.308 0.117 International journal of clinical practice 0.512 0.194 International Journal of Clinical Practice, Supplement 0.198 0.069 International Journal of Clothing Science and Technology 0.295 0.038 International Journal of Coal Geology 1.185 0.084 International Journal of Coal Preparation and Utilization 0.360 0.050 International Journal of Colorectal Disease 0.629 0.207 International Journal of COMADEM 0.111 0.037 International Journal of Communication Systems 0.313 0.040 International Journal of Comparative Sociology 0.622 0.042 International Journal of Computational Fluid Dynamics 0.299 0.047 International Journal of Computational Geometry and Applications 0.415 0.056 International Journal of Computational Intelligence and Applications 0.099 0.035 International Journal of Computational Methods 0.419 0.063 International Journal of Computational Methods in Engineering Science and Mechanics 0.306 0.043 International Journal of Computational Science and Engineering 0.310 0.039 International Journal of Computer Applications in Technology 0.232 0.038 International Journal of Computer Assisted Radiology and Surgery 0.081 0.043 International Journal of Computer Integrated Manufacturing 0.551 0.049 International Journal of Computer Mathematics 0.374 0.047 International Journal of Computer Vision 2.660 0.145 International journal of computerized dentistry 0.202 0.054 International Journal of Computers and Applications 0.188 0.036 International Journal of Computers for Mathematical Learning 0.456 0.038 International Journal of Computers, Communications and Control 0.034 International Journal of Computer-Supported Collaborative Learning 1.529 0.052 International Journal of Conflict Management 0.118 0.039 International Journal of Constitutional Law 0.321 0.035 International Journal of Construction Education and Research 0.124 0.035 International Journal of Contemporary Hospitality Management 0.535 0.038 International Journal of Continuing Engineering Education and Life- Long Learning 0.088 0.034 International Journal of Control 0.710 0.058 International Journal of Control, Automation and Systems 0.448 0.048 International Journal of Cooperative Information Systems 0.280 0.044 International Journal of Corpus Linguistics 0.342 #N/A International Journal of Cosmetic Science 0.383 0.104 International Journal of Crashworthiness 0.299 0.040 International Journal of Critical Infrastructures 0.185 0.039 International Journal of Cross Cultural Management 0.231 0.041 International Journal of Cultural Studies 0.229 0.037 International Journal of Dairy Science 0.115 0.038 International Journal of Dairy Technology 0.470 0.059 International Journal of Damage Mechanics 1.292 0.063 International journal of data mining and bioinformatics 0.122 0.098 International Journal of Data Warehousing and Mining 0.376 0.047 International journal of dental hygiene. 0.224 0.057 International journal of dermatology 0.404 0.109 International Journal of Design and Nature and Ecodynamics 0.034 International Journal of Developmental Neuroscience 0.400 0.221 International Journal of Diabetes and Metabolism 0.078 0.037 International Journal of Diabetes in Developing Countries 0.062 0.034 International Journal of Disability, Development and Education 0.435 0.046 International Journal of Discrimination and the Law 0.119 0.063 International Journal of Distance Education Technologies 0.169 0.035 International Journal of Distributed Sensor Networks 0.321 0.037 International Journal of Drug Policy 0.484 0.102 International Journal of Dynamical Systems and Differential Equations 0.191 0.039 International Journal of Earth Sciences 0.722 0.092 International Journal of Eating Disorders 0.949 0.171 International Journal of e-Business Research 0.034 International Journal of e-Collaboration 0.073 0.037 International Journal of Ecology and Environmental Sciences 0.109 0.036 International Journal of Economics and Management 0.072 0.034 International Journal of Educational Development 0.587 0.038 International Journal of Educational Management 0.273 0.036 International Journal of Educational Research 0.231 0.036 International Journal of Electric and Hybrid Vehicles 0.209 0.041 International Journal of Electrical Engineering 0.076 0.034 International Journal of Electrical Engineering Education 0.362 0.036 International Journal of Electrical Power and Energy Systems 1.319 0.067 International Journal of Electronic Commerce 1.260 0.050 International Journal of Electronic Government Research 0.113 0.034 International journal of electronic healthcare 0.474 0.057 International Journal of Electronic Marketing and Retailing 0.022 0.035 International Journal of Electronic Security and Digital Forensics 0.034 International Journal of Electronics 0.282 0.050 International Journal of Embedded Systems 0.034 International Journal of Emergency Management 0.193 0.039 International journal of emergency mental health 0.191 0.051 International Journal of Emerging Electric Power Systems 0.224 0.039 International Journal of Energy Research 0.820 0.104 International Journal of Energy Sector Management 0.173 0.039 International Journal of Energy Technology and Policy 0.118 0.044 International Journal of Engine Research 0.516 0.059 International Journal of Engineering Education 0.503 0.039 International Journal of Engineering Intelligent Systems for Electrical Engineering and Communications 0.063 0.035 International Journal of Engineering Science 1.159 0.081 International Journal of Engineering, Transactions B: Applications 0.148 0.036 International Journal of Enterprise Information Systems 0.150 0.039 International Journal of Entrepreneurial Behaviour and Research 0.601 0.037 International Journal of Entrepreneurship and Innovation Management 0.244 0.035 International Journal of Entrepreneurship and Small Business 0.064 0.035 International Journal of Environment and Health 0.136 0.046 International Journal of Environment and Pollution 0.225 0.049 International Journal of Environment and Sustainable Development 0.133 0.037 International Journal of Environment and Waste Management 0.268 0.050 International Journal of Environment, Workplace and Employment 0.041 0.034 International Journal of Environmental Analytical Chemistry 0.337 0.106 International Journal of Environmental Health Research 0.361 0.093 International Journal of Environmental Research 0.218 0.041 International journal of environmental research and public health [electronic resource]. 0.187 0.065 International Journal of Environmental Science and Technology 0.252 0.054 International Journal of Environmental Studies 0.132 0.040 International Journal of Environmental Technology and Management 0.087 0.041 International Journal of Epidemiology 1.343 0.585 International Journal of Essential Oil Therapeutics 0.123 0.039 International Journal of Exergy 0.420 0.064 International Journal of Experimental Pathology 0.472 0.269 International Journal of Fatigue 1.212 0.094 International Journal of Fertility and Sterility 0.022 0.038 International Journal of Fertility and Women's Medicine 0.272 0.076 International Journal of Finance and Economics 0.991 0.060 International Journal of Fluid Mechanics Research 0.076 0.035 International Journal of Fluid Power 0.330 0.037 International Journal of Food Engineering 0.150 0.042 International Journal of Food Microbiology 1.183 0.182 International Journal of Food Properties 0.441 0.061 International Journal of Food Science and Technology 0.583 0.069 International Journal of Food Sciences and Nutrition 0.425 0.075 International Journal of Forecasting 1.314 0.076 International Journal of Foresight and Innovation Policy 0.153 0.039 International Journal of Foundations of Computer Science 0.584 0.058 International Journal of Fracture 0.762 0.074 International Journal of Fruit Science 0.182 0.038 International Journal of Fuzzy Systems 0.254 0.049 International Journal of Game Theory 0.711 0.045 International Journal of General Systems 0.295 0.043 International Journal of Geographical Information Science 0.678 0.062 International Journal of Geomechanics 0.935 0.051 International Journal of Geometric Methods in Modern Physics 0.357 0.060 International Journal of Geriatric Psychiatry 0.631 0.151 International Journal of Gerontology 0.108 0.038 International Journal of Global Energy Issues 0.165 0.042 International Journal of Global Environmental Issues 0.145 0.040 International Journal of Globalisation and Small Business 0.053 0.035 International Journal of Green Economics 0.453 0.037 International Journal of Green Energy 0.168 0.052 International Journal of Greenhouse Gas Control 3.171 0.144 International Journal of Group Psychotherapy 0.553 0.043 International Journal of Gynecological Cancer 0.599 0.252 International Journal of Gynecological Pathology 0.591 0.240 International Journal of Gynecology and Obstetrics 0.449 0.137 International journal of health care finance and economics 0.461 0.075 International journal of health care quality assurance 0.202 0.053 International Journal of Health Geographics 0.699 0.150 International Journal of Health Planning and Management 0.308 0.070 International Journal of Health Promotion and Education 0.097 0.044 International Journal of Health Services 0.382 0.080 International Journal of Healthcare Technology and Management 0.141 0.041 International Journal of Heat and Fluid Flow 1.242 0.082 International Journal of Heat and Mass Transfer 1.474 0.102 International Journal of Heat and Technology 0.184 0.042 International Journal of Heat Exchangers 0.275 0.039 International Journal of Heavy Vehicle Systems 0.667 0.042 International Journal of Hematology 0.348 0.197 International Journal of Heritage Studies 0.271 #N/A International Journal of High Performance Computing and Networking 0.034 International Journal of High Performance Computing Applications 0.946 0.064 International Journal of High Speed Electronics and Systems 0.105 0.047 International Journal of Hindu Studies 0.034 International Journal of Historical Archeology 0.207 0.034 International Journal of Hospitality and Tourism Administration 0.143 0.036 International Journal of Hospitality Management 0.778 0.042 International Journal of Human Computer Studies 1.218 0.069 International Journal of Human Resource Management 0.416 0.042 International Journal of Human Resources Development and Management 0.174 0.037 International journal of human-computer interaction 0.360 0.043 International Journal of Humanoid Robotics 0.208 0.098 International Journal of Hydrogen Energy 1.373 0.230 International Journal of Hygiene and Environmental Health 0.686 0.163 International Journal of Hyperthermia 0.604 0.197 International Journal of Imaging Systems and Technology 0.213 0.061 International Journal of Immunogenetics 0.381 0.161 International Journal of Immunopathology and Pharmacology 0.255 0.127 International Journal of Impact Engineering 1.243 0.076 International journal of impotence research 0.567 0.202 International Journal of Inclusive Education 0.458 0.038 International Journal of Industrial and Systems Engineering 0.439 0.038 International Journal of Industrial Ergonomics 0.697 0.049 International Journal of Industrial Organization 1.032 0.069 International Journal of Infectious Diseases 0.499 0.167 International Journal of Information and Communication Technology Education 0.254 0.034 International Journal of Information and Management Sciences 0.105 0.035 International Journal of Information Management 0.706 0.050 International Journal of Information Security 0.744 0.060 International Journal of Information Technology and Decision Making 0.711 0.049 International Journal of Information Technology and Management 0.177 0.035 International Journal of Information Technology and Web Engineering 0.028 0.034 International Journal of Innovation and Learning 0.719 0.051 International Journal of Innovation and Sustainable Development 0.088 0.037 International Journal of Innovation Management 0.143 0.035 International Journal of Innovative Computing and Applications 0.035 International Journal of Innovative Computing, Information and Control 1.115 0.062 International Journal of Integrated Supply Management 0.226 0.041 International Journal of Integrative Biology 0.418 0.034 International Journal of Intelligent Information Technologies 0.072 0.034 International Journal of Intelligent Systems 0.620 0.051 International Journal of Intensive Care 0.023 0.034 International Journal of Intercultural Relations 0.776 0.048 International Journal of Internet Marketing and Advertising 0.377 0.039 International Journal of Internet Protocol Technology 0.007 0.034 International Journal of IT Standards and Standardization Research 0.339 0.036 International Journal of Knowledge Management 0.339 0.034 International journal of laboratory hematology 0.412 0.149 International Journal of Language and Communication Disorders 0.672 0.058 International Journal of Law and Information Technology 0.216 0.036 International Journal of Law and Psychiatry 0.474 0.065 International Journal of Law, Crime and Justice 0.308 0.035 International journal of law, policy, and the family. 0.323 0.035 International Journal of Leadership in Education 0.337 0.035 International Journal of Learning and Intellectual Capital 0.130 0.035 International Journal of Legal Medicine 0.974 0.220 International Journal of Lexicography 0.384 0.035 International Journal of Life Cycle Assessment 1.270 0.106 International Journal of Lifelong Education 0.394 0.036 International Journal of Logistics Research and Applications 0.041 0.039 International Journal of Logistics Systems and Management 0.377 0.042 International Journal of Low Carbon Technologies 0.034 International Journal of Low Radiation 0.015 0.044 International Journal of Lower Extremity Wounds 0.338 0.091 International Journal of Machine Tools and Manufacture 1.920 0.113 International Journal of Machining and Machinability of Materials 0.176 0.038 International Journal of Management and Decision Making 0.188 0.040 International Journal of Management and Enterprise Development 0.772 0.057 International Journal of Management Practice 0.122 0.035 International Journal of Management Reviews 1.196 0.056 International Journal of Managerial Finance 0.235 0.035 International Journal of Manpower 0.325 0.041 International Journal of Manufacturing Research 0.253 0.044 International Journal of Manufacturing Technology and Management 0.279 0.042 International Journal of Marine and Coastal Law 0.280 0.038 International Journal of Market Research 0.425 0.048 International Journal of Mass Spectrometry 0.640 0.174 International Journal of Materials and Product Technology 0.183 0.043 International Journal of Materials Research 0.305 0.070 International Journal of Mathematical Education in Science and Technology 0.322 0.036 International Journal of Mathematics 0.547 0.048 International Journal of Mathematics and Mathematical Sciences 0.124 0.037 International Journal of Mechanical and Materials Engineering 0.034 International Journal of Mechanical Engineering Education 0.000 0.034 International Journal of Mechanical Sciences 1.101 0.070 International Journal of Mechanics and Materials in Design 0.194 0.045 International Journal of Medical Informatics 0.988 0.167 International Journal of Medical Microbiology 0.703 0.347 International Journal of Medical Sciences 0.593 0.304 International Journal of Medical Toxicology and Legal Medicine 0.034 International Journal of Medicinal Mushrooms 0.110 0.044 International Journal of Mental Health 0.123 0.038 International Journal of Mental Health and Addiction 0.230 0.056 International journal of mental health nursing 0.729 0.069 International Journal of Metadata, Semantics and Ontologies 0.105 0.034 International Journal of Meteorology 0.070 0.036 International Journal of Methods in Psychiatric Research 1.020 0.255 International Journal of Microstructure and Materials Properties 0.053 0.039 International Journal of Middle East Studies 0.298 0.035 International Journal of Mineral Processing 1.168 0.083 International Journal of Minerals, Metallurgy and Materials 0.049 International Journal of Mining, Reclamation and Environment 0.255 0.040 International Journal of Mobile Communications 0.745 0.054 International Journal of Mobile Network Design and Innovation 0.103 0.049 International Journal of Modelling and Simulation 0.049 0.035 International Journal of Modelling, Identification and Control 0.792 0.049 International Journal of Modern Physics A 0.297 0.060 International Journal of Modern Physics B 0.222 0.054 International Journal of Modern Physics C 0.247 0.067 International Journal of Modern Physics D 0.380 0.091 International Journal of Modern Physics E 0.302 0.052 International journal of molecular medicine 0.441 0.277 International Journal of Molecular Sciences 0.283 0.084 International Journal of Morphology 0.113 0.040 International Journal of Multiphase Flow 1.308 0.093 International Journal of Multiple Research Approaches 0.142 0.042 International Journal of Music Education 0.129 0.036 International journal of nanomedicine 0.573 0.224 International Journal of Nanoscience 0.072 0.049 International Journal of Nanotechnology 0.266 0.105 International Journal of Nautical Archaeology 0.135 0.035 International Journal of Network Management 0.704 0.044 International Journal of Networking and Virtual Organisations 0.185 0.039 International journal of neural systems 0.339 0.075 International Journal of Neuroprotection and Neuroregeneration 0.036 0.057 International Journal of Neuropsychopharmacology 0.683 0.329 International Journal of Neuroscience 0.303 0.088 International Journal of Non-Linear Mechanics 0.938 0.075 International Journal of Nonlinear Sciences and Numerical Simulation 1.521 0.175 International Journal of Nuclear Desalination 0.052 0.042 International Journal of Nuclear Energy Science and Technology 0.092 0.037 International Journal of Number Theory 0.160 0.038 International Journal of Numerical Analysis and Modeling 0.528 0.058 International Journal of Numerical Methods for Heat and Fluid Flow 0.475 0.054 International Journal of Numerical Modelling: Electronic Networks, Devices and Fields 0.323 0.047 International Journal of Nursing Education Scholarship 0.437 0.055 International journal of nursing practice 0.501 0.062 International Journal of Nursing Studies 0.923 0.083 International Journal of Obesity 0.999 0.380 International Journal of Obstetric Anesthesia 0.570 0.084 International Journal of Occupational and Environmental Health 0.397 0.105 International Journal of Occupational Medicine and Environmental Health 0.198 0.062 International Journal of Occupational Safety and Ergonomics 0.157 0.044 International Journal of Offender Therapy and Comparative Criminology 0.519 0.046 International Journal of Offshore and Polar Engineering 0.295 0.039 International Journal of Oncology 0.510 0.354 International Journal of Operational Research 0.863 0.039 International Journal of Operations and Production Management 1.056 0.055 International Journal of Ophthalmology 0.059 0.036 International Journal of Optomechatronics 0.019 0.046 International Journal of Oral and Maxillofacial Implants 0.696 0.098 International Journal of Oral and Maxillofacial Surgery 0.735 0.111 International Journal of Organizational Analysis 0.071 0.034 International journal of orthodontics (Milwaukee, Wis.) 0.042 0.037 International Journal of Osteoarchaeology 0.244 0.045 International Journal of Osteopathic Medicine 0.232 0.044 International Journal of Ozone Therapy 0.021 0.038 International Journal of Paediatric Dentistry 0.434 0.080 International journal of palliative nursing 0.232 0.053 International Journal of Parallel Programming 0.651 0.046 International Journal of Parallel, Emergent and Distributed Systems 0.197 0.039 International Journal of Pattern Recognition and Artificial Intelligence 0.340 0.046 International Journal of Pavement Engineering 0.620 0.048 International journal of pediatric obesity : IJPO : an official journal of the International Association for the Study of Obesity 0.690 0.204 International Journal of Pediatric Otorhinolaryngology 0.642 0.106 International Journal of Pediatric Otorhinolaryngology Extra 0.087 0.035 International Journal of Peptide Research and Therapeutics 0.222 0.100 International Journal of Periodontics and Restorative Dentistry 0.635 0.099 International Journal of Pest Management 0.353 0.052 International Journal of Pharmaceutical Compounding 0.014 0.034 International Journal of Pharmaceutical Medicine 0.090 0.062 International Journal of Pharmaceutics 0.865 0.204 International Journal of Pharmacology 0.084 0.040 International Journal of Pharmacy Practice 0.255 0.056 International Journal of Philosophical Studies 0.169 0.039 International Journal of Photoenergy 0.110 0.049 International Journal of Physical Distribution and Logistics Management 0.682 0.058 International Journal of Phytoremediation 0.328 0.089 International Journal of Plant Genomics 0.446 0.254 International Journal of Plant Sciences 0.564 0.224 International Journal of Plasticity 2.351 0.176 International Journal of Platonic Tradition 0.034 International Journal of Politics, Culture and Society 0.101 0.034 International Journal of Polymer Analysis and Characterization 0.185 0.049 International Journal of Polymeric Materials 0.193 0.048 International Journal of Postharvest Technology and Innovation 0.034 International Journal of Poultry Science 0.131 0.039 International Journal of Powder Metallurgy (Princeton, New Jersey) 0.128 0.044 International Journal of Power and Energy Systems 0.123 0.038 International Journal of Practical Theology 0.014 0.035 International Journal of Precision Engineering and Manufacturing 0.116 0.050 International Journal of Press/Politics 0.515 0.040 International Journal of Pressure Vessels and Piping 1.014 0.071 International Journal of Primatology 0.719 0.117 International Journal of Prisoner Health 0.240 0.047 International Journal of Probiotics and Prebiotics 0.102 0.039 International Journal of Process Management and Benchmarking 0.030 0.034 International Journal of Product Development 0.284 0.041 International Journal of Product Lifecycle Management 0.179 0.036 International Journal of Production Economics 1.408 0.068 International Journal of Production Research 0.603 0.048 International Journal of Productivity and Performance Management 0.375 0.041 International Journal of Productivity and Quality Management 0.857 0.040 International Journal of Project Management 1.131 0.051 International Journal of Prosthodontics 0.640 0.076 International Journal of Psychiatry in Clinical Practice 0.092 0.048 International Journal of Psychiatry in Medicine 0.346 0.095 International Journal of Psychology 0.404 0.047 International Journal of Psychology and Psychological Therapy 0.399 0.044 International Journal of Psychophysiology 1.045 0.225 International Journal of Public Administration 0.106 0.035 International Journal of Public Health 0.438 0.088 International Journal of Public Opinion Research 0.683 0.092 International Journal of Public Policy 0.130 0.042 International Journal of Public Sector Management 0.334 0.041 International Journal of Qualitative Studies in Education 0.364 0.041 International Journal of Qualitative Studies on Health and Well- being 0.068 0.039 International Journal of Quality and Reliability Management 0.406 0.045 International Journal of Quantum Chemistry 0.488 0.099 International Journal of Quantum Information 0.228 0.064 International Journal of Radiation Biology 0.368 0.221 International Journal of Radiation Oncology Biology Physics 1.189 0.485 International Journal of Refractory Metals and Hard Materials 1.308 0.110 International Journal of Refrigeration 1.552 0.094 International Journal of Refugee Law 0.299 0.036 International Journal of Rehabilitation Research 0.357 0.080 International Journal of Reliability, Quality and Safety Engineering 0.160 0.038 International Journal of Remote Sensing 0.533 0.061 International Journal of Research and Method in Education 0.147 0.036 International Journal of Research in Marketing 1.371 0.065 International Journal of Respiratory Care 0.034 0.041 International Journal of Retail and Distribution Management 0.365 0.040 International Journal of RF and Microwave Computer-Aided Engineering 0.247 0.060 International Journal of Rheumatic Diseases 0.155 0.049 International Journal of Risk and Safety in Medicine 0.100 0.047 International Journal of Risk Assessment and Management 0.059 0.040 International Journal of River Basin Management 0.108 0.040 International Journal of Robotics and Automation 0.195 0.037 International Journal of Robotics Research 1.382 0.069 International Journal of Robust and Nonlinear Control 1.058 0.072 International Journal of Rock Mechanics and Mining Sciences 1.922 0.073 International Journal of Rotating Machinery 0.339 0.040 International Journal of Satellite Communications and Networking 0.412 0.042 International Journal of Science and Mathematics Education 0.325 0.038 International Journal of Science Education 0.793 0.052 International Journal of Sediment Research 0.128 0.038 International Journal of Selection and Assessment 0.426 0.042 International Journal of Service Industry Management 0.824 0.045 International Journal of Services and Operations Management 0.530 0.040 International Journal of Services and Standards 0.488 0.047 International Journal of Services Operations and Informatics 0.118 0.038 International Journal of Services, Technology and Management 0.338 0.037 International Journal of Sexual Health 0.228 0.043 International Journal of Shaping Modeling 0.379 0.046 International Journal of Simulation and Process Modelling 0.166 0.042 International Journal of Simulation Modelling 0.566 0.044 International Journal of Six Sigma and Competitive Advantage 0.445 0.043 International Journal of Social Economics 0.232 0.037 International Journal of Social Psychiatry 0.420 0.069 International Journal of Social Research Methodology: Theory and Practice 0.395 0.041 International Journal of Social Welfare 0.457 0.047 International Journal of Software Engineering and Knowledge Engineering 0.213 0.037 International Journal of Soil Science 0.021 0.036 International Journal of Solids and Structures 1.476 0.107 International Journal of Space Structures 0.364 0.040 International Journal of Special Education 0.036 0.034 International Journal of Speech Technology 0.000 0.034 International Journal of Speech-Language Pathology 0.284 #N/A International Journal of Speleology 0.376 0.059 International Journal of Sport and Exercise Psychology 0.088 0.051 International Journal of Sport Management and Marketing 0.336 0.041 International Journal of Sport Nutrition and Exercise Metabolism 0.421 0.075 International Journal of Sport Psychology 0.523 0.131 International Journal of Sports Medicine 0.537 0.105 International journal of sports physiology and performance 0.196 0.044 International Journal of STD and AIDS 0.341 0.115 International Journal of Strategic Property Management 0.115 0.037 International Journal of Stress Management 0.533 0.048 International Journal of Stroke 0.344 0.180 International Journal of Structural Stability and Dynamics 0.582 0.048 International Journal of Surface Science and Engineering 0.088 0.041 International Journal of Surgery 0.186 0.072 International Journal of Surgical Pathology 0.250 0.104 International Journal of Sustainability in Higher Education 0.466 0.054 International Journal of Sustainable Development 0.170 0.037 International Journal of Sustainable Development and Planning 0.021 0.034 International Journal of Sustainable Development and World Ecology 0.222 0.042 International Journal of Sustainable Energy 0.064 0.036 International Journal of Systematic and Evolutionary Microbiology 0.355 0.098 International Journal of Systematic Theology 0.368 0.034 International Journal of Systems Science 0.575 0.047 International Journal of Technology and Design Education 0.746 0.039 International Journal of Technology and Globalisation 0.081 0.051 International Journal of Technology and Human Interaction 0.059 0.034 International Journal of Technology Assessment in Health Care 0.699 0.210 International Journal of Technology Intelligence and Planning 0.052 0.035 International Journal of Technology Management 0.361 0.039 International Journal of Technology, Policy and Management 0.152 0.036 International Journal of the Economics of Business 0.335 0.053 International Journal of the Society of Materials Engineering for Resources 0.148 0.036 International Journal of the Sociology of Language 0.124 0.034 International Journal of Theoretical and Applied Finance 0.527 0.044 International Journal of Theoretical Physics 0.294 0.046 International Journal of Thermal Sciences 1.181 0.087 International Journal of Thermophysics 0.416 0.063 International Journal of Tourism Research 0.208 0.036 International Journal of Toxicology 0.311 0.115 International Journal of Training and Development 0.298 0.036 International Journal of Transgenderism 0.401 0.041 International Journal of Transport Economics 0.099 0.037 International Journal of Tropical Insect Science 0.151 0.039 International Journal of Tuberculosis and Lung Disease 0.570 0.266 International Journal of Turbo and Jet Engines 0.027 0.034 International Journal of Uncertainty, Fuzziness and Knowlege-Based Systems 0.562 0.049 International Journal of Urban and Regional Research 1.003 0.049 International Journal of Urological Nursing 0.034 International Journal of Urology 0.405 0.138 International Journal of Value Chain Management 0.112 0.036 International Journal of Vegetable Science 0.269 0.038 International Journal of Vehicle Autonomous Systems 0.290 0.038 International Journal of Vehicle Design 0.321 0.043 International Journal of Vehicle Noise and Vibration 0.034 International Journal of Vehicle Safety 0.316 0.044 International Journal of Vehicle Systems Modelling and Testing 0.088 0.036 International Journal of Ventilation 0.076 0.048 International Journal of Virology 0.034 International Journal of Water 0.123 0.037 International Journal of Water Resources Development 0.444 0.048 International Journal of Wavelets, Multiresolution and Information Processing 0.217 0.040 International Journal of Web and Grid Services 0.021 0.038 International Journal of Web Engineering and Technology 0.164 0.046 International Journal of Web Services Research 0.447 0.037 International Journal of Wildland Fire 0.730 0.115 International Journal of Wireless and Mobile Computing 0.034 International Journal of Wireless Information Networks 0.492 0.044 International Journal of Work Organisation and Emotion 0.057 0.034 International Journal of Zoological Research 0.049 0.035 International Journal on Algae 0.000 0.034 International Journal on Artificial Intelligence Tools 0.139 0.043 International Journal on Digital Libraries 0.711 0.046 International Journal on Disability and Human Development 0.055 0.040 International Journal on Document Analysis and Recognition 0.857 0.059 International Journal on Interactive Design and Manufacturing 0.254 0.038 International Journal on Minority and Group Rights 0.199 0.034 International Journal on Software Tools for Technology Transfer 0.726 0.057 International Labor and Working-Class History 0.166 0.035 International Labour Review 0.552 0.051 International maritime health 0.078 0.038 International Marketing Review 0.860 0.045 International Materials Reviews 2.644 0.395 International Mathematics Research Notices 0.636 0.047 International Medical Journal 0.027 0.039 International Microbiology 0.342 0.175 International Migration 0.407 0.042 International Migration Review 1.097 0.047 International MS Journal 0.272 0.126 International Negotiation 0.177 0.034 International Nursing Perspectives 0.044 0.034 International nursing review 0.438 0.052 International Ophthalmology 0.236 0.117 International Ophthalmology Clinics 0.268 0.110 International Organization 2.026 0.061 International Organizations Law Review 0.034 International Orthodontics 0.039 0.034 International Orthopaedics 0.711 0.098 International Pediatrics 0.021 0.035 International Perspectives on Education and Society 0.081 0.034 International Philosophical Quarterly 0.097 0.034 International Planning Studies 0.207 0.035 International Political Science Review 0.530 0.038 International Political Sociology 0.206 0.037 International Politics 0.348 0.037 International Polymer Processing 0.366 0.049 International Psychogeriatrics 0.402 0.118 International Public Management Journal 0.632 0.046 International quarterly of community health education 0.056 0.037 International Regional Science Review 1.037 0.051 International Relations 0.099 0.034 International Relations of the Asia-Pacific 0.412 0.036 International Research in Geographical and Environmental Education 0.130 0.034 International Review for the Sociology of Sport 0.377 0.039 International Review of Administrative Sciences 0.343 0.040 International Review of Allergology and Clinical Immunology 0.000 0.034 International Review of Applied Economics 0.382 0.039 International Review of Cell and Molecular Biology 1.031 1.092 International Review of Economics 0.034 International Review of Economics and Finance 0.334 0.039 International Review of Education 0.464 0.036 International Review of Electrical Engineering 0.075 0.038 International Review of Environmental and Resource Economics 0.427 0.043 International Review of Financial Analysis 0.470 0.041 International Review of Hydrobiology 0.441 0.092 International Review of Law and Economics 0.462 0.037 International Review of Neurobiology 0.528 0.352 International Review of Psychiatry 0.464 0.137 International Review of Public Administration 0.034 International Review of Research in Mental Retardation 0.207 0.076 International Review of Research in Open and Distance Learning 0.400 0.040 International Review of Social History 0.154 0.034 International Review of Sociology 0.091 0.034 International Reviews in Physical Chemistry 2.210 0.528 International Reviews of Immunology 0.653 0.534 International SAMPE Symposium and Exhibition (Proceedings) 0.005 0.034 International SAMPE Technical Conference 0.008 0.033 International Security 1.021 0.043 International Seminars in Surgical Oncology 0.297 0.098 International Shipbuilding Progress 0.236 0.037 International Small Business Journal 0.810 0.049 International Social Science Journal 0.062 0.034 International Social Security Review 0.320 0.037 International Social Work 0.465 0.039 International Sociology 0.609 0.041 International Solar Energy Conference 0.009 0.034 International SportMed Journal 0.077 0.037 International Statistical Review 0.468 0.048 International Studies 0.096 0.040 International Studies in Philosophy 0.000 0.034 International Studies in Sociology of Education 0.259 0.038 International Studies in the Philosophy of Science 0.343 0.056 International Studies Perspectives 0.643 0.039 International Studies Quarterly 0.946 0.046 International Studies Review 0.296 0.036 International Sugar Journal 0.099 0.044 International Surgery 0.160 0.077 International Symposium on VLSI Technology, Systems, and Applications, Proceedings 0.076 0.039 International Tax and Public Finance 0.776 0.045 International Tinnitus Journal 0.137 0.049 International Trade Journal 0.379 0.036 International Urogynecology Journal and Pelvic Floor Dysfunction 0.667 0.253 International Urology and Nephrology 0.350 0.100 International Workshop on Principles of Software Evolution (IWPSE) 0.167 0.042 International wound journal. 0.379 0.084 International Zoo Yearbook 0.269 0.053 Internationale Politik 0.009 0.034 Internationale Politik und Gesellschaft 0.111 0.035 Internationales Archiv fuer Sozialgeschichte der Deutschen Literatur 0.034 Internet and Higher Education 1.205 0.051 Internet Electronic Journal of Molecular Design 0.123 0.040 Internet Journal of Academic Physician Assistants 0.034 Internet Journal of Advanced Nursing Practice 0.034 Internet Journal of Law, Healthcare and Ethics 0.711 0.034 Internet Journal of Mental Health 0.036 Internet Journal of Pain, Symptom Control and Palliative Care 0.079 0.041 Internet Reference Services Quarterly 0.101 0.039 Internet Research 0.351 0.041 Internist 0.100 0.045 Internista 0.034 Internistische Praxis 0.024 0.035 Interpretation - Journal of Political Philosophy 0.036 Interpretation- Journal of Bible and Theology 0.034 Interpreting 0.486 0.038 Intervention in School and Clinic 0.195 0.036 Interventional Neuroradiology 0.061 0.043 Intervirology 0.424 0.184 Inventiones Mathematicae 2.156 0.085 Inverse Problems 0.849 0.087 Inverse Problems in Science and Engineering 0.485 0.051 Invertebrate Biology 0.495 0.097 Invertebrate Neuroscience 0.657 0.295 Invertebrate Reproduction and Development 0.179 0.049 Invertebrate Systematics 0.571 0.102 Investigacion Agraria Sistemas y Recursos Forestales 0.026 0.035 Investigacin clnica 0.104 0.043 Investigacion Economica 0.028 0.034 Investigaciones Economicas 0.051 0.034 Investigaciones Geograficas: Boletin - Istituto de Geografia, Universidad Nacional Autonoma de Mexico 0.000 0.034 Investigaciones Regionales 0.049 0.034 Investigational New Drugs 0.467 0.321 Investigative Ophthalmology and Visual Science 0.759 0.332 Investigative Radiology 1.286 0.573 Inzynieria Chemiczna i Procesowa 0.158 0.041 Inzynieria Mineralna 0.034 Ionics 0.314 0.093 Iowa Law Review 0.238 0.039 Iowa medicine : journal of the Iowa Medical Society 0.034 IPCS Concise International Chemical Assessment Documents 0.034 Ippologia 0.000 0.034 IPPTA: Quarterly Journal of Indian Pulp and Paper Technical Association 0.040 0.034 IRAL - International Review of Applied Linguistics in Language Teaching 0.246 0.036 Iran and the Caucasus 0.034 Iranian Biomedical Journal 0.167 0.052 Iranian Journal of Allergy, Asthma and Immunology 0.131 0.075 Iranian Journal of Biotechnology 0.034 Iranian Journal of Chemistry and Chemical Engineering 0.031 0.040 Iranian Journal of Diabetes and Lipid Disorders 0.006 0.038 Iranian Journal of Electrical and Computer Engineering 0.169 0.034 Iranian Journal of Environmental Health Science and Engineering 0.041 0.037 Iranian Journal of Immunology 0.081 0.061 Iranian journal of kidney diseases 0.151 0.046 Iranian Journal of Medical Sciences 0.118 0.039 Iranian Journal of Nuclear Medicine 0.007 0.036 Iranian Journal of Ophthalmology 0.034 Iranian Journal of Pediatrics 0.043 0.039 Iranian Journal of Pharmaceutical Research 0.166 0.035 Iranian Journal of Pharmacology and Therapeutics 0.076 0.045 Iranian Journal of Public Health 0.113 0.040 Iranian Journal of Radiation Research 0.032 0.040 Iranian Journal of Reproductive Medicine 0.379 0.037 Iranian Journal of Science and Technology, Transaction A: Science 0.036 0.037 Iranian Journal of Science and Technology, Transaction B: Technology 0.266 0.042 Iranian Journal of Veterinary Research 0.109 0.034 Iranian Polymer Journal (English Edition) 0.309 0.061 Iranian Red Crescent Medical Journal 0.593 0.036 Iranica Antiqua 0.000 0.034 IRB Ethics and Human Research 0.453 0.075 IRBM 0.127 0.047 IRBM News 0.034 Irish Geography 0.084 0.037 Irish Journal of Agricultural and Food Research 0.344 0.042 Irish Journal of Earth Sciences 0.050 0.038 Irish Journal of Medical Science 0.195 0.062 Irish Journal of Psychological Medicine 0.060 0.037 Irish medical journal 0.125 0.062 Irish University Review 0.034 Irish Veterinary Journal 0.076 0.039 Iron and Steel Technology 0.150 0.037 Ironmaking and Steelmaking 0.416 0.047 IRRIGA 0.027 0.034 Irrigation and Drainage 0.276 0.043 Irrigation and Drainage Systems 0.209 0.042 Irrigation Science 1.003 0.076 IRYO - Japanese Journal of National Medical Services 0.000 0.033 ISA Transactions 0.543 0.051 ISET Journal of Earthquake Technology 0.769 0.069 Isi Bilimi Ve Teknigi Dergisi/ Journal of Thermal Science and Technology 0.034 ISIJ International 0.525 0.067 ISIS 0.184 0.042 Islam - Zeitschrift fur Geschichte und Kultur des Islamischen Orients 0.000 0.034 Islam and Christian-Muslim Relations 0.063 0.035 Islamic Law and Society 0.412 0.035 Island Arc 0.307 0.048 ISME Journal 0.858 0.695 Isokinetics and Exercise Science 0.226 0.044 Isotopes in Environmental and Health Studies 0.211 0.090 ISPRS Journal of Photogrammetry and Remote Sensing 1.260 0.077 Israel Economic Review 0.035 Israel Exploration Journal 0.000 0.034 Israel Journal of Chemistry 0.144 0.106 Israel Journal of Earth Sciences 0.268 0.040 Israel Journal of Ecology and Evolution 0.250 0.065 Israel Journal of Mathematics 0.671 0.048 Israel Journal of Plant Sciences 0.209 0.065 Israel Journal of Psychiatry and Related Sciences 0.253 0.072 Israel Journal of Veterinary Medicine 0.034 Israel Medical Association Journal 0.212 0.087 Israeli Journal of Aquaculture - Bamidgeh 0.203 0.040 Issue brief (Center for Studying Health System Change) 0.106 0.087 Issue brief (Commonwealth Fund) 0.164 0.115 Issue brief (George Washington University. National Health Policy Forum) 0.055 0.049 Issue brief (Grantmakers Health) 0.000 0.035 Issue brief (Health Policy Tracking Service) 0.023 0.036 Issue Brief (Mass Health Policy Forum) 0.000 0.034 Issue Brief (Public Policy Institute (American Association of Retired Persons)) 0.000 0.035 Issues and Studies 0.076 0.035 Issues in brief (Alan Guttmacher Institute) 0.034 Issues in Comprehensive Pediatric Nursing 0.226 0.045 Issues in Educational Research 0.215 0.035 Issues in emerging health technologies 0.102 0.062 Issues in law & medicine 0.098 0.038 Issues in Legal Scholarship 0.034 Issues in Mental Health Nursing 0.329 0.054 Issues in science and technology 0.073 0.038 Istanbul University - Journal of Electrical and Electronics Engineering 0.109 0.034 Istanbuler Mitteilungen 0.000 0.034 Istrazivanja i Projektovanja za Privredu 0.024 0.037 IT Professional 0.405 0.052 Italian Journal of Allergy and Clinical Immunology 0.013 0.034 Italian journal of anatomy and embryology = Archivio italiano di anatomia ed embriologia 0.073 0.046 Italian Journal of Animal Science 0.057 0.038 Italian Journal of Biochemistry 0.187 0.097 Italian Journal of Food Science 0.278 0.048 Italian Journal of Gynaecology and Obstetrics 0.006 0.036 Italian Journal of Medicine 0.022 0.034 Italian Journal of Pediatrics 0.023 0.035 Italian Journal of Public Health 0.020 0.035 Italian Journal of Vascular and Endovascular Surgery 0.040 0.045 Italian Journal of Zoology 0.262 0.048 Italian Oral Surgery 0.034 Italian Studies 0.000 0.034 Italianistica 0.034 ITE Journal (Institute of Transportation Engineers) 0.065 0.035 IUBMB Life 0.447 0.354 Ius et Praxis 0.034 Izvestiia Akademii nauk. Seriia biologicheskaia / Rossiiskaia akademiia nauk 0.097 0.041 Izvestiya - Atmospheric and Ocean Physics 0.073 0.058 Izvestiya - Physics of the Solid Earth 0.070 0.037 Izvestiya Akademii Nauk, Seriya Geograficheskaya 0.010 0.034 Izvestiya Mathematics 0.126 0.037 J Am Pharm Assoc (Wash DC) 0.232 0.061 J Drugs Dermatol 0.254 0.107 J Neurosurg Spine 0.681 0.129 J Surg Orthop Adv 0.210 0.069 J Water Health 0.386 0.102 JAAPA : official journal of the American Academy of Physician Assistants 0.022 0.038 JAC: A Journal of Composition Theory 0.245 0.034 JACC: Cardiovascular Imaging 0.351 0.309 JACC: Cardiovascular Interventions 0.346 0.959 Jahrbuch fur Regional Wissenschaft 0.394 0.036 Jahrbuch fr Wirtschaftsgeschichte 0.000 0.034 Jahrbucher fur Nationalokonomie und Statistik 0.148 0.037 JALA - Journal of the Association for Laboratory Automation 0.172 0.116 James Joyce Quarterly 0.079 0.034 JAMS Journal of Acupuncture and Meridian Studies 0.466 0.036 JAOCS, Journal of the American Oil Chemists' Society 0.780 0.107 Japan Agricultural Research Quarterly 0.249 0.053 Japan and the World Economy 0.376 0.038 Japan Journal of Industrial and Applied Mathematics 0.264 0.048 Japan Journal of Logopedics and Phoniatrics 0.051 0.035 Japan Journal of Nursing Science 0.131 0.040 Japan Medical Association Journal 0.017 0.036 Japanese Dental Science Review 0.034 Japanese Economic Review 0.377 0.037 Japanese Journal of Aerospace and Environmental Medicine 0.034 Japanese Journal of Alcohol Studies and Drug Dependence 0.033 0.039 Japanese Journal of Allergology 0.021 0.038 Japanese Journal of Anesthesiology 0.038 0.037 Japanese Journal of Antibiotics 0.048 0.040 Japanese Journal of Applied Entomology and Zoology 0.038 0.035 Japanese Journal of Applied Physics, Part 1: Regular Papers and Short Notes and Review Papers 0.443 0.085 Japanese Journal of Cancer and Chemotherapy 0.032 0.040 Japanese Journal of Chemotherapy 0.024 0.035 Japanese Journal of Chest Diseases 0.005 0.034 Japanese Journal of Clinical Chemistry 0.008 0.036 Japanese Journal of Clinical Oncology 0.436 0.161 Japanese Journal of Clinical Ophthalmology 0.008 0.035 Japanese Journal of Clinical Pharmacology and Therapeutics 0.018 0.034 Japanese Journal of Clinical Radiology 0.012 0.034 Japanese Journal of Clinical Urology 0.008 0.035 Japanese Journal of Conservation Ecology 0.135 0.038 Japanese Journal of Crop Science 0.146 0.038 Japanese Journal of Ecology 0.038 0.035 Japanese Journal of Educational Psychology 0.010 0.034 Japanese Journal of Gastroenterological Surgery 0.018 0.034 Japanese Journal of Gastroenterology 0.027 0.039 Japanese Journal of Geriatrics 0.038 0.035 Japanese Journal of Hygiene 0.062 0.039 Japanese Journal of Infectious Diseases 0.343 0.118 Japanese Journal of Interventional Cardiology 0.007 0.036 Japanese Journal of Legal Medicine 0.000 0.034 Japanese Journal of Leprosy 0.000 0.034 Japanese Journal of Limnology 0.174 0.038 Japanese Journal of Lung Cancer 0.040 0.037 Japanese Journal of Mathematics 0.311 0.040 Japanese Journal of Medical Mycology 0.210 0.087 Japanese Journal of Neuropsychopharmacology 0.054 0.064 Japanese Journal of Neurosurgery 0.007 0.034 Japanese Journal of Ophthalmology 0.389 0.103 Japanese Journal of Physical Fitness and Sports Medicine 0.083 0.037 Japanese Journal of Plastic and Reconstructive Surgery 0.004 0.034 Japanese Journal of Political Science 0.034 Japanese Journal of Psychopharmacology 0.039 0.044 Japanese journal of public health 0.075 0.041 Japanese Journal of Radiology 0.097 Japanese Journal of Religious Studies 0.135 0.034 Japanese Journal of Tribology 0.003 0.034 Japanese Journal of Urology 0.103 0.053 Japanese Magazine of Mineralogical and Petrological Sciences 0.034 Japanese Pharmacology and Therapeutics 0.040 0.038 Japanese Psychological Research 0.137 0.042 Japanese Railway Engineering 0.034 Japan-hospitals : the journal of the Japan Hospital Association 0.000 0.034 JARO - Journal of the Association for Research in Otolaryngology 0.820 0.298 JASSS 0.577 0.058 JAVA - Journal of the Association for Vascular Access 0.087 0.040 Javnost 0.155 0.035 JBIS - Journal British Interplanetary Society 0.247 0.043 JBR-BTR : organe de la Socit royale belge de radiologie (SRBR) = orgaan van de Koninklijke Belgische Vereniging voor Radiologie (KBVR) 0.062 0.043 JCT CoatingsTech 0.040 0.037 JDDG - Journal of the German Society of Dermatology 0.315 0.109 JEMS : a journal of emergency medical services 0.024 0.040 Jeoloji Muhendisligi Dergisi 0.034 JETP Letters 0.347 0.104 JEUR 0.039 0.035 Jewish History 0.418 0.034 JFE Technical Report 0.034 Jiangsu Daxue Xuebao (Ziran Kexue Ban) / Journal of Jiangsu University (Natural Science Edition) 0.612 0.051 Jianzhu Cailiao Xuebao/Journal of Building Materials 0.230 0.039 Jianzhu Jiegou Xuebao/Journal of Building Structures 0.438 0.043 Jiaotong Yunshu Xitong Gongcheng Yu Xinxi/ Journal of Transportation Systems Engineering and Information Technology 0.151 0.035 Jiefangjun Ligong Daxue Xuebao/Journal of PLA University of Science and Technology (Natural Science Edition) 0.073 0.036 Jiegou Huaxue 0.100 0.043 Jiliang Xuebao/Acta Metrologica Sinica 0.081 0.036 Jilin Daxue Xuebao (Gongxueban)/Journal of Jilin University (Engineering and Technology Edition) 0.282 0.041 Jinekoloji ve Obstetrik Dergisi 0.000 0.034 Jingangshi yu Moliao Moju Gongcheng/Diamond & Abrasives Engineering 0.076 0.035 Jinshu Rechuli/Heat Treatment of Metals 0.073 0.036 Jinshu Xuebao/Acta Metallurgica Sinica 0.515 0.056 Jiqiren/Robot 0.398 0.045 Jisuan Lixue Xuebao/Chinese Journal of Computational Mechanics 0.264 0.039 Jisuan Wuli/Chinese Journal of Computational Physics 0.312 0.040 Jisuanji Fuzhu Sheji Yu Tuxingxue Xuebao/Journal of Computer- Aided Design and Computer Graphics 0.360 0.043 Jisuanji Jicheng Zhizao Xitong/Computer Integrated Manufacturing Systems, CIMS 0.264 0.045 Jisuanji Xuebao/Chinese Journal of Computers 0.651 0.053 Jisuanji Yanjiu yu Fazhan/Computer Research and Development 0.424 0.045 Jixie Gongcheng Xuebao/Chinese Journal of Mechanical Engineering 0.459 0.047 Jixie Qiangdu/Journal of Mechanical Strength 0.348 0.041 JK Practitioner 0.009 0.036 JK Science 0.063 0.038 JMS - Journal of Medical Society 0.027 0.034 JNCCN Journal of the National Comprehensive Cancer Network 0.456 0.353 JNMA; journal of the Nepal Medical Association 0.038 0.037 JNT-Journal of Narrative Theory 0.034 Joannea - Geologie und Palaontologie 0.040 0.037 Johns Hopkins APL Technical Digest (Applied Physics Laboratory) 0.048 0.037 Joint Bone Spine 0.514 0.138 Joint Commission journal on quality and patient safety / Joint Commission Resources. 0.570 0.196 Joint Commission Perspectives on Patient Safety 0.034 Joint Commission perspectives. Joint Commission on Accreditation of Healthcare Organizations 0.004 0.041 JOM 0.549 0.103 JONA"S healthcare law, ethics and regulation 0.144 0.052 JOP [electronic resource] : Journal of the pancreas 0.323 0.146 Jordan Journal of Applied Sciences - Natural Sciences 0.008 0.038 Jordan Medical Journal 0.038 0.034 Jornal Brasileiro de Patologia e Medicina Laboratorial 0.062 0.037 Jornal Brasileiro de Pneumologia 0.211 0.066 Jornal Brasileiro de Psiquiatria 0.130 0.038 Jornal Brasileiro de Reproducao Assistida 0.020 0.034 Jornal de Pediatria 0.443 0.105 Jornal Vascular Brasileiro 0.059 0.041 Journal - China Textile Institute 0.034 Journal - Oklahoma Dental Association 0.009 0.034 Journal - Royal Agricultural Society of England 0.000 0.034 Journal (Canadian Dental Association) 0.391 0.069 Journal (Indiana Dental Association) 0.000 0.034 Journal (Institute of Health Record & Information Management : 2008) 0.034 Journal / American Water Works Association 0.228 0.052 Journal Asiatique 0.034 Journal d'Analyse Mathematique 0.669 0.048 Journal de chirurgie 0.059 0.043 Journal de Gynecologie Obstetrique et Biologie de la Reproduction 0.251 0.063 Journal de la Societe de biologie 0.106 0.054 Journal de Medecine Legale Droit Medical 0.069 0.034 Journal de Mycologie Medicale 0.151 0.041 Journal de Pediatrie et de Puericulture 0.139 0.035 Journal de Pharmacie Clinique 0.103 0.038 Journal de Pharmacie de Belgique 0.045 0.040 Journal de Radiologie 0.123 0.051 Journal de Readaptation Medicale 0.064 0.035 Journal de Therapie Comportementale et Cognitive 0.143 0.038 Journal d'Economie Medicale 0.140 0.036 Journal des Maladies Vasculaires 0.158 0.073 Journal des Mathematiques Pures et Appliquees 1.105 0.064 Journal des Professionnels de l'Enfance 0.034 Journal des Savants 0.000 0.034 Journal Europeen des Systemes Automatises 0.110 0.035 Journal Europeen d'Hydrologie 0.122 0.046 Journal for Cultural Research 0.299 0.036 Journal for General Philosophy of Science 0.030 0.034 Journal for Geometry and Graphics 0.034 Journal for healthcare quality : official publication of the National Association for Healthcare Quality 0.143 0.056 Journal for Nurse Practitioners 0.048 0.036 Journal for nurses in staff development : JNSD : official journal of the National Nursing Staff Development Organization 0.264 0.045 Journal for Research in Mathematics Education 1.379 0.048 Journal for specialists in pediatric nursing : JSPN 0.244 0.057 Journal for Studies in Economics and Econometrics 0.034 Journal for the Education of the Gifted 0.202 0.036 Journal for the History of Astronomy 0.480 0.035 Journal for the Scientific Study of Religion 0.731 0.047 Journal for the Study of Judaism 0.034 Journal for the Study of Religions and Ideologies 0.049 0.035 Journal for the Study of the Historical Jesus 0.413 0.034 Journal for the Study of the Old Testament 0.369 0.034 Journal for the Study of the Pseudepigrapha 0.418 0.034 Journal for the Theory of Social Behaviour 0.417 0.039 Journal for Vascular Ultrasound 0.053 0.046 Journal for Weavers, Spinners and Dyers 0.010 0.034 Journal Francais d'Ophtalmologie 0.148 0.053 Journal fuer Gastroenterologische und Hepatologische Erkrankungen 0.000 0.034 Journal fur Anasthesie und Intensivbehandlung 0.000 0.033 Journal fur Betriebswirtschaft 0.223 0.042 Journal fur die Reine und Angewandte Mathematik 0.905 0.055 Journal fur Entwicklungspolitik 0.178 0.034 Journal fur Ernahrungsmedizin 0.009 0.034 Journal fur Gynakologische Endokrinologie 0.034 Journal fur Hypertonie 0.008 0.034 Journal fur Kardiologie 0.046 0.038 Journal fur Mineralstoffwechsel 0.058 0.036 Journal fur Neurologie, Neurochirurgie und Psychiatrie 0.038 0.035 Journal fur Ornithologie 0.610 0.099 Journal fur Reproduktionsmedizin und Endokrinologie 0.168 0.048 Journal fur Urologie und Urogynakologie 0.006 0.034 Journal fur Verbraucherschutz und Lebensmittelsicherheit 0.090 0.044 Journal in Computer Virology 0.079 0.037 Journal international de biothique = International journal of bioethics 0.033 0.047 Journal International des Sciences de la Vigne et du Vin 0.321 0.061 Journal International Medical Sciences Academy 0.012 0.038 Journal of AAPOS : the official publication of the American Association for Pediatric Ophthalmology and Strabismus / American Association for Pediatric Ophthalmology and Strabismus 0.632 0.105 Journal of Abnormal Child Psychology 1.009 0.143 Journal of Abnormal Psychology 1.500 0.230 Journal of Academic Ethics 0.253 0.042 Journal of Academic Librarianship 0.485 0.051 Journal of Access Services 0.034 Journal of Accounting and Economics 2.244 0.075 Journal of Accounting and Public Policy 0.766 0.044 Journal of Accounting Education 0.117 0.034 Journal of Accounting Research 1.468 0.059 Journal of Accounting, Auditing and Finance 0.287 0.037 Journal of acquired immune deficiency syndromes (1999) 0.953 0.480 Journal of Acupuncture and Tuina Science 0.088 0.035 Journal of Addictions Nursing 0.037 0.035 Journal of Addictive Diseases 0.304 0.096 Journal of Adhesion 0.350 0.064 Journal of Adhesion Science and Technology 0.490 0.109 Journal of Adhesive Dentistry 0.661 0.085 Journal of Adolescence 1.130 0.092 Journal of Adolescent and Adult Literacy 0.560 0.041 Journal of Adolescent Research 0.867 0.066 Journal of Adult Development 0.207 0.042 Journal of Advanced Manufacturing Systems 0.115 0.035 Journal of Advanced Materials 0.151 0.046 Journal of Advanced Nursing 1.094 0.092 Journal of Advanced Oxidation Technologies 0.232 0.067 Journal of Advanced Perioperative Care 0.148 0.040 Journal of Advanced Transportation 0.316 0.042 Journal of Advanced Zoology 0.036 0.034 Journal of Advertising 0.751 0.046 Journal of Advertising Research 0.431 0.048 Journal of Aerosol Medicine and Pulmonary Drug Delivery 0.522 0.144 Journal of Aerosol Science 1.080 0.169 Journal of Aerospace Computing, Information and Communication 0.318 0.040 Journal of Aerospace Engineering 0.367 0.042 Journal of Aesthetic Education 0.365 0.034 Journal of Aesthetics and Art Criticism 0.188 0.035 Journal of Affective Disorders 0.953 0.233 Journal of African Archaeology 0.563 0.040 Journal of African Business 0.056 0.034 Journal of African Earth Sciences 0.447 0.055 Journal of African Economies 0.743 0.046 Journal of African History 0.145 0.034 Journal of Aggression, Maltreatment and Trauma 0.089 0.037 Journal of Aging and Health 0.715 0.119 Journal of Aging and Pharmacotherapy 0.034 Journal of Aging and Physical Activity 0.581 0.107 Journal of Aging and Social Policy 0.297 0.049 Journal of Aging Studies 0.660 0.054 Journal of Agrarian Change 1.136 0.042 Journal of Agricultural and Environmental Ethics 0.503 0.049 Journal of Agricultural and Food Chemistry 0.935 0.158 Journal of Agricultural and Food Industrial Organization 0.484 0.056 Journal of Agricultural and Food Information 0.206 0.035 Journal of Agricultural and Resource Economics 0.674 0.047 Journal of Agricultural and Urban Entomology 0.169 0.040 Journal of Agricultural Economics 0.814 0.059 Journal of Agricultural Science 0.568 0.084 Journal of Agricultural, Biological, and Environmental Statistics 0.570 0.112 Journal of Agricultural, Food, and Environmental Sciences 0.547 0.036 Journal of Agriculture and Rural Development in the Tropics and Subtropics 0.040 0.036 Journal of Agriculture of the University of Puerto Rico 0.000 0.034 Journal of Agromedicine 0.242 0.059 Journal of Agronomy 0.044 0.037 Journal of Agronomy and Crop Science 1.089 0.096 Journal of AHIMA / American Health Information Management Association 0.051 0.038 Journal of Air Transport Management 0.701 0.041 Journal of Aircraft 0.548 0.047 Journal of Alcohol and Drug Education 0.253 0.058 Journal of Algebra 0.683 0.048 Journal of Algebra and its Applications 0.301 0.039 Journal of Algebraic Combinatorics 1.113 0.058 Journal of Algorithms 1.121 0.081 Journal of Allergy and Clinical Immunology 1.533 1.126 Journal of Allied Health 0.182 0.040 Journal of Alloys and Compounds 0.982 0.124 Journal of Alternative and Complementary Medicine 0.529 0.101 Journal of Alternative Investments 0.214 0.036 Journal of Alzheimer's Disease 0.638 0.482 Journal of Ambulatory Care Management 0.370 0.088 Journal of American College Health 0.694 0.079 Journal of American ethnic history 0.000 0.034 Journal of American History 0.274 0.035 Journal of American studies. 0.069 0.034 Journal of Anaesthesiology Clinical Pharmacology 0.087 0.035 Journal of Analytical and Applied Pyrolysis 1.389 0.125 Journal of Analytical Atomic Spectrometry 0.668 0.249 Journal of Analytical Chemistry 0.079 0.054 Journal of Analytical Psychology 0.489 0.035 Journal of Analytical Toxicology 0.403 0.171 Journal of anatomy 0.645 0.260 Journal of Ancient Near Eastern Religions 0.034 Journal of Andrology 0.748 0.208 Journal of Anesthesia 0.377 0.073 Journal of Animal and Feed Sciences 0.245 0.042 Journal of Animal and Veterinary Advances 0.055 0.035 Journal of Animal Breeding and Genetics 0.642 0.132 Journal of Animal Ecology 1.537 0.232 Journal of Animal Physiology and Animal Nutrition 0.393 0.060 Journal of Animal Science 0.881 0.115 Journal of Anthropological Archaeology 0.466 0.063 Journal of Anthropological Research 0.090 0.057 Journal of Anthropological Sciences 1.536 0.045 Journal of Antibiotics 0.274 0.153 Journal of Antimicrobial Chemotherapy 0.983 0.423 Journal of Anxiety Disorders 1.105 0.149 Journal of AOAC International 0.337 0.095 Journal of Apicultural Research 0.287 0.060 Journal of Applied and Industrial Mathematics 0.032 0.034 Journal of Applied Animal Research 0.055 0.036 Journal of Applied Animal Welfare Science 0.218 0.042 Journal of Applied Aquaculture 0.259 0.043 Journal of Applied Behavior Analysis 0.621 0.053 Journal of Applied Behavioral Science 0.497 0.044 Journal of Applied Biobehavioral Research 0.115 0.047 Journal of Applied Biological Chemistry 0.044 0.043 Journal of Applied Biomaterials and Biomechanics 0.060 0.039 Journal of Applied Biomechanics 0.581 0.075 Journal of Applied Biomedicine 0.141 0.062 Journal of Applied Botany and Food Quality 0.270 0.079 Journal of Applied Business Research 0.104 0.035 Journal of applied clinical medical physics [electronic resource] / American College of Medical Physics 0.297 0.095 Journal of Applied Communication Research 0.499 0.045 Journal of Applied Cosmetology 0.178 0.040 Journal of Applied Crystallography 1.580 0.591 Journal of Applied Developmental Psychology 0.715 0.066 Journal of Applied Ecology 1.711 0.241 Journal of Applied Econometrics 1.665 0.062 Journal of Applied Economics 0.301 0.040 Journal of Applied Electrochemistry 0.690 0.143 Journal of Applied Entomology 0.755 0.076 Journal of Applied Genetics 0.397 0.128 Journal of Applied Geophysics 0.964 0.059 Journal of Applied Gerontology 0.452 0.055 Journal of Applied Ichthyology 0.395 0.055 Journal of Applied Logic 0.860 0.054 Journal of Applied Mathematics 0.125 0.066 Journal of Applied Mathematics and Computing 0.172 0.039 Journal of Applied Mathematics and Decision Sciences 0.094 0.036 Journal of Applied Mathematics and Mechanics 0.162 0.039 Journal of Applied Mathematics and Stochastic Analysis 0.283 0.039 Journal of applied measurement 0.153 0.044 Journal of applied mechanics and technical physics 0.330 0.040 Journal of Applied Mechanics, Transactions ASME 0.762 0.080 Journal of Applied Meteorology and Climatology 0.821 0.114 Journal of Applied Microbiology 0.819 0.146 Journal of Applied Oral Science 0.112 0.039 Journal of Applied Phycology 0.414 0.072 Journal of Applied Physics 0.681 0.135 Journal of Applied Physiology 1.068 0.331 Journal of Applied Polymer Science 0.536 0.085 Journal of Applied Poultry Research 0.213 0.045 Journal of Applied Probability 0.529 0.051 Journal of Applied Psychology 2.293 0.106 Journal of Applied Remote Sensing 0.178 0.061 Journal of Applied Research 0.141 0.047 Journal of Applied Research in Intellectual Disabilities 0.576 0.053 Journal of Applied School Psychology 0.354 0.039 Journal of Applied Sciences 0.085 0.038 Journal of Applied Social Psychology 0.461 0.050 Journal of Applied Social Science 0.074 0.037 Journal of Applied Spectroscopy 0.050 0.042 Journal of Applied Sport Psychology 1.014 0.058 Journal of Applied Statistical Science 0.034 Journal of Applied Statistics 0.177 0.047 Journal of Applied Toxicology 0.478 0.161 Journal of Approximation Theory 0.729 0.051 Journal of Aquatic Animal Health 0.401 0.077 Journal of Aquatic Food Product Technology 0.085 0.040 Journal of Aquatic Plant Management 0.300 0.043 Journal of Arabic Literature 0.034 Journal of Arachnology 0.255 0.061 Journal of Arboriculture 0.485 0.044 Journal of Archaeological Method and Theory 0.510 0.074 Journal of Archaeological Research 0.614 0.233 Journal of Archaeological Science 0.887 0.109 Journal of Architectural and Planning Research 0.719 0.036 Journal of Architectural Conservation 0.144 0.036 Journal of Architectural Education 0.000 0.034 Journal of Architectural Engineering 0.276 0.043 Journal of Architecture 0.077 0.034 Journal of Archival Organization 0.016 0.034 Journal of Arid Environments 0.917 0.082 Journal of Artificial Intelligence Research 1.321 0.068 Journal of Artificial Organs 0.408 0.153 Journal of Arts Management Law and Society 0.050 0.034 Journal of Asian and African Studies 0.083 0.034 Journal of Asian Architecture and Building Engineering 0.034 Journal of Asian Earth Sciences 0.593 0.061 Journal of Asian Economics 0.608 0.044 Journal of Asian Natural Products Research 0.114 0.057 Journal of Asian Pacific Communication 0.232 0.034 Journal of Asian Studies 0.068 0.034 Journal of Asia-Pacific Business 0.061 0.035 Journal of Asia-Pacific Entomology 0.394 0.049 Journal of Assisted Reproduction and Genetics 0.387 0.126 Journal of Asthma 0.440 0.149 Journal of ASTM International 0.155 0.038 Journal of Astrophysics and Astronomy 0.162 0.073 Journal of Asynchronous Learning Network 0.000 0.034 Journal of atherosclerosis and thrombosis 0.692 0.316 Journal of Athletic Training 0.749 0.119 Journal of Atmospheric and Oceanic Technology 0.745 0.105 Journal of Atmospheric and Solar-Terrestrial Physics 0.729 0.105 Journal of Atmospheric Chemistry 0.520 0.124 Journal of Attention Disorders 0.432 0.123 Journal of autism and developmental disorders 0.914 0.235 Journal of Autoimmune Diseases 0.432 0.112 Journal of Autoimmunity 0.795 0.597 Journal of Automated Methods and Management in Chemistry 0.053 0.040 Journal of Automated Reasoning 0.806 0.056 Journal of Automation and Information Sciences 0.059 0.036 Journal of Avian Biology 0.870 0.145 Journal of Avian Medicine and Surgery 0.152 0.048 Journal of Ayn Rand Studies 0.034 Journal of Ayub Medical College, Abbottabad : JAMC 0.120 0.044 Journal of B.U.ON. 0.112 0.056 Journal of Back and Musculoskeletal Rehabilitation 0.071 0.040 Journal of Bacteriology 0.962 0.755 Journal of Bacteriology and Virology 0.097 0.037 Journal of Baltic Studies 0.378 0.037 Journal of Bamboo and Rattan 0.000 0.034 Journal of Band Research 0.034 Journal of Banking and Finance 2.148 0.056 Journal of Banking Regulation 0.711 0.035 Journal of Basic and Clinical Physiology and Pharmacology 0.221 0.077 Journal of Basic Microbiology 0.376 0.086 Journal of Behavior Therapy and Experimental Psychiatry 0.840 0.117 Journal of Behavioral Decision Making 0.680 0.065 Journal of Behavioral Education 0.494 0.044 Journal of Behavioral Health Services and Research 0.505 0.124 Journal of Behavioral Medicine 1.137 0.225 Journal of Beijing Institute of Clothing Technology (Natural Science Edition) 0.013 0.034 Journal of Beijing Institute of Technology (English Edition) 0.033 0.037 Journal of Biblical Literature 0.284 0.034 Journal of Bioactive and Compatible Polymers 0.588 0.131 Journal of Biochemical and Molecular Toxicology 0.493 0.190 Journal of Biochemistry 0.461 0.298 Journal of Bioeconomics 0.283 0.045 Journal of Bioenergetics and Biomembranes 0.573 0.497 Journal of bioethical inquiry. 0.102 0.037 Journal of Biogeography 1.208 0.260 Journal of bioinformatics and computational biology 0.258 0.196 Journal of Biolaw and Business 0.000 0.034 Journal of Biological Chemistry 1.081 1.316 Journal of Biological Education 0.292 0.038 Journal of Biological Engineering 0.266 0.630 Journal of Biological Inorganic Chemistry 0.825 0.332 Journal of Biological Physics 0.206 0.100 Journal of biological regulators and homeostatic agents 0.332 0.098 Journal of Biological Research 0.072 0.038 Journal of Biological Rhythms 0.979 0.732 Journal of Biological Sciences 0.101 0.037 Journal of Biological Systems 0.408 0.063 Journal of Biology 0.940 1.233 Journal of Biomaterials Applications 0.536 0.118 Journal of Biomaterials Science, Polymer Edition 0.639 0.185 Journal of Biomechanical Engineering 0.728 0.149 Journal of Biomechanics 1.268 0.207 Journal of Biomedical Discovery and Collaboration 0.357 0.238 Journal of Biomedical Informatics 0.743 0.204 Journal of Biomedical Materials Research - Part A 0.774 0.221 Journal of Biomedical Materials Research - Part B Applied Biomaterials 0.707 0.148 Journal of Biomedical Nanotechnology 0.184 0.115 Journal of Biomedical Optics 0.990 0.266 Journal of Biomedical Science 0.502 0.333 Journal of Biomedicine and Biotechnology 0.585 0.324 Journal of Biomolecular NMR 0.797 0.480 Journal of Biomolecular Screening 0.449 0.431 Journal of biomolecular structure & dynamics 0.309 0.152 Journal of biomolecular techniques : JBT 0.203 0.107 Journal of Bionanoscience 0.034 Journal of Bionic Engineering 0.384 0.065 Journal of Biopharmaceutical Statistics 0.440 0.132 Journal of biophotonics 0.467 0.160 Journal of Bioscience and Bioengineering 0.676 0.158 Journal of Biosciences 0.404 0.240 Journal of Biosocial Science 0.404 0.082 Journal of Biotechnology 0.932 0.292 Journal of Bisexuality 0.074 0.035 Journal of Black Psychology 0.459 0.066 Journal of Black Studies 0.227 0.046 Journal of Bodywork and Movement Therapies 0.230 0.041 Journal of Bone and Joint Surgery - Series A 1.465 0.225 Journal of Bone and Joint Surgery - Series B 1.310 0.168 Journal of Bone and Mineral Metabolism 0.614 0.196 Journal of Bone and Mineral Research 1.419 0.717 Journal of Brachial Plexus and Peripheral Nerve Injury 0.367 0.074 Journal of Breath Research 0.315 0.036 Journal of Bridge Engineering 0.665 0.058 Journal of British Studies 0.352 0.035 Journal of Broadcasting and Electronic Media 0.371 0.040 Journal of Bronchology 0.104 0.047 Journal of Bryology 0.368 0.046 Journal of Building Appraisal 0.159 0.036 Journal of Building Physics 0.558 0.053 Journal of Burn Care and Research 0.483 0.142 Journal of Business and Economic Statistics 1.736 0.077 Journal of Business and Finance Librarianship 0.140 0.036 Journal of Business and Industrial Marketing 0.376 0.039 Journal of Business and Psychology 0.404 0.041 Journal of Business and Technical Communication 0.590 0.040 Journal of Business Communication 0.911 0.047 Journal of Business Economics and Management 0.030 0.037 Journal of Business Ethics 0.739 0.043 Journal of Business Finance and Accounting 0.595 0.044 Journal of Business Research 0.884 0.046 Journal of Business Strategy 0.121 0.035 Journal of Business Venturing 1.813 0.059 Journal of Business-to-Business Marketing 0.254 0.042 Journal of Camel Practice and Research 0.044 0.036 Journal of Canadian Petroleum Technology 0.258 0.038 Journal of Cancer Education 0.229 0.065 Journal of Cancer Molecules 0.173 0.162 Journal of Cancer Pain and Symptom Palliation 0.057 0.036 Journal of Cancer Research and Clinical Oncology 0.522 0.290 Journal of Cancer Research and Therapeutics 0.168 0.099 Journal of Cancer Survivorship 0.354 0.137 Journal of capillary electrophoresis 0.053 0.066 Journal of Carbohydrate Chemistry 0.234 0.096 Journal of Carcinogenesis 0.549 0.292 Journal of Cardiac Failure 0.786 0.448 Journal of Cardiac Surgery 0.261 0.104 Journal of Cardiology 0.345 0.085 Journal of Cardiopulmonary Rehabilitation and Prevention 0.441 0.154 Journal of Cardiothoracic and Vascular Anesthesia 0.360 0.101 Journal of Cardiothoracic Surgery 0.283 0.095 Journal of Cardiovascular Computed Tomography 0.244 0.088 Journal of Cardiovascular Electrophysiology 0.771 0.426 Journal of Cardiovascular Magnetic Resonance 0.589 0.269 Journal of Cardiovascular Medicine 0.196 0.097 Journal of Cardiovascular Pharmacology 0.506 0.270 Journal of Cardiovascular Pharmacology and Therapeutics 0.366 0.184 Journal of Cardiovascular Surgery 0.438 0.154 Journal of Career Assessment 0.769 0.048 Journal of Career Development 0.359 0.039 Journal of Cases on Information Technology 0.020 0.034 Journal of Catalysis 1.865 0.380 Journal of cataract and refractive surgery 0.958 0.256 Journal of Cave and Karst Studies 0.513 0.053 Journal of Cell and Molecular Biology 0.139 0.059 Journal of Cell Biology 1.576 3.769 Journal of Cell Communication and Signaling 0.137 0.171 Journal of Cell Science 1.124 1.789 Journal of Cellular and Molecular Medicine 0.795 0.609 Journal of Cellular Biochemistry 0.692 0.578 Journal of Cellular Physiology 0.903 0.704 Journal of Cellular Plastics 0.436 0.054 Journal of Celtic Linguistics 0.034 Journal of Central European Agriculture 0.047 0.035 Journal of Central South University of Technology (English Edition) 0.320 0.048 Journal of Ceramic Processing Research 0.094 0.048 Journal of Cereal Science 1.188 0.151 Journal of Cerebral Blood Flow and Metabolism 0.767 0.445 Journal of Cetacean Research and Management 0.108 0.036 Journal of Chemical and Engineering Data 0.715 0.116 Journal of Chemical Crystallography 0.198 0.053 Journal of Chemical Ecology 0.728 0.178 Journal of Chemical Education 0.219 0.048 Journal of Chemical Engineering of Japan 0.238 0.053 Journal of Chemical Health and Safety 0.097 0.043 Journal of Chemical Information and Modeling 0.825 0.320 Journal of Chemical Neuroanatomy 0.412 0.173 Journal of Chemical Physics 0.644 0.166 Journal of Chemical Research - Part S 0.095 0.048 Journal of Chemical Society of Pakistan 0.049 0.038 Journal of Chemical Technology and Biotechnology 0.720 0.110 Journal of Chemical Theory and Computation 0.976 0.399 Journal of Chemical Thermodynamics 0.728 0.107 Journal of Chemometrics 0.738 0.145 Journal of Chemotherapy 0.207 0.116 Journal of Chengdu University of Technology (Science and Technology Edition) 0.129 0.041 Journal of Child and Adolescent Mental Health 0.116 0.048 Journal of child and adolescent psychiatric nursing : official publication of the Association of Child and Adolescent Psychiatric Nurses, Inc 0.255 0.049 Journal of Child and Adolescent Psychopharmacology 0.585 0.255 Journal of Child and Adolescent Substance Abuse 0.209 0.052 Journal of Child and Family Studies 0.444 0.049 Journal of Child Custody 0.065 0.035 Journal of child health care : for professionals working with children in the hospital and community 0.322 0.070 Journal of Child Language 0.512 0.062 Journal of Child Neurology 0.436 0.157 Journal of Child Psychology and Psychiatry and Allied Disciplines 1.609 0.379 Journal of Child Psychotherapy 0.056 0.036 Journal of Child Sexual Abuse 0.335 0.051 Journal of Children's Orthopaedics 0.077 0.047 Journal of China Pharmaceutical University 0.096 0.043 Journal of China Universities of Posts and Telecommunications 0.107 0.037 Journal of China University of Geosciences 0.254 0.042 Journal of China University of Mining and Technology 0.744 0.050 Journal of Chinese Linguistics 0.309 0.035 Journal of Chinese Medicine 0.018 0.035 Journal of Chinese Philosophy 0.160 0.034 Journal of Chiropractic Medicine 0.120 0.039 Journal of Christian nursing : a quarterly publication of Nurses Christian Fellowship 0.023 0.034 Journal of Chromatographic Science 0.231 0.098 Journal of Chromatography A 0.968 0.330 Journal of Chromatography B: Analytical Technologies in the Biomedical and Life Sciences 0.734 0.250 Journal of Chronic Fatigue Syndrome 0.106 0.048 Journal of Church and State 0.185 0.034 Journal of Circadian Rhythms 0.280 0.097 Journal of Circuits, Systems and Computers 0.165 0.040 Journal of Civil Engineering and Management 0.483 0.051 Journal of Classical Sociology 0.259 0.036 Journal of Classification 1.257 0.083 Journal of Cleaner Production 1.017 0.075 Journal of Climate 1.436 0.533 Journal of Clinical and Basic Cardiology 0.044 0.038 Journal of clinical and experimental hematopathology : JCEH 0.299 0.186 Journal of Clinical and Experimental Neuropsychology 0.598 0.133 Journal of Clinical Anesthesia 0.421 0.081 Journal of Clinical Apheresis 0.544 0.207 Journal of Clinical Biochemistry and Nutrition 0.266 0.094 Journal of Clinical Child and Adolescent Psychology 1.128 0.135 Journal of Clinical Densitometry 0.788 0.191 Journal of Clinical Dentistry 0.290 0.081 Journal of Clinical Dermatology 0.004 0.034 Journal of Clinical Endocrinology and Metabolism 1.489 0.757 Journal of clinical engineering 0.356 0.041 Journal of Clinical Epidemiology 1.171 0.299 Journal of Clinical Gastroenterology 0.611 0.253 Journal of Clinical Hypertension 0.485 0.177 Journal of Clinical Immunology 0.616 0.467 Journal of Clinical Laboratory Analysis 0.251 0.099 Journal of Clinical Ligand Assay 0.086 0.052 Journal of Clinical Lipidology 0.226 0.172 Journal of Clinical Microbiology 1.214 0.490 Journal of Clinical Monitoring and Computing 0.302 0.073 Journal of Clinical Neuromuscular Disease 0.133 0.057 Journal of Clinical Neurophysiology 0.508 0.129 Journal of Clinical Neuroscience 0.400 0.114 Journal of Clinical Nursing 0.588 0.075 Journal of Clinical Oncology 2.610 1.736 Journal of clinical orthodontics : JCO 0.116 0.037 Journal of Clinical Outcomes Management 0.044 0.043 Journal of Clinical Pathology - Clinical Molecular Pathology 0.738 0.336 Journal of Clinical Pediatric Dentistry 0.184 0.050 Journal of Clinical Periodontology 1.031 0.193 Journal of Clinical Pharmacology 0.702 0.291 Journal of Clinical Pharmacy and Therapeutics 0.514 0.160 Journal of Clinical Psychiatry 1.043 0.298 Journal of Clinical Psychology 0.809 0.090 Journal of Clinical Psychology in Medical Settings 0.302 0.055 Journal of clinical psychopharmacology 0.853 0.285 Journal of Clinical Rehabilitative Tissue Engineering Research 0.036 0.035 Journal of Clinical Research 0.000 0.034 Journal of Clinical Rheumatology 0.292 0.115 Journal of clinical sleep medicine : JCSM : official publication of the American Academy of Sleep Medicine 0.646 0.245 Journal of Clinical Ultrasound 0.445 0.096 Journal of Clinical Virology 0.810 0.409 Journal of Cluster Science 0.338 0.089 Journal of Coal Science and Engineering 0.066 0.036 Journal of Coastal Conservation 0.051 0.037 Journal of Coastal Research 0.282 0.055 Journal of Coatings Technology Research 0.292 0.057 Journal of Cognition and Culture 0.264 0.060 Journal of Cognition and Development 0.869 0.176 Journal of Cognitive and Behavioral Psychotherapies 0.229 0.034 Journal of Cognitive Neuroscience 1.589 0.663 Journal of Cognitive Psychotherapy: An International Quarterly 0.348 0.056 Journal of Cold Regions Engineering 0.450 0.046 Journal of College Student Development 0.673 0.048 Journal of College Student Psychotherapy 0.054 0.035 Journal of College Student Retention: Research, Theory and Practice 0.159 0.036 Journal of Colloid and Interface Science 1.174 0.188 Journal of combinatorial chemistry 0.573 0.276 Journal of Combinatorial Designs 1.116 0.049 Journal of Combinatorial Optimization 0.786 0.056 Journal of Combinatorial Theory. Series A 1.200 0.059 Journal of Combinatorial Theory. Series B 1.726 0.074 Journal of Commercial Biotechnology 0.181 0.160 Journal of Common Market Studies 0.863 0.043 Journal of Commonwealth Law and Legal Education 0.034 Journal of Commonwealth Literature 0.343 #N/A Journal of Communicable Diseases 0.083 0.053 Journal of Communication 1.354 0.076 Journal of Communication Disorders 0.548 0.134 Journal of Communication Inquiry 0.418 0.036 Journal of Communications and Networks 0.198 0.039 Journal of Communications Technology and Electronics 0.047 0.037 Journal of Communist Studies and Transition Politics 0.281 0.036 Journal of Community and Applied Social Psychology 0.523 0.059 Journal of Community Health 0.619 0.150 Journal of Community Health Nursing 0.378 0.055 Journal of Community Nursing 0.029 0.035 Journal of Community Practice 0.155 0.035 Journal of Community Psychology 0.493 0.061 Journal of Comparative Economics 1.240 0.049 Journal of Comparative Family Studies 0.162 0.037 Journal of Comparative Germanic Linguistics 1.141 0.039 Journal of Comparative Neurology 0.924 0.653 Journal of Comparative Pathology 0.606 0.117 Journal of Comparative Physiology - A Sensory, Neural, and Behavioral Physiology 0.689 0.251 Journal of Comparative Physiology - B Biochemical, Systemic, and Environmental Physiology 0.728 0.137 Journal of Comparative Psychology 0.841 0.283 Journal of Competition Law and Economics 0.284 0.034 Journal of Complementary and Integrative Medicine 0.126 0.042 Journal of Complexity 0.665 0.050 Journal of Composite Materials 0.711 0.068 Journal of Composites for Construction 0.997 0.060 Journal of Computational Acoustics 0.537 0.045 Journal of Computational Analysis and Applications 0.242 0.051 Journal of Computational and Applied Mathematics 0.864 0.065 Journal of Computational and Graphical Statistics 1.150 0.114 Journal of Computational and Nonlinear Dynamics 0.501 0.053 Journal of Computational and Theoretical Nanoscience 0.286 0.079 Journal of Computational Biology 0.529 0.378 Journal of Computational Chemistry 1.013 0.304 Journal of Computational Electronics 0.210 0.056 Journal of Computational Information Systems 0.053 0.035 Journal of Computational Mathematics 0.825 0.060 Journal of Computational Methods in Sciences and Engineering 0.034 Journal of Computational Neuroscience 0.570 0.247 Journal of Computational Physics 1.567 0.133 Journal of Computer and System Sciences 0.694 0.061 Journal of Computer and Systems Sciences International 0.113 0.035 Journal of Computer Assisted Learning 0.941 0.051 Journal of Computer Assisted Tomography 0.556 0.155 Journal of Computer Information Systems 0.440 0.047 Journal of Computer Science 0.162 0.034 Journal of Computer Science and Technology 0.639 0.050 Journal of Computer Security 1.132 0.074 Journal of Computer-Aided Materials Design 0.448 0.106 Journal of Computer-Aided Molecular Design 0.738 0.314 Journal of Computer-Mediated Communication 1.468 0.071 Journal of Computing and Information Science in Engineering 0.418 0.045 Journal of Computing in Civil Engineering 0.796 0.048 Journal of Conchology 0.191 0.037 Journal of Conflict and Security Law 0.000 0.034 Journal of Conflict Archaeology 0.034 Journal of Conflict Resolution 0.859 0.063 Journal of Consciousness Studies 0.199 0.043 Journal of Construction Engineering and Management 0.845 0.049 Journal of Constructional Steel Research 1.462 0.057 Journal of Constructivist Psychology 0.422 0.046 Journal of Consulting and Clinical Psychology 2.043 0.255 Journal of Consumer Affairs 0.993 0.049 Journal of Consumer Culture 0.866 0.040 Journal of Consumer Health on the Internet 0.052 0.036 Journal of Consumer Marketing 0.446 0.040 Journal of Consumer Policy 0.219 0.037 Journal of Consumer Psychology 1.162 0.116 Journal of Consumer Research 1.113 0.120 Journal of Contaminant Hydrology 0.987 0.102 Journal of Contemporary African Studies 0.332 0.036 Journal of Contemporary Asia 0.386 0.036 Journal of Contemporary China 0.477 0.036 Journal of Contemporary Criminal Justice 0.395 0.041 Journal of Contemporary Dental Practice 0.396 0.061 Journal of Contemporary Ethnography 0.840 0.044 Journal of Contemporary European Studies 0.165 0.034 Journal of Contemporary History 0.340 0.034 Journal of Contemporary Psychotherapy 0.358 0.047 Journal of Contemporary Religion 0.127 0.035 Journal of Contingencies and Crisis Management 0.879 0.046 Journal of continuing education in nursing 0.405 0.053 Journal of Control Science and Engineering 0.231 0.039 Journal of Control Theory and Applications 0.141 0.039 Journal of Controlled Release 1.337 0.503 Journal of Convention and Event Tourism 0.058 0.035 Journal of Convex Analysis 0.647 0.044 Journal of Coordination Chemistry 0.242 0.056 Journal of Corporate Finance 1.200 0.054 Journal of Correctional Health Care 0.034 Journal of Cosmetic and Laser Therapy 0.653 0.113 Journal of cosmetic dermatology 0.316 0.090 Journal of Cosmetic Science 0.186 0.053 Journal of Cosmology and Astroparticle Physics 0.528 0.081 Journal of Cotton Science 0.200 0.044 Journal of Counseling and Development 0.786 0.047 Journal of Counseling Psychology 1.493 0.097 Journal of Couple and Relationship Therapy 0.032 0.037 Journal of Craniofacial Surgery 0.492 0.067 Journal of Cranio-Maxillo-Facial Surgery 0.723 0.092 Journal of Creative Behavior 0.226 0.039 Journal of Creative Communications 0.034 Journal of Creativity in Mental Health 0.068 0.035 Journal of Criminal Justice 0.621 0.053 Journal of Criminal Justice and Popular Culture 0.135 0.035 Journal of Criminal Justice Education 0.403 0.035 Journal of Criminal Law and Criminology 0.224 0.039 Journal of Critical Care 0.546 0.212 Journal of Crohn's and Colitis 0.185 0.082 Journal of Crop Improvement 0.091 0.039 Journal of Cross-Cultural Gerontology 0.407 0.052 Journal of Cross-Cultural Psychology 1.140 0.070 Journal of Crustacean Biology 0.606 0.062 Journal of Cryptology 1.408 0.121 Journal of Crystal Growth 0.934 0.139 Journal of Culinary Science and Technology 0.263 0.036 Journal of cultural diversity 0.253 0.046 Journal of Cultural Economics 0.504 0.038 Journal of Cultural Geography 0.152 0.035 Journal of Cultural Heritage 0.637 0.067 Journal of Curriculum Studies 0.721 0.042 Journal of Cutaneous Medicine and Surgery 0.228 0.112 Journal of Cutaneous Pathology 0.513 0.160 Journal of Cystic Fibrosis 0.473 0.217 Journal of Cytology 0.059 0.035 Journal of Dairy Research 0.641 0.104 Journal of Dairy Science 1.222 0.124 Journal of Database Management 0.489 0.048 Journal of deaf studies and deaf education 0.605 0.083 Journal of Dementia Care 0.060 0.037 Journal of Democracy 1.043 0.044 Journal of dental education 0.456 0.060 Journal of dental hygiene : JDH / American Dental Hygienists' Association 0.202 0.049 Journal of Dental Research 1.118 0.259 Journal of Dentistry 1.057 0.114 Journal of Dentistry for Children 0.175 0.046 Journal of Derivatives 0.034 Journal of Dermatological Science 0.698 0.369 Journal of Dermatological Treatment 0.344 0.099 Journal of Dermatology 0.377 0.096 Journal of Design History 0.215 0.034 Journal of Developing Societies 0.077 0.036 Journal of Development Economics 1.944 0.066 Journal of Development Studies 0.586 0.046 Journal of Developmental and Behavioral Pediatrics 0.744 0.184 Journal of Developmental and Physical Disabilities 0.409 0.056 Journal of Developmental Entrepreneurship 0.198 0.034 Journal of Dharma 0.034 Journal of Diabetes and its Complications 0.507 0.216 Journal of Diabetes Nursing 0.013 0.036 Journal of Diagnostic Medical Sonography 0.077 0.038 Journal of Dietary Supplements 0.034 Journal of Difference Equations and Applications 0.443 0.062 Journal of Differential Equations 1.480 0.066 Journal of Differential Geometry 1.332 0.052 Journal of digestive diseases 0.382 0.174 Journal of Digital Forensic Practice 0.374 0.034 Journal of Digital Imaging 0.709 0.101 Journal of Digital Information 0.193 0.035 Journal of Digital Information Management 0.099 0.034 Journal of Disability Policy Studies 0.504 0.074 Journal of Discrete Algorithms 0.449 0.066 Journal of Dispersion Science and Technology 0.290 0.065 Journal of Diversity in Higher Education 0.339 0.037 Journal of divorce & remarriage 0.148 0.036 Journal of Documentation 0.291 0.051 Journal of Dong Hua University (English Edition) 0.131 0.035 Journal of Drug Delivery Science and Technology 0.132 0.055 Journal of Drug Education 0.314 0.068 Journal of Drug Issues 0.463 0.059 Journal of Drug Targeting 0.514 0.291 Journal of Dual Diagnosis 0.094 0.047 Journal of Dynamic Systems, Measurement and Control, Transactions of the ASME 0.727 0.057 Journal of Dynamical and Control Systems 0.613 0.045 Journal of Dynamics and Differential Equations 0.748 0.056 Journal of Early Adolescence 0.858 0.068 Journal of Early Childhood Literacy 0.151 #N/A Journal of Early Childhood Research 0.353 0.037 Journal of Early Childhood Teacher Education 0.120 0.035 Journal of Early Christian Studies 0.203 0.034 Journal of Early Intervention 0.402 0.039 Journal of Early Modern History 0.296 0.034 Journal of Earthquake and Tsunami 0.593 0.036 Journal of Earthquake Engineering 0.550 0.048 Journal of East Asian Linguistics 0.338 0.036 Journal of East Asian Studies 0.751 #N/A Journal of East-West Business 0.137 0.034 Journal of Ecclesiastical History 0.184 0.034 Journal of Ecology 1.757 0.292 Journal of Ecology and Field Biology 0.034 0.036 Journal of Ecology and Rural Environment 0.111 0.035 Journal of Econometrics 1.880 0.096 Journal of Economic and Social Measurement 0.096 0.035 Journal of Economic Behavior and Organization 0.786 0.070 Journal of Economic Cooperation Among Islamic Countries 0.034 Journal of Economic Dynamics and Control 1.025 0.075 Journal of Economic Education 0.369 0.039 Journal of Economic Entomology 0.662 0.090 Journal of Economic Geography 1.865 0.064 Journal of Economic Growth 1.864 0.068 Journal of Economic History 0.352 0.039 Journal of Economic Inequality 0.825 0.052 Journal of Economic Interaction and Coordination 0.321 0.049 Journal of Economic Issues 0.352 0.040 Journal of Economic Literature 4.093 0.123 Journal of Economic Methodology 0.562 0.041 Journal of Economic Perspectives 2.961 0.125 Journal of Economic Policy Reform 0.140 0.035 Journal of Economic Psychology 0.908 0.060 Journal of Economic Studies 0.287 0.049 Journal of Economic Surveys 1.196 0.056 Journal of Economic Theory 1.529 0.060 Journal of Economic Theory and Econometrics 0.034 Journal of Economics and Business 0.502 0.061 Journal of Economics and Management Strategy 1.161 0.053 Journal of Economics/ Zeitschrift fur Nationalokonomie 0.500 0.038 Journal of Ecophysiology and Occupational Health 0.013 0.034 Journal of Ecotourism 0.430 0.040 Journal of ECT 0.351 0.096 Journal of Education and Human Development 0.034 Journal of Education and Work 0.438 0.045 Journal of Education Finance 0.338 0.036 Journal of Education for Teaching 0.275 0.037 Journal of Education Policy 0.858 0.039 Journal of Educational Administration 0.257 0.038 Journal of Educational and Behavioral Statistics 1.230 0.095 Journal of Educational and Psychological Consultation 0.326 0.037 Journal of Educational Change 0.316 0.037 Journal of Educational Computing Research 0.623 0.089 Journal of Educational Enquiry 0.000 0.034 Journal of Educational Measurement 0.595 0.046 Journal of Educational Media and Library Science 0.000 0.034 Journal of Educational Psychology 2.294 0.098 Journal of Educational Research 0.815 0.048 Journal of Educational Thought 0.040 0.034 Journal of Egyptian Archaeology 0.034 Journal of Egyptian History 0.034 Journal of Elasticity 1.103 0.080 Journal of Elastomers and Plastics 0.424 0.051 Journal of Elder Abuse and Neglect 0.197 0.047 Journal of Electrical Engineering 0.109 0.038 Journal of Electroanalytical Chemistry 0.859 0.193 Journal of Electrocardiology 0.331 0.117 Journal of Electroceramics 0.383 0.088 Journal of Electromagnetic Waves and Applications 0.633 0.174 Journal of Electromyography and Kinesiology 1.202 0.116 Journal of Electron Microscopy 0.381 0.146 Journal of Electron Spectroscopy and Related Phenomena 0.553 0.106 Journal of Electronic Commerce in Organizations 0.497 0.045 Journal of Electronic Imaging 0.504 0.053 Journal of Electronic Materials 0.687 0.103 Journal of Electronic Packaging, Transactions of the ASME 0.439 0.063 Journal of Electronic Publishing 0.161 0.037 Journal of Electronic Resources in Medical Libraries 0.110 0.038 Journal of Electronic Resources Librarianship 0.034 Journal of Electronic Testing: Theory and Applications (JETTA) 0.480 0.045 Journal of Electronics 0.097 0.035 Journal of Electrostatics 1.015 0.103 Journal of Emergency Medicine 0.404 0.093 Journal of Emergency Medicine, Trauma and Acute Care 0.012 0.034 Journal of emergency nursing: JEN : official publication of the Emergency Department Nurses Association 0.151 0.053 Journal of Emergency Primary Health Care 0.094 0.036 Journal of Emotional Abuse 0.160 0.038 Journal of Emotional and Behavioral Disorders 1.013 0.066 Journal of Empirical Finance 1.114 0.074 Journal of Empirical Generalisations in Marketing Science 0.316 0.036 Journal of Employment Counseling 0.265 0.039 Journal of Endocrinological Investigation 0.353 0.146 Journal of Endocrinology 0.758 0.375 Journal of Endocrinology, Metabolism and Diabetes of South Africa 0.034 Journal of Endodontics 1.128 0.119 Journal of Endourology 0.547 0.202 Journal of Endovascular Therapy 0.930 0.307 Journal of Energetic Materials 0.166 0.048 Journal of Energy Engineering - ASCE 0.330 0.048 Journal of Energy Resources Technology, Transactions of the ASME 0.377 0.050 Journal of Engineering and Applied Science 0.000 0.034 Journal of Engineering and Technology Management - JET-M 0.944 0.047 Journal of Engineering Design 0.329 0.046 Journal of Engineering Education 1.361 0.060 Journal of Engineering for Gas Turbines and Power 0.447 0.050 Journal of Engineering Materials and Technology, Transactions of the ASME 0.571 0.089 Journal of Engineering Mathematics 0.504 0.058 Journal of Engineering Mechanics 0.764 0.063 Journal of Engineering Physics and Thermophysics 0.076 0.036 Journal of Engineering Thermophysics 0.320 0.044 Journal of Engineering, Computing and Architecture 0.647 0.037 Journal of English and Germanic Philology 0.381 0.034 Journal of English for Academic Purposes 0.705 0.073 Journal of English Linguistics 0.611 #N/A Journal of Enhanced Heat Transfer 0.373 0.050 Journal of Enterprise Information Management 0.502 0.045 Journal of Entomological Science 0.205 0.046 Journal of Entomology 0.024 0.035 Journal of Environment and Development 0.376 0.050 Journal of Environmental and Engineering Geophysics 0.282 0.052 Journal of Environmental Assessment Policy and Management 0.128 0.038 Journal of Environmental Biology 0.645 0.047 Journal of Environmental Economics and Management 1.746 0.075 Journal of Environmental Education 0.245 0.036 Journal of Environmental Engineering 0.515 0.069 Journal of Environmental Engineering and Landscape Management 0.084 0.037 Journal of Environmental Engineering and Science 0.313 0.057 Journal of Environmental Health 0.118 0.051 Journal of Environmental Hydrology 0.102 0.035 Journal of Environmental Informatics 0.294 0.040 Journal of Environmental Law 0.362 0.040 Journal of Environmental Law and Litigation 0.086 0.035 Journal of Environmental Management 1.023 0.089 Journal of environmental monitoring : JEM 0.562 0.157 Journal of Environmental Pathology, Toxicology and Oncology 0.556 0.139 Journal of Environmental Planning and Management 0.473 0.053 Journal of Environmental Policy and Planning 0.374 0.043 Journal of Environmental Protection and Ecology 0.051 0.035 Journal of Environmental Psychology 1.374 0.078 Journal of Environmental Quality 1.086 0.116 Journal of Environmental Radioactivity 0.822 0.080 Journal of environmental science & engineering. 0.134 0.039 Journal of Environmental Science (China) 0.483 0.071 Journal of Environmental Science and Health - Part A Toxic/Hazardous Substances and Environmental Engineering 0.360 0.079 Journal of Environmental Science and Health - Part B Pesticides, Food Contaminants, and Agricultural Wastes 0.299 0.071 Journal of Environmental Science and Health - Part C Environmental Carcinogenesis and Ecotoxicology Reviews 0.813 0.221 Journal of Environmental Studies 0.053 0.034 Journal of Enzyme Inhibition and Medicinal Chemistry 0.336 0.090 Journal of Epidemiology 0.615 0.266 Journal of epidemiology and community health 1.196 0.243 Journal of Epilepsy and Clinical Neurophysiology 0.085 0.041 Journal of Equine Science 0.063 0.037 Journal of Equine Veterinary Science 0.284 0.046 Journal of Essential Oil Research 0.311 0.046 Journal of Essential Oil-Bearing Plants 0.121 0.038 Journal of esthetic and restorative dentistry : official publication of the American Academy of Esthetic Dentistry ... [et al.] 0.446 0.057 Journal of Ethnic and Cultural Diversity in Social Work 0.046 0.038 Journal of Ethnic and Migration Studies 0.604 0.043 Journal of Ethnicity in Criminal Justice 0.151 0.034 Journal of Ethnicity in Substance Abuse 0.213 0.048 Journal of Ethnobiology and Ethnomedicine 0.747 0.083 Journal of Ethnopharmacology 0.919 0.126 Journal of Ethology 0.510 0.095 Journal of Eukaryotic Microbiology 0.441 0.168 Journal of Euromarketing 0.072 0.034 Journal of European Industrial Training 0.257 0.038 Journal of European Public Policy 0.834 0.045 Journal of European Social Policy 1.030 0.048 Journal of European Studies 0.113 0.034 Journal of evaluation in clinical practice 0.595 0.121 Journal of Evidence-Based Dental Practice 0.072 0.045 Journal of Evidence-Based Social Work 0.127 0.035 Journal of Evolution Equations 0.695 0.051 Journal of Evolutionary Biochemistry and Physiology 0.007 0.034 Journal of Evolutionary Biology 1.052 0.403 Journal of Evolutionary Economics 0.806 0.060 Journal of Evolutionary Psychology 0.183 0.065 Journal of Exercise Physiology Online 0.051 0.037 Journal of Exercise Science and Fitness 0.099 0.045 Journal of Exotic Pet Medicine 0.105 0.037 Journal of Experimental Algorithmics 0.074 0.050 Journal of Experimental and Clinical Assisted Reproduction 0.529 0.138 Journal of Experimental and Clinical Cancer Research 0.314 0.181 Journal of Experimental and Theoretical Artificial Intelligence 0.340 0.040 Journal of Experimental and Theoretical Physics 0.213 0.054 Journal of Experimental Animal Science 0.273 0.070 Journal of Experimental Biology 1.015 0.304 Journal of Experimental Botany 1.183 0.540 Journal of Experimental Child Psychology 1.066 0.126 Journal of Experimental Criminology 0.568 0.087 Journal of Experimental Education 0.758 0.058 Journal of Experimental Marine Biology and Ecology 0.840 0.112 Journal of Experimental Medicine 1.982 4.466 Journal of Experimental Nanoscience 0.129 0.058 Journal of Experimental Psychology: Animal Behavior Processes 1.434 0.364 Journal of Experimental Psychology: Applied 0.913 0.095 Journal of Experimental Psychology: General 1.961 0.377 Journal of Experimental Psychology: Human Perception and Performance 1.283 0.244 Journal of Experimental Psychology: Learning Memory and Cognition 1.217 0.185 Journal of Experimental Social Psychology 1.153 0.152 Journal of experimental therapeutics & oncology 0.261 0.163 Journal of Experimental Zoology Part A: Ecological Genetics and Physiology 0.562 0.154 Journal of Experimental Zoology Part B: Molecular and Developmental Evolution 0.677 0.636 Journal of Exposure Science and Environmental Epidemiology 0.857 0.219 Journal of Extension 0.063 0.034 Journal of Extra-Corporeal Technology 0.099 0.056 Journal of Failure Analysis and Prevention 0.104 0.036 Journal of Family and Economic Issues 0.839 0.044 Journal of Family History 0.083 0.034 Journal of Family Issues 0.870 0.053 Journal of Family Nursing 0.496 0.056 Journal of Family Planning and Reproductive Health Care 0.297 0.069 Journal of Family Psychology 1.022 0.101 Journal of Family Psychotherapy 0.276 0.038 Journal of Family Social Work 0.142 0.034 Journal of Family Studies 0.015 0.040 Journal of Family Therapy 0.361 0.042 Journal of Family Violence 0.375 0.055 Journal of Fashion Marketing and Management 0.335 0.037 Journal of feline medicine and surgery 0.497 0.070 Journal of feminist family therapy 0.061 0.035 Journal of Feminist Studies in Religion 0.352 0.036 Journal of Field Archaeology 0.195 0.038 Journal of Field Ornithology 0.488 0.058 Journal of Field Robotics 0.970 0.075 Journal of Film and Video 0.137 0.034 Journal of Finance 4.317 0.119 Journal of Financial and Quantitative Analysis 1.564 0.065 Journal of Financial Econometrics 1.561 0.086 Journal of Financial Economics 3.382 0.103 Journal of Financial Intermediation 1.391 0.052 Journal of Financial Markets 1.531 0.060 Journal of Financial Research 0.566 0.042 Journal of Financial Services Research 0.444 0.038 Journal of Financial Stability 0.428 0.041 Journal of Fire Protection Engineering 0.729 0.045 Journal of Fire Sciences 0.639 0.059 Journal of Fish Biology 0.541 0.082 Journal of Fish Diseases 0.767 0.108 Journal of Fixed Income 0.158 0.036 Journal of Fixed Point Theory and Applications 0.363 0.039 Journal of Flow Visualization and Image Processing 0.257 0.039 Journal of Fluency Disorders 0.911 0.082 Journal of Fluid Mechanics 1.305 0.134 Journal of Fluids and Structures 1.461 0.068 Journal of Fluids Engineering, Transactions of the ASME 0.457 0.053 Journal of Fluorescence 0.494 0.151 Journal of Fluorine Chemistry 0.478 0.135 Journal of Folklore Research 0.097 0.034 Journal of Food and Drug Analysis 0.122 0.057 Journal of Food and Nutrition Research 0.181 0.053 Journal of Food Biochemistry 0.539 0.060 Journal of Food Composition and Analysis 0.993 0.126 Journal of Food Engineering 1.331 0.110 Journal of Food Lipids 0.513 0.074 Journal of Food Process Engineering 0.366 0.058 Journal of Food Processing and Preservation 0.330 0.049 Journal of Food Products Marketing 0.037 0.034 Journal of Food Protection 0.741 0.124 Journal of Food Quality 0.343 0.053 Journal of Food Safety 0.252 0.056 Journal of Food Science 0.735 0.091 Journal of Food Science and Technology 0.210 0.040 Journal of Food Science Education 0.508 0.049 Journal of Food, Agriculture and Environment 0.135 0.041 Journal of Foodservice Business Research 0.084 0.035 Journal of Foot and Ankle Surgery 0.721 0.070 Journal of Foraminiferal Research 0.418 0.049 Journal of Forecasting 0.621 0.042 Journal of Forensic and Legal Medicine 0.478 0.081 Journal of Forensic Identification 0.276 0.047 Journal of Forensic Medicine and Toxicology 0.000 0.034 Journal of Forensic Neuropsychology 0.487 0.050 Journal of forensic nursing 0.126 0.036 Journal of Forensic Odonto-Stomatology 0.209 0.042 Journal of Forensic Psychiatry and Psychology 0.156 0.040 Journal of Forensic Psychology Practice 0.091 0.037 Journal of Forensic Sciences 0.428 0.139 Journal of Forest Economics 0.839 0.048 Journal of Forest Research 0.393 0.047 Journal of Forest Science 0.258 0.041 Journal of Forestry 0.679 0.072 Journal of Forestry Research 0.077 0.035 Journal of Fourier Analysis and Applications 0.956 0.075 Journal of French Language Studies 0.313 0.035 Journal of Freshwater Ecology 0.281 0.044 Journal of Fuel Cell Science and Technology 0.208 0.088 Journal of Fuel Chemistry and Technology 0.452 0.050 Journal of Functional Analysis 1.189 0.058 Journal of Functional Materials and Devices 0.092 0.037 Journal of Functional Programming 0.762 0.053 Journal of Fusion Energy 0.554 0.062 Journal of Futures Markets 0.719 0.052 Journal of Futures Studies 0.194 0.034 Journal of Gambling Studies 0.611 0.083 Journal of Gang Research 0.263 0.034 Journal of Gastroenterology 0.802 0.334 Journal of Gastroenterology and Hepatology 0.480 0.208 Journal of Gastrointestinal and Liver Diseases 0.287 0.131 Journal of Gastrointestinal Cancer 0.012 0.136 Journal of Gastrointestinal Surgery 0.674 0.274 Journal of Gay and Lesbian Psychotherapy 0.280 0.047 Journal of Gay and Lesbian Social Services 0.122 0.037 Journal of Gender Studies 0.179 0.036 Journal of General and Applied Microbiology 0.317 0.078 Journal of General Internal Medicine 0.947 0.316 Journal of General Management 0.183 0.035 Journal of General Physiology 0.912 1.114 Journal of General Plant Pathology 0.289 0.070 Journal of General Psychology 0.459 0.073 Journal of General Virology 0.835 0.477 Journal of Generic Medicines 0.326 0.037 Journal of Genetic Counseling 0.520 0.133 Journal of Genetic Psychology 0.233 0.045 Journal of Genetics 0.156 0.124 Journal of Genetics and Genomics 0.254 0.092 Journal of Geochemical Exploration 0.589 0.065 Journal of Geodesy 1.287 0.077 Journal of Geodynamics 0.633 0.065 Journal of Geographical Sciences 0.201 0.046 Journal of Geographical Systems 1.172 0.067 Journal of Geography 0.056 0.037 Journal of Geography in Higher Education 0.475 0.041 Journal of Geology 0.702 0.149 Journal of Geomatics 0.025 0.034 Journal of Geometric Analysis 0.746 0.047 Journal of Geometry 0.394 0.037 Journal of Geometry and Physics 0.407 0.048 Journal of Geophysical Research B: Solid Earth 0.741 0.177 Journal of Geophysics and Engineering 0.292 0.045 Journal of Geoscience Education 0.024 0.034 Journal of Geosciences 0.193 0.042 Journal of Geosciences 0.178 0.035 Journal of Geotechnical and Geoenvironmental Engineering 1.371 0.059 Journal of Geriatric Cardiology 0.039 0.038 Journal of geriatric physical therapy (2001) 0.204 0.057 Journal of Geriatric Psychiatry and Neurology 0.579 0.191 Journal of gerontological nursing 0.444 0.062 Journal of Gerontological Social Work 0.206 0.043 Journal of Glaciology 0.537 0.127 Journal of Glass Studies 0.000 0.034 Journal of glaucoma 0.749 0.175 Journal of GLBT Family Studies 0.295 0.038 Journal of Global Environment Engineering 0.284 0.035 Journal of Global History 0.179 0.036 Journal of Global Information Management 0.845 0.047 Journal of Global Marketing 0.111 0.035 Journal of Global Optimization 0.902 0.059 Journal of Graph Algorithms and Applications 0.490 0.054 Journal of Graph Theory 1.446 0.062 Journal of gravitational physiology : a journal of the International Society for Gravitational Physiology 0.109 0.047 Journal of Great Lakes Research 0.600 0.067 Journal of Grid Computing 0.755 0.063 Journal of Group Theory 0.709 0.046 Journal of Groups in Addiction and Recovery 0.033 0.036 Journal of Guidance, Control, and Dynamics 0.989 0.054 Journal of Gynecologic Oncology Nursing 0.034 Journal of Gynecologic Surgery 0.017 0.042 Journal of Hand Surgery 0.943 0.089 Journal of Hand Therapy 0.238 0.051 Journal of Happiness Studies 0.962 0.057 Journal of Harbin Institute of Technology (New Series) 0.035 0.035 Journal of Hazardous Materials 1.448 0.169 Journal of Head Trauma Rehabilitation 0.903 0.165 Journal of Headache and Pain 0.319 0.137 Journal of health & life sciences law 0.048 0.043 Journal of Health and Human Services Administration 0.140 0.042 Journal of Health and Social Behavior 0.766 0.135 Journal of health care chaplaincy 0.034 Journal of Health Care Finance 0.102 0.048 Journal of health care for the poor and underserved 0.284 0.085 Journal of Health Communication 0.798 0.140 Journal of Health Economics 1.238 0.127 Journal of health organization and management 0.351 0.052 Journal of health politics, policy and law 0.675 0.064 Journal of Health Population and Nutrition 0.474 0.120 Journal of Health Psychology 0.757 0.101 Journal of Health Science 0.256 0.065 Journal of health services research & policy 0.553 0.106 Journal of healthcare information management : JHIM 0.129 0.052 Journal of Healthcare Management 0.363 0.106 Journal of healthcare protection management : publication of the International Association for Hospital Security 0.014 0.036 Journal of Heart and Lung Transplantation 0.908 0.428 Journal of Heart Valve Disease 0.401 0.159 Journal of Heat Transfer 0.858 0.079 Journal of Hellenic Studies 0.034 Journal of Helminthology 0.342 0.074 Journal of Hepato-Biliary-Pancreatic Surgery 0.622 0.173 Journal of Hepatology 1.248 0.684 Journal of Herbal Pharmacotherapy 0.114 0.054 Journal of Herbs, Spices and Medicinal Plants 0.041 0.038 Journal of Heredity 0.622 0.255 Journal of Herpetology 0.385 0.060 Journal of Heterocyclic Chemistry 0.184 0.067 Journal of Heuristics 0.757 0.054 Journal of High Energy Physics 0.677 0.076 Journal of High Speed Networks 0.389 0.043 Journal of High Technology Management Research 0.231 0.036 Journal of Higher Education 1.538 0.052 Journal of Higher Education Policy and Management 0.333 0.038 Journal of Histochemistry and Cytochemistry 0.729 0.441 Journal of Historical Geography 0.094 0.042 Journal of Historical Pragmatics 0.475 #N/A Journal of Historical Sociology 0.418 0.036 Journal of Histotechnology 0.108 0.045 Journal of HIV therapy 0.097 0.077 Journal of HIV/AIDS and Social Services 0.108 0.047 Journal of HIV/AIDS Prevention in Children and Youth 0.334 0.045 Journal of holistic nursing : official journal of the American Holistic Nurses' Association 0.231 0.045 Journal of Homeland Security and Emergency Management 0.202 0.048 Journal of Homosexuality 0.451 0.053 Journal of Horticultural Science and Biotechnology 0.358 0.056 Journal of Hospice and Palliative Nursing 0.326 0.056 Journal of Hospital Infection 0.928 0.291 Journal of Hospital Librarianship 0.091 0.043 Journal of hospital marketing & public relations 0.000 0.034 Journal of Hospital Medicine 0.207 0.158 Journal of hospital medicine (Online) 0.374 0.217 Journal of Hospitality and Leisure Marketing 0.206 0.038 Journal of Hospitality, Leisure, Sports and Tourism Education 0.166 0.035 Journal of Housing and the Built Environment 0.339 0.037 Journal of Housing Economics 0.562 0.081 Journal of Housing for the Elderly 0.158 0.041 Journal Of Human Behavior In The Social Environment 0.100 0.037 Journal of human ergology 0.000 0.034 Journal of Human Evolution 0.849 0.324 Journal of Human Genetics 0.520 0.398 Journal of Human Hypertension 0.578 0.197 Journal of Human Kinetics 0.042 0.042 Journal of Human Lactation 0.461 0.087 Journal of Human Movement Studies 0.081 0.036 Journal of Human Nutrition and Dietetics 0.499 0.114 Journal of Human Resources 1.535 0.084 Journal of Human Resources in Hospitality and Tourism 0.153 0.034 Journal of Human Values 0.038 0.037 Journal of Humanistic Psychology 0.117 0.036 Journal of Hydraulic Engineering 0.902 0.072 Journal of Hydraulic Research 0.662 0.050 Journal of Hydrodynamics 0.552 0.048 Journal of Hydro-Environment Research 0.354 0.038 Journal of Hydroinformatics 0.311 0.048 Journal of Hydrologic Engineering 0.601 0.056 Journal of Hydrology 1.107 0.095 Journal of Hydrology New Zealand 0.105 0.043 Journal of Hydrometeorology 0.935 0.174 Journal of Hymenoptera Research 0.159 0.046 Journal of Hyperbolic Differential Equations 0.330 0.049 Journal of Hypertension 1.152 0.440 Journal of Hypertension, Supplement 0.273 0.176 Journal of Iberian Geology 0.310 0.044 Journal of Ichthyology 0.057 0.035 Journal of Imaging Science and Technology 0.253 0.047 Journal of Immigrant and Minority Health 0.520 0.082 Journal of Immigrant and Refugee Studies 0.128 0.035 Journal of Immune Based Therapies and Vaccines 0.214 0.105 Journal of Immunoassay and Immunochemistry 0.189 0.075 Journal of Immunological Methods 0.637 0.406 Journal of Immunology 0.894 1.264 Journal of Immunotherapy 0.564 0.555 Journal of Immunotoxicology 0.128 0.107 Journal of Imperial and Commonwealth History 0.132 0.034 Journal of Inclusion Phenomena 0.390 0.095 Journal of Income Distribution 0.059 0.035 Journal of Indian Association for Child and Adolescent Mental Health 0.132 0.038 Journal of Indian Association of Pediatric Surgeons 0.115 0.038 Journal of Indian Philosophy 0.328 0.043 Journal of Indian Prosthodontist Society 0.051 0.035 Journal of Individual Differences 0.379 0.049 Journal of Industrial and Engineering Chemistry 0.669 0.098 Journal of Industrial and Management Optimization 0.724 0.051 Journal of Industrial Ecology 1.032 0.082 Journal of Industrial Economics 0.956 0.047 Journal of Industrial Hemp 0.019 0.034 Journal of Industrial Microbiology and Biotechnology 0.543 0.151 Journal of Industrial Pollution Control 0.008 0.036 Journal of Industrial Relations 0.869 #N/A Journal of Industrial Technology 0.000 0.034 Journal of Industrial Textiles 0.317 0.043 Journal of Industry, Competition and Trade 0.135 0.035 Journal of Inequalities and Applications 0.215 0.049 Journal of Inequalities in Pure and Applied Mathematics 0.201 0.041 Journal of Infection and Chemotherapy 0.343 0.120 Journal of Infection and Public Health 0.127 0.038 Journal of Infection Preventions 0.047 Journal of Infectious Diseases 1.227 0.857 Journal of Inflammation 0.316 0.138 Journal of Information and Computational Science 0.044 0.035 Journal of Information Ethics 0.000 0.034 Journal of Information Science 0.724 0.070 Journal of Information Science and Engineering 0.228 0.038 Journal of Information Technology 0.573 0.049 Journal of Informetrics 0.840 0.113 Journal of Infrastructure Systems 0.758 0.049 Journal of infusion nursing : the official publication of the Infusion Nurses Society 0.158 0.053 Journal of Inherited Metabolic Disease 0.666 0.308 Journal of Inorganic and Organometallic Polymers and Materials 0.327 0.098 Journal of Inorganic Biochemistry 0.895 0.267 Journal of Insect Behavior 0.633 0.090 Journal of Insect Biotechnology and Sericology 0.326 0.060 Journal of Insect Conservation 0.700 0.073 Journal of Insect Physiology 0.886 0.215 Journal of Insect Science 0.285 0.072 Journal of In-Service Education 0.280 0.036 Journal of Institutional and Theoretical Economics 0.219 0.037 Journal of Instruction-Level Parallelism 0.906 0.063 Journal of Instrumentation 0.309 0.051 Journal of insurance medicine (New York, N.Y.) 0.024 0.038 Journal of Integer Sequences 0.377 0.040 Journal of Integrated Care Pathways 0.034 0.039 Journal of Integrated Circuits and Systems 0.061 0.046 Journal of Integrated Design and Process Science 0.062 0.034 Journal of integrative neuroscience 0.374 0.110 Journal of Integrative Plant Biology 0.369 0.141 Journal of Intellectual and Developmental Disability 0.466 0.051 Journal of Intellectual Capital 0.558 0.039 Journal of Intellectual Disabilities 0.263 0.047 Journal of Intellectual Disability Research 0.817 0.107 Journal of Intellectual Property 0.034 Journal of Intelligent and Fuzzy Systems 0.256 0.040 Journal of Intelligent and Robotic Systems: Theory and Applications 0.529 0.050 Journal of Intelligent Information Systems 0.569 0.046 Journal of Intelligent Manufacturing 0.646 0.049 Journal of Intelligent Material Systems and Structures 0.747 0.076 Journal of Intelligent Systems 0.194 0.044 Journal of Intelligent Transportation Systems: Technology, Planning, and Operations 0.236 0.044 Journal of Intensive Care Medicine 0.670 0.190 Journal of Interactive Learning Research 0.288 0.039 Journal of Interactive Marketing 0.879 0.048 Journal of Interactive Online Learning 0.154 0.037 Journal of Interconnection Networks 0.034 Journal of Intercultural Studies 0.147 0.035 Journal of Interdisciplinary Economics 0.075 0.034 Journal of Interdisciplinary History 0.066 0.036 Journal of Interferon and Cytokine Research 0.449 0.301 Journal of Intergenerational Relationships 0.206 0.035 Journal of Interlibrary Loan, Document Delivery and Electronic Reserve 0.232 0.039 Journal of Internal Medicine 1.237 0.700 Journal of Internal Medicine 0.034 Journal of Internal Medicine of Taiwan 0.000 0.034 Journal of International Accounting, Auditing and Taxation 0.472 0.040 Journal of International Advanced Otology 0.034 Journal of International Business Studies 1.834 0.062 Journal of International Consumer Marketing 0.143 0.035 Journal of International Criminal Justice 0.325 0.034 Journal of International Development 0.258 0.062 Journal of International Economic Law 0.263 0.039 Journal of International Economics 2.214 0.065 Journal of International Entrepreneurship 0.308 0.035 Journal of International Financial Management and Accounting 0.176 0.039 Journal of International Financial Markets, Institutions and Money 0.758 0.041 Journal of International Food and Agribusiness Marketing 0.391 0.037 Journal of International Management 0.646 0.044 Journal of International Marketing 0.819 0.042 Journal of International Medical Research 0.220 0.094 Journal of International Migration and Integration 0.116 #N/A Journal of International Money and Finance 1.289 0.050 Journal of International Relations and Development 0.343 0.039 Journal of International Trade and Economic Development 0.435 0.036 Journal of International Wildlife Law and Policy 0.201 0.043 Journal of International Women's Studies 0.012 0.034 Journal of Internet Cataloging 0.034 Journal of Internet Commerce 0.040 0.034 Journal of Internet Technology 0.035 0.034 Journal of Interpersonal Violence 0.840 0.096 Journal of Interprofessional Care 0.515 0.070 Journal of Interventional Cardiac Electrophysiology 0.225 0.157 Journal of Interventional Cardiology 0.536 0.207 Journal of Interventional Radiology 0.025 0.039 Journal of Invasive Cardiology 0.339 0.128 Journal of Inverse and Ill-Posed Problems 0.266 0.042 Journal of Invertebrate Pathology 0.660 0.125 Journal of Investigational Allergology and Clinical Immunology 0.404 0.119 Journal of Investigative Dermatology 0.962 0.704 Journal of Investigative Dermatology Symposium Proceedings 0.628 0.364 Journal of Investigative Medicine 0.285 0.133 Journal of Investigative Surgery 0.352 0.108 Journal of Iron and Steel Research 0.186 0.039 Journal of Iron and Steel Research International 0.138 0.044 Journal of Irrigation and Drainage Engineering - ASCE 0.848 0.062 Journal of Island and Coastal Archaeology 0.171 #N/A Journal of Japan Industrial Management Association 0.027 0.035 Journal of Japanese Botany 0.143 0.042 Journal of Japanese Dental Society of Anesthesiology 0.026 0.034 Journal of Japanese Ophthalmological Society 0.058 0.044 Journal of Japanese Studies 0.000 0.034 Journal of JASTRO 0.000 0.034 Journal of Jewish Studies 0.158 0.034 Journal of Jewish Thought and Philosophy 0.034 Journal of Jilin University Medicine Edition 0.008 0.034 Journal of Juristic Papyrology 0.034 Journal of Knot Theory and its Ramifications 0.552 0.041 Journal of Knowledge Management 0.417 0.041 Journal of Korean Academy of Nursing 0.039 Journal of Korean Institute of Metals and Materials 0.131 0.040 Journal of Korean Medical Science 0.285 0.099 Journal of Korean Neurosurgical Society 0.072 0.040 Journal of Labelled Compounds and Radiopharmaceuticals 0.170 0.070 Journal of Labor Economics 1.959 0.071 Journal of Labor Research 0.477 0.038 Journal of Land Use Science 0.184 0.047 Journal of Landscape Ecology 0.071 0.034 Journal of Language and Social Psychology 0.281 0.043 Journal of laparoendoscopic & advanced surgical techniques. Part A 0.319 0.102 Journal of Laryngology and Otology 0.397 0.077 Journal of Laser Applications 0.399 0.051 Journal of Latin American Geography 0.281 0.053 Journal of Latin American Studies 0.493 0.098 Journal of Law and Economics 1.294 0.050 Journal of law and medicine 0.054 0.040 Journal of Law and Society 0.387 0.059 Journal of Law, Economics, and Organization 1.109 0.048 Journal of Law, Medicine and Ethics 0.267 0.100 Journal of Leadership and Organizational Studies 0.214 0.036 Journal of learning disabilities 1.050 0.083 Journal of Legal Education 0.044 0.034 Journal of Legal Medicine 0.143 0.058 Journal of Legal Studies 0.458 0.040 Journal of Leisure Research 0.917 0.048 Journal of Lesbian Studies 0.045 0.035 Journal of Leukemia and Lymphoma 0.294 0.034 Journal of Leukocyte Biology 0.778 0.757 Journal of leukocyte biology. Supplement 0.035 Journal of LGBT Health Research 0.140 0.072 Journal of LGBT Issues in Counseling 0.077 0.036 Journal of LGBT Youth 0.186 0.037 Journal of Librarianship and Information Science 0.315 0.042 Journal of Library Administration 0.222 0.037 Journal of Library and Information Services in Distance Learning 0.593 0.038 Journal of Lie Theory 0.434 0.044 Journal of Light and Visual Environment 0.171 0.046 Journal of Lightwave Technology 1.412 0.226 Journal of Limnology 0.365 0.057 Journal of Linguistic Anthropology 0.374 0.035 Journal of Linguistics 0.416 0.042 Journal of Lipid Research 0.978 0.747 Journal of Liposome Research 0.368 0.159 Journal of Liquid Chromatography and Related Technologies 0.216 0.100 Journal of Literacy Research 0.718 0.047 Journal of Literary Semantics 0.113 0.034 Journal of Location Based Services 0.034 Journal of Logic and Algebraic Programming 0.689 0.052 Journal of Logic and Computation 0.573 0.048 Journal of Logic, Language and Information 0.666 0.044 Journal of Long-Term Effects of Medical Implants 0.194 0.053 Journal of Loss and Trauma 0.333 0.044 Journal of Loss Prevention in the Process Industries 1.052 0.063 Journal of Low Frequency Noise Vibration and Active Control 0.097 0.037 Journal of Low Power Electronics 0.256 0.043 Journal of Low Temperature Physics 0.433 0.112 Journal of Lower Genital Tract Disease 0.354 0.136 Journal of Luminescence 0.907 0.140 Journal of Machine Learning Research 1.820 0.189 Journal of Macroeconomics 0.581 0.040 Journal of Macromarketing 0.681 0.039 Journal of Macromolecular Science - Physics 0.321 0.070 Journal of Macromolecular Science - Pure and Applied Chemistry 0.202 0.063 Journal of Magnetic Resonance 0.879 0.298 Journal of Magnetic Resonance Imaging 0.896 0.313 Journal of Magnetics 0.274 0.063 Journal of Magnetism and Magnetic Materials 0.685 0.104 Journal of Maintenance in the Addictions 0.108 0.048 Journal of Mammalian Evolution 0.661 0.324 Journal of Mammalogy 0.713 0.092 Journal of mammary gland biology and neoplasia 0.794 0.807 Journal of managed care pharmacy : JMCP 0.492 0.189 Journal of Management 2.133 0.073 Journal of Management and Governance 0.239 0.037 Journal of Management and Organization 0.026 0.034 Journal of Management Development 0.260 0.038 Journal of Management Education 0.154 0.036 Journal of Management in Engineering 0.352 0.043 Journal of Management Information Systems 1.308 0.055 Journal of Management Inquiry 0.346 0.040 Journal of Management Studies 1.755 0.067 Journal of Managerial Issues 0.272 0.039 Journal of Managerial Psychology 0.626 0.044 Journal of manipulative and physiological therapeutics 0.526 0.067 Journal of Manual and Manipulative Therapy 0.194 0.053 Journal of Manufacturing Processes 0.111 0.036 Journal of Manufacturing Science and Engineering, Transactions of the ASME 0.632 0.058 Journal of Manufacturing Systems 0.182 0.036 Journal of Manufacturing Technology Management 0.436 0.045 Journal of Map and Geography Libraries 0.073 0.036 Journal of Marine Environmental Engineering 0.251 0.040 Journal of Marine Research 0.652 0.147 Journal of Marine Science and Application 0.248 0.040 Journal of Marine Science and Technology 0.681 0.040 Journal of Marine Science and Technology 0.115 0.036 Journal of Marine Systems 1.011 0.117 Journal of Marital and Family Therapy 0.460 0.042 Journal of Maritime Archaeology 0.412 0.034 Journal of Maritime Law and Commerce 0.000 0.034 Journal of Marketing 2.627 0.087 Journal of Marketing Channels 0.087 0.034 Journal of Marketing Communications 0.210 0.106 Journal of Marketing Education 0.503 0.041 Journal of Marketing for Higher Education 0.076 0.035 Journal of Marketing Research 1.782 0.091 Journal of Marketing Theory and Practice 0.729 0.047 Journal of Marriage and Family 1.129 0.064 Journal of Mass Spectrometry 0.812 0.286 Journal of Material Culture 0.499 0.041 Journal of Material Cycles and Waste Management 0.477 0.074 Journal of Materials Chemistry 1.266 0.404 Journal of Materials Engineering and Performance 0.307 0.054 Journal of Materials in Civil Engineering 0.903 0.055 Journal of Materials Processing Technology 1.193 0.084 Journal of Materials Research 0.695 0.148 Journal of Materials Science 0.588 0.105 Journal of Materials Science and Technology 0.384 0.073 Journal of Materials Science: Materials in Electronics 0.467 0.099 Journal of Materials Science: Materials in Medicine 0.719 0.141 Journal of Maternal-Fetal and Neonatal Medicine 0.364 0.120 Journal of Mathematical Analysis and Applications 0.942 0.064 Journal of Mathematical Behavior 0.139 0.035 Journal of Mathematical Biology 0.686 0.161 Journal of Mathematical Chemistry 0.754 0.071 Journal of Mathematical Economics 0.680 0.045 Journal of Mathematical Fluid Mechanics 0.815 0.058 Journal of Mathematical Imaging and Vision 1.019 0.077 Journal of Mathematical Logic 0.034 Journal of Mathematical Modelling and Algorithms 0.540 0.050 Journal of Mathematical Physics 0.484 0.056 Journal of Mathematical Psychology 1.086 0.107 Journal of Mathematical Sciences 0.101 0.036 Journal of Mathematical Sociology 0.374 0.044 Journal of Mathematics and Statistics 0.123 0.034 Journal of Mathematics of Kyoto University 0.221 0.038 Journal of Mathematics Teacher Education 0.718 0.042 Journal of Mechanical Design, Transactions of the ASME 1.079 0.060 Journal of Mechanical Science and Technology 0.176 0.043 Journal of Mechanics 0.617 0.048 Journal of Mechanics in Medicine and Biology 0.146 0.035 Journal of Mechanics of Materials and Structures 0.352 0.051 Journal of Media Economics 0.255 0.037 Journal of Media Psychology 0.059 0.039 Journal of Medical and Biological Engineering 0.167 0.041 Journal of Medical and Dental Sciences 0.072 0.056 Journal of Medical Biochemistry 0.045 0.037 Journal of medical biography 0.019 0.034 Journal of Medical Case Reports 0.055 0.044 Journal of Medical Devices, Transactions of the ASME 0.223 0.070 Journal of Medical Economics 0.079 0.042 Journal of Medical Engineering and Technology 0.353 0.061 Journal of Medical Entomology 0.683 0.166 Journal of Medical Ethics 0.408 0.104 Journal of Medical Genetics 1.291 1.113 Journal of Medical Humanities 0.187 0.046 Journal of Medical Imaging and Radiation Oncology 0.259 0.077 Journal of Medical Imaging and Radiation Sciences 0.027 0.036 Journal of Medical Internet Research 0.759 0.145 Journal of Medical Investigation 0.274 0.128 Journal of Medical Licensure and Discipline 0.034 Journal of Medical Marketing 0.091 0.038 Journal of Medical Microbiology 0.629 0.264 Journal of Medical Physics 0.090 0.048 Journal of Medical Practice Management 0.046 0.047 Journal of Medical Primatology 0.310 0.112 Journal of Medical Sciences 0.084 0.038 Journal of Medical Screening 0.592 0.177 Journal of Medical Speech-Language Pathology 0.149 0.044 Journal of Medical Systems 0.388 0.077 Journal of medical toxicology : official journal of the American College of Medical Toxicology 0.186 0.089 Journal of Medical Ultrasonics 0.141 0.043 Journal of Medical Ultrasound 0.078 0.044 Journal of Medical Virology 0.769 0.330 Journal of Medicinal Chemistry 1.084 0.475 Journal of Medicinal Food 0.367 0.092 Journal of Medicinal Plants 0.024 0.036 Journal of Medicine and Philosophy 0.311 0.055 Journal of Medieval and Early Modern Studies 0.222 0.034 Journal of Medieval History 0.121 0.034 Journal of Mediterranean Archaeology 0.255 0.035 Journal of Membrane Biology 0.583 0.479 Journal of Membrane Science 1.385 0.243 Journal of Memory and Language 1.459 0.190 Journal of Men's Health 0.135 0.053 Journal of Mental Health 0.412 0.053 Journal of Mental Health Policy and Economics 0.719 0.127 Journal of Metamorphic Geology 0.863 0.104 Journal of Micro/ Nanolithography, MEMS, and MOEMS 0.212 0.052 Journal of Microbiological Methods 0.809 0.231 Journal of Microbiology 0.448 0.164 Journal of Microbiology and Biotechnology 0.331 0.101 Journal of microbiology, immunology, and infection = Wei mian yu gan ran za zhi 0.458 0.132 Journal of Microelectromechanical Systems 1.269 0.208 Journal of Microelectronics and Electronic Packaging 0.266 0.048 Journal of Microencapsulation 0.421 0.101 Journal of Micromechanics and Microengineering 0.977 0.157 Journal of Micropalaeontology 0.204 0.039 Journal of Microscopy 0.482 0.237 Journal of Microwave Power and Electromagnetic Energy 0.075 0.040 Journal of Microwaves and Optoelectronics 0.074 0.036 Journal of Midwifery and Women's Health 0.343 0.075 Journal of Military History 0.034 Journal of Mind and Behavior 0.125 0.037 Journal of Mineralogical and Petrological Sciences 0.133 0.044 Journal of Mines, Metals and Fuels 0.040 0.034 Journal of Minimal Access Surgery 0.110 0.040 Journal of Minimally Invasive Gynecology 0.605 0.158 Journal of Mining and Geology 0.000 0.034 Journal of Mining and Metallurgy, Section B: Metallurgy 0.139 0.041 Journal of Mining Science 0.139 0.034 Journal of Mixed Methods Research 0.972 0.040 Journal of Modern African Studies 0.738 0.041 Journal of Modern Applied Statistical Methods 0.091 0.037 Journal of Modern Greek Studies 0.062 0.034 Journal of Modern History 0.116 0.034 Journal of Modern Italian Studies 0.159 0.034 Journal of Modern Optics 0.285 0.085 Journal of Molecular and Cellular Cardiology 0.881 0.616 Journal of Molecular Biology 0.972 1.068 Journal of Molecular Catalysis A: Chemical 0.914 0.196 Journal of Molecular Catalysis B: Enzymatic 0.724 0.144 Journal of Molecular Diagnostics 0.708 0.515 Journal of Molecular Endocrinology 0.766 0.450 Journal of Molecular Evolution 0.646 0.495 Journal of Molecular Graphics and Modelling 0.553 0.194 Journal of Molecular Histology 0.383 0.250 Journal of Molecular Liquids 0.552 0.089 Journal of Molecular Medicine 0.796 0.732 Journal of Molecular Microbiology and Biotechnology 0.645 0.463 Journal of Molecular Modeling 0.637 0.156 Journal of Molecular Neuroscience 0.379 0.266 Journal of Molecular Recognition 0.739 0.318 Journal of Molecular Signaling 0.397 0.354 Journal of Molecular Spectroscopy 0.483 0.101 Journal of Molecular Structure 0.580 0.095 Journal of Molecular Structure: THEOCHEM 0.467 0.083 Journal of Molluscan Studies 0.492 0.071 Journal of Monetary Economics 1.771 0.063 Journal of Money, Credit and Banking 1.329 0.052 Journal of Moral Education 0.360 0.041 Journal of Moral Philosophy 0.203 0.036 Journal of Morphology 0.600 0.140 Journal of Motor Behavior 0.791 0.115 Journal of Mountain Science 0.112 0.039 Journal of Multicultural Counseling and Development 0.757 0.045 Journal of Multicultural Nursing and Health 0.109 0.036 Journal of Multinational Financial Management 0.520 0.037 Journal of Multiple-Valued Logic and Soft Computing 0.305 0.041 Journal of Multivariate Analysis 0.946 0.069 Journal of Muscle Foods 0.464 0.049 Journal of Muscle Research and Cell Motility 0.525 0.389 Journal of Musculoskeletal Neuronal Interactions 0.358 0.153 Journal of Musculoskeletal Pain 0.084 0.045 Journal of Musculoskeletal Research 0.000 0.034 Journal of Music Therapy 0.444 0.064 Journal of Musicological Research 0.000 0.034 Journal of Musicology 0.178 #N/A Journal of Muslim Mental Health 0.031 0.034 Journal of Nanobiotechnology 0.452 0.160 Journal of Nanomaterials 0.119 0.044 Journal of Nanoparticle Research 0.623 0.171 Journal of Nanophotonics 0.163 0.089 Journal of Nanoscience and Nanotechnology 0.331 0.122 Journal of Nara Medical Association 0.000 0.034 Journal of National Black Nurses' Association : JNBNA 0.178 0.054 Journal of Natural Disasters 0.057 0.036 Journal of Natural Fibers 0.046 0.036 Journal of Natural Gas Chemistry 0.360 0.067 Journal of Natural History 0.303 0.050 Journal of Natural Products 0.630 0.259 Journal of Natural Remedies 0.046 0.039 Journal of Nature Conservation (Muzaffarnagar) 0.397 0.058 Journal of Navigation 0.231 0.046 Journal of Near Eastern Studies 0.034 Journal of Near Infrared Spectroscopy 0.452 0.075 Journal of Negative Results in BioMedicine 0.434 0.124 Journal of Negro Education 0.129 0.035 Journal of Nematology 0.403 0.064 Journal of Neonatal Nursing 0.047 0.041 Journal of Neonatology 0.004 0.037 Journal of Nephrology 0.298 0.124 Journal of Nervous and Mental Disease 0.677 0.132 Journal of Network and Computer Applications 0.464 0.051 Journal of Network and Systems Management 0.496 0.050 Journal of Neural Engineering 0.745 0.282 Journal of Neural Transmission 0.419 0.212 Journal of Neural Transmission, Supplement 0.491 0.298 Journal of Neurochemistry 0.795 0.587 Journal of Neuroendocrinology 0.752 0.423 Journal of NeuroEngineering and Rehabilitation 0.580 0.147 Journal of Neurogenetics 0.104 0.117 Journal of Neuroimaging 0.572 0.198 Journal of Neuroimmune Pharmacology 0.552 0.427 Journal of Neuroimmunology 0.524 0.386 Journal of Neuroinflammation 0.613 0.504 Journal of Neurolinguistics 0.733 0.083 Journal of neurologic physical therapy : JNPT. 0.627 0.128 Journal of Neurological Sciences 0.034 Journal of Neurology 0.636 0.272 Journal of Neurology Neurosurgery and Psychiatry 1.028 0.410 Journal of Neuro-Oncology 0.557 0.279 Journal of Neuro-Ophthalmology 0.221 0.096 Journal of Neuropathic Pain and Symptom Palliation 0.034 Journal of Neuropathology and Experimental Neurology 0.835 0.694 Journal of Neurophysiology 1.050 0.625 Journal of Neuropsychiatry and Clinical Neurosciences 0.644 0.238 Journal of neuropsychology 0.484 0.176 Journal of Neuroradiology 0.400 0.101 Journal of Neuroscience 1.564 1.549 Journal of Neuroscience Methods 0.642 0.262 Journal of Neuroscience Research 0.614 0.392 Journal of Neurosurgery 0.877 0.205 Journal of Neurosurgery: Pediatrics 0.189 0.086 Journal of Neurosurgical Anesthesiology 0.600 0.180 Journal of Neurosurgical Sciences 0.305 0.070 Journal of Neurotherapy 0.166 0.045 Journal of Neurotrauma 0.880 0.350 Journal of NeuroVirology 0.426 0.291 Journal of New England Water Environment Association 0.034 Journal of New Materials for Electrochemical Systems 0.214 0.083 Journal of New Music Research 0.176 0.089 Journal of New Seeds 0.023 0.036 Journal of Nippon Medical School 0.109 0.065 Journal of Non-Crystalline Solids 0.717 0.112 Journal of Nondestructive Evaluation 1.206 0.059 Journal of Non-Equilibrium Thermodynamics 0.762 0.067 Journal of Nonlinear Mathematical Physics 0.297 0.046 Journal of Nonlinear Optical Physics and Materials 0.181 0.058 Journal of Nonlinear Science 1.224 0.085 Journal of Non-Newtonian Fluid Mechanics 1.353 0.108 Journal of Nonparametric Statistics 0.333 0.041 Journal of Nonprofit and Public Sector Marketing 0.334 0.037 Journal of Nonverbal Behavior 0.661 0.063 Journal of North African Studies 0.098 0.035 Journal of Northwest Atlantic Fishery Science 0.167 0.138 Journal of Nuclear Cardiology 0.454 0.215 Journal of Nuclear Materials 1.487 0.095 Journal of Nuclear Materials Management 0.075 0.034 Journal of Nuclear Medicine 1.074 0.590 Journal of Nuclear Medicine Technology 0.240 0.068 Journal of Nuclear Science and Technology 0.591 0.055 Journal of Number Theory 0.736 0.045 Journal of Numerical Mathematics 0.464 0.047 Journal of Nursing Care Quality 0.525 0.073 Journal of Nursing Education 0.669 0.063 Journal of Nursing Management 0.481 0.061 Journal of Nursing Measurement 0.336 0.052 Journal of Nursing Scholarship 0.808 0.094 Journal of Nutrigenetics and Nutrigenomics 0.167 0.063 Journal of Nutrition 0.957 0.315 Journal of Nutrition Education and Behavior 0.424 0.083 Journal of nutrition for the elderly 0.290 0.064 Journal of Nutritional and Environmental Medicine 0.116 0.046 Journal of Nutritional Biochemistry 1.081 0.315 Journal of Nutritional Science and Vitaminology 0.255 0.087 Journal of Object Technology 0.214 0.042 Journal of obstetric, gynecologic, and neonatal nursing : JOGNN / NAACOG 0.582 0.100 Journal of Obstetrics and Gynaecology 0.177 0.064 Journal of obstetrics and gynaecology Canada : JOGC = Journal d"obstetrique et gynecologie du Canada : JOGC 0.310 0.104 Journal of Obstetrics and Gynaecology Research 0.315 0.091 Journal of Occupational and Environmental Hygiene 0.413 0.087 Journal of occupational and environmental medicine / American College of Occupational and Environmental Medicine 0.650 0.153 Journal of Occupational and Organizational Psychology 0.829 0.053 Journal of Occupational Health 0.250 0.087 Journal of Occupational Health and Safety - Australia and New Zealand 0.108 0.035 Journal of occupational health psychology 1.186 0.091 Journal of Occupational Medicine and Toxicology 0.367 0.114 Journal of Occupational Rehabilitation 0.780 0.116 Journal of Occupational Science 0.492 0.044 Journal of Ocean University of China 0.097 0.037 Journal of Oceanography 0.581 0.074 Journal of Ocular Pharmacology and Therapeutics 0.387 0.137 Journal of Offender Rehabilitation 0.191 0.037 Journal of Offshore Mechanics and Arctic Engineering 0.290 0.040 Journal of oleo science 0.337 0.105 Journal of Oncology Pharmacy Practice 0.199 0.084 Journal of Oncology Practice 0.102 0.055 Journal of Online Mathematics and its Applications 0.074 0.040 Journal of Operations Management 1.866 0.081 Journal of Operator Theory 0.520 0.042 Journal of opioid management 0.190 0.089 Journal of Optical and Fiber Communication Research 0.069 Journal of Optical Communications 0.083 0.036 Journal of Optical Networking 0.610 0.093 Journal of Optical Technology (A Translation of Opticheskii Zhurnal) 0.057 0.039 Journal of Optics (India) 0.117 0.037 Journal of Optics A: Pure and Applied Optics 0.491 0.111 Journal of Optimization Theory and Applications 0.842 0.054 Journal of Optoelectronics and Advanced Materials 0.151 0.047 Journal of oral and maxillofacial surgery : official journal of the American Association of Oral and Maxillofacial Surgeons 0.673 0.111 Journal of Oral Biosciences 0.263 0.037 Journal of Oral Pathology and Medicine 0.780 0.170 Journal of Oral Rehabilitation 0.958 0.094 Journal of oral science 0.333 0.066 Journal of Organ Dysfunction 0.056 0.051 Journal of Organic Chemistry 0.878 0.308 Journal of Organizational and End User Computing 0.827 0.047 Journal of Organizational Behavior 1.115 0.058 Journal of Organizational Behavior Management 0.445 0.036 Journal of Organizational Change Management 0.392 0.039 Journal of Organizational Computing and Electronic Commerce 0.291 0.041 Journal of Organometallic Chemistry 0.514 0.134 Journal of orofacial orthopedics = Fortschritte der Kieferorthopdie : Organ/official journal Deutsche Gesellschaft fr Kieferorthopdie 0.470 0.057 Journal of Orofacial Pain 0.438 0.094 Journal of orthodontics 0.286 0.050 Journal of Orthomolecular Medicine 0.021 0.036 Journal of Orthopaedic and Sports Physical Therapy 0.957 0.111 Journal of Orthopaedic Nursing 0.198 0.038 Journal of Orthopaedic Research 1.071 0.252 Journal of Orthopaedic Science 0.391 0.080 Journal of Orthopaedic Surgery 0.289 0.059 Journal of Orthopaedic Surgery and Research 0.342 0.090 Journal of orthopaedic trauma 0.937 0.109 Journal of Orthopaedics and Traumatology 0.073 0.038 Journal of Otolaryngology 0.208 0.052 Journal of Otolaryngology - Head and Neck Surgery 0.051 0.039 Journal of Pacific History 0.084 0.034 Journal of Paediatrics and Child Health 0.389 0.125 Journal of Pain 0.966 0.331 Journal of Pain and Palliative Care Pharmacotherapy 0.086 0.064 Journal of Pain and Symptom Management 0.948 0.273 Journal of Pakistan Association of Dermatologists 0.086 0.036 Journal of Paleolimnology 0.679 0.098 Journal of Paleontology 0.483 0.102 Journal of Palestine Studies 0.049 0.034 Journal of Palliative Care 0.365 0.105 Journal of Palliative Medicine 0.612 0.215 Journal of Parallel and Distributed Computing 0.559 0.057 Journal of Parapsychology 0.000 0.034 Journal of Parasitology 0.312 0.089 Journal of Parenteral and Enteral Nutrition 0.490 0.155 Journal of Patient Safety 0.170 0.071 Journal of Peace Research 1.126 0.052 Journal of Peacebuilding and Development 0.013 0.034 Journal of Peasant Studies 0.126 0.035 Journal of pediatric and adolescent gynecology 0.462 0.078 Journal of Pediatric Endocrinology and Metabolism 0.259 0.092 Journal of Pediatric Gastroenterology and Nutrition 0.510 0.184 Journal of Pediatric Health Care 0.319 0.071 Journal of Pediatric Hematology/Oncology 0.322 0.131 Journal of Pediatric Infectious Diseases 0.034 0.042 Journal of Pediatric Neurology 0.031 0.039 Journal of Pediatric Neurosciences 0.030 0.038 Journal of pediatric nursing 0.399 0.070 Journal of pediatric oncology nursing : official journal of the Association of Pediatric Oncology Nurses 0.428 0.115 Journal of Pediatric Ophthalmology and Strabismus 0.245 0.056 Journal of Pediatric Orthopaedics 0.567 0.116 Journal of Pediatric Orthopaedics Part B 0.415 0.057 Journal of pediatric psychology 1.238 0.203 Journal of Pediatric Surgery 0.645 0.144 Journal of Pediatric Urology 0.184 0.069 Journal of Pediatrics 0.696 0.243 Journal of Pelvic Medicine and Surgery 0.086 0.039 Journal of Peptide Science 0.417 0.175 Journal of Performance of Constructed Facilities 0.526 0.043 Journal of Perianesthesia Nursing 0.196 0.057 Journal of Perinatal and Neonatal Nursing 0.232 0.072 Journal of Perinatal Medicine 0.504 0.123 Journal of Perinatology 0.630 0.154 Journal of Periodontal Research 0.622 0.152 Journal of Periodontology 0.648 0.135 Journal of perioperative practice 0.082 0.041 Journal of Persianate Studies 0.034 Journal of Personal Selling and Sales Management 0.594 0.045 Journal of Personality 1.294 0.124 Journal of Personality and Social Psychology 2.425 0.251 Journal of Personality Assessment 0.645 0.071 Journal of Personality Disorders 0.923 0.110 Journal of Pest Science 0.542 0.065 Journal of Pesticide Sciences 0.372 0.088 Journal of Petroleum Geology 0.371 0.042 Journal of Petroleum Science and Engineering 1.035 0.080 Journal of Petrology 1.348 0.178 Journal of Pharmaceutical and Biomedical Analysis 0.690 0.205 Journal of Pharmaceutical Finance, Economics and Policy 0.041 0.036 Journal of Pharmaceutical Innovation 0.457 0.100 Journal of Pharmaceutical Marketing and Management 0.063 0.044 Journal of Pharmaceutical Sciences 0.783 0.228 Journal of Pharmacokinetics and Pharmacodynamics 0.745 0.226 Journal of Pharmacological and Toxicological Methods 0.455 0.192 Journal of Pharmacological Sciences 0.599 0.264 Journal of Pharmacology and Experimental Therapeutics 0.909 0.483 Journal of Pharmacology and Toxicology 0.026 0.034 Journal of pharmacy & pharmaceutical sciences [electronic resource] : a publication of the Canadian Society for Pharmaceutical Sciences, Societe canadienne des sciences pharmaceutiques 0.429 0.116 Journal of Pharmacy and Pharmacology 0.422 0.136 Journal of Pharmacy of Istanbul University 0.034 Journal of Pharmacy Practice 0.048 0.041 Journal of Pharmacy Practice and Research 0.168 0.045 Journal of Pharmacy Teaching 0.034 Journal of Pharmacy Technology 0.052 0.040 Journal of Phase Equilibria and Diffusion 0.344 0.053 Journal of Phenomenological Psychology 0.120 0.037 Journal of Philosophical Logic 0.620 0.051 Journal of Philosophical Research 0.198 0.036 Journal of Philosophy 0.332 0.045 Journal of Philosophy of Education 0.410 0.037 Journal of Phonetics 1.179 0.057 Journal of Photochemistry and Photobiology A: Chemistry 0.994 0.179 Journal of Photochemistry and Photobiology B: Biology 0.685 0.148 Journal of Photochemistry and Photobiology C: Photochemistry Reviews 2.142 0.528 Journal of Photopolymer Science and Technology 0.342 0.061 Journal of Phycology 0.812 0.241 Journal of physical activity & health 0.411 0.100 Journal of Physical and Chemical Reference Data 1.104 0.129 Journal of Physical Chemistry A 0.897 0.203 Journal of Physical Chemistry B 1.139 0.347 Journal of Physical Chemistry C 0.946 0.341 Journal of Physical Oceanography 1.012 0.158 Journal of Physical Organic Chemistry 0.434 0.103 Journal of Physical Studies 0.040 0.036 Journal of Physical Therapy Science 0.032 0.036 Journal of Physics A: Mathematical and Theoretical 0.537 0.073 Journal of Physics and Chemistry of Solids 0.535 0.109 Journal of Physics B: Atomic, Molecular and Optical Physics 0.574 0.118 Journal of Physics Condensed Matter 0.515 0.116 Journal of Physics D: Applied Physics 0.721 0.131 Journal of Physics G: Nuclear and Particle Physics 0.800 0.088 Journal of Physics: Conference Series 0.121 0.048 Journal of Physiological Anthropology 0.329 0.078 Journal of Physiological Sciences 0.364 0.120 Journal of Physiology 1.052 0.744 Journal of Physiology and Biochemistry 0.211 0.088 Journal of Physiology and Pharmacology 0.331 0.141 Journal of Physiology Paris 0.639 0.402 Journal of Phytomedicine and Therapeutics 0.034 Journal of Phytopathology 0.450 0.076 Journal of Pidgin and Creole Languages 0.209 #N/A Journal of Pineal Research 0.967 0.540 Journal of Planar Chromatography - Modern TLC 0.178 0.054 Journal of Plankton Research 0.756 0.098 Journal of Planning and Environment Law 0.034 Journal of Planning Education and Research 0.499 0.042 Journal of Planning Literature 0.536 0.064 Journal of Plant Biochemistry and Biotechnology 0.167 0.041 Journal of Plant Biology 0.123 0.065 Journal of Plant Diseases and Proctection, Supplement 0.040 0.037 Journal of Plant Diseases and Protection 0.228 0.054 Journal of Plant Growth Regulation 0.522 0.237 Journal of Plant Interactions 0.210 0.050 Journal of Plant Nutrition 0.287 0.053 Journal of Plant Nutrition and Soil Science 0.567 0.086 Journal of Plant Pathology 0.464 0.092 Journal of Plant Physiology 0.846 0.204 Journal of Plant Research 0.533 0.218 Journal of Plant Resources and Environment 0.081 0.036 Journal of Plant Sciences 0.188 0.039 Journal of Plasma Physics 0.336 0.059 Journal of Plastic Dermatology 0.006 0.035 Journal of Plastic Film and Sheeting 0.454 0.079 Journal of Plastic, Reconstructive and Aesthetic Surgery 0.635 0.107 Journal of Police Crisis Negotiations 0.192 0.034 Journal of Policy Analysis and Management 0.775 0.064 Journal of Policy Modeling 0.725 0.055 Journal of Policy Practice 0.034 0.039 Journal of Politeness Research 0.429 0.038 Journal of Political and Military Sociology 0.287 0.035 Journal of Political Economy 3.027 0.139 Journal of Political Ideologies 0.479 0.036 Journal of Political Marketing 0.359 0.035 Journal of Political Philosophy 0.832 0.043 Journal of Politics 1.352 0.069 Journal of Polymer Engineering 0.169 0.048 Journal of Polymer Materials 0.086 0.044 Journal of Polymer Research 0.550 0.082 Journal of Polymer Science, Part A: Polymer Chemistry 0.876 0.261 Journal of Polymer Science, Part B: Polymer Physics 0.854 0.162 Journal of Polymers and the Environment 0.612 0.089 Journal of Popular Culture 0.092 0.034 Journal of Popular Film and Television 0.000 0.034 Journal of Population Economics 0.627 0.043 Journal of Population Research 0.291 0.040 Journal of Porous Materials 0.370 0.089 Journal of Porous Media 0.341 0.047 Journal of Porphyrins and Phthalocyanines 0.320 0.075 Journal of Portfolio Management 0.397 0.043 Journal of Positive Behavior Interventions 0.996 0.048 Journal of Positive Psychology 0.785 0.056 Journal of Post Keynesian Economics 0.506 0.038 Journal of Postgraduate Medical Institute 0.000 0.034 Journal of Postgraduate Medicine 0.325 0.096 Journal of Poultry Science 0.149 0.046 Journal of Poverty 0.113 0.035 Journal of Power Electronics 1.336 0.054 Journal of Power Sources 1.288 0.343 Journal of Pragmatics 0.484 0.038 Journal of Pre-Raphaelite Studies-New Series 0.034 Journal of Pressure Vessel Technology, Transactions of the ASME 0.253 0.042 Journal of Prevention and Intervention in the Community 0.379 0.042 Journal of Preventive Medicine and Hygiene 0.125 0.063 Journal of preventive medicine and public health = Yebang ihakhoe chi 0.077 0.055 Journal of Primary Prevention 0.542 0.058 Journal of Private Equity 0.034 Journal of Process Control 1.523 0.085 Journal of Product and Brand Management 0.355 0.041 Journal of Product Innovation Management 1.709 0.054 Journal of Productivity Analysis 0.938 0.047 Journal of Professional Issues in Engineering Education and Practice 0.073 0.037 Journal of Professional Nursing 0.536 0.057 Journal of Progressive Human Services 0.049 0.035 Journal of Promotion Management 0.155 0.036 Journal of Property Investment and Finance 0.297 0.037 Journal of Property Research 0.309 0.036 Journal of Propulsion and Power 0.614 0.065 Journal of Prosthetic Dentistry 0.740 0.077 Journal of Prosthetics and Orthotics 0.198 0.050 Journal of Prosthodontic Research 0.035 Journal of Prosthodontics 0.349 0.060 Journal of Protective Coatings and Linings 0.033 0.034 Journal of Proteome Research 0.886 0.773 Journal of Proteomics 0.546 0.237 Journal of Psychiatric and Mental Health Nursing 0.676 0.057 Journal of Psychiatric Practice 0.311 0.082 Journal of Psychiatric Research 0.946 0.298 Journal of psychiatry & neuroscience : JPN 0.773 0.256 Journal of Psychiatry and Law 0.088 0.037 Journal of Psychoactive Drugs 0.231 0.064 Journal of Psychoeducational Assessment 0.268 0.041 Journal of Psychohistory 0.308 0.034 Journal of Psycholinguistic Research 0.452 0.068 Journal of Psychology 0.244 0.050 Journal of Psychology and Theology 0.455 0.040 Journal of Psychology in Africa 0.034 0.035 Journal of Psychology: Interdisciplinary and Applied 0.283 0.044 Journal of Psychopathology and Behavioral Assessment 0.478 0.072 Journal of Psychopharmacology 0.621 0.214 Journal of Psychophysiology 0.620 0.200 Journal of Psychosocial Nursing and Mental Health Services 0.181 0.045 Journal of Psychosocial Oncology 0.299 0.089 Journal of Psychosomatic Obstetrics and Gynaecology 0.545 0.088 Journal of Psychosomatic Research 0.964 0.199 Journal of Psychotherapy Integration 0.085 0.036 Journal of Public Administration Research and Theory 1.385 0.049 Journal of Public Affairs 0.176 0.034 Journal of Public and International Affairs 0.034 Journal of Public Child Welfare 0.356 0.038 Journal of Public Deliberation 0.527 0.035 Journal of Public Economic Theory 0.439 0.044 Journal of Public Economics 1.416 0.058 Journal of Public Health 0.492 0.120 Journal of Public Health Dentistry 0.539 0.078 Journal of public health management and practice : JPHMP 0.430 0.090 Journal of Public Health Policy 0.223 0.078 Journal of Public Policy 0.721 0.046 Journal of Public Policy and Marketing 0.598 0.056 Journal of Pulp and Paper Science 0.202 0.053 Journal of Punjab Studies 0.034 Journal of Purchasing and Supply Management 0.893 0.053 Journal of Pure and Applied Algebra 0.573 0.044 Journal of Pure and Applied Microbiology 0.035 0.036 Journal of Quality Assurance in Hospitality and Tourism 0.026 0.034 Journal of Quality in Maintenance Engineering 0.431 0.047 Journal of Quality Technology 1.539 0.093 Journal of Quantitative Criminology 1.398 0.068 Journal of Quantitative Linguistics 0.015 0.035 Journal of Quantitative Spectroscopy and Radiative Transfer 0.697 0.119 Journal of Quaternary Science 0.884 0.096 Journal of Radiation Research 0.369 0.185 Journal of Radioanalytical and Nuclear Chemistry 0.191 0.056 Journal of Radiological Protection 0.188 0.080 Journal of Radiology Nursing 0.024 0.035 Journal of Radiotherapy in Practice 0.093 0.039 Journal of Raman Spectroscopy 0.805 0.160 Journal of Rapid Methods and Automation in Microbiology 0.194 0.061 Journal of Raptor Research 0.312 0.044 Journal of Rare Earths 0.247 0.051 Journal of Rational - Emotive and Cognitive - Behavior Therapy 0.135 0.039 Journal of Real Estate Finance and Economics 0.608 0.043 Journal of Real Estate Literature 0.038 0.035 Journal of Real Estate Portfolio Management 0.175 0.036 Journal of Real Estate Practice and Education 0.000 0.034 Journal of Real Estate Research 0.277 0.039 Journal of Real-Time Image Processing 0.347 0.049 Journal of Receptor and Signal Transduction Research 0.391 0.266 Journal of Reconstructive Microsurgery 0.457 0.083 Journal of Refractive Surgery 0.847 0.261 Journal of Refugee Studies 0.545 0.039 Journal of Regional Analysis and Policy 0.233 0.036 Journal of Regional Science 0.830 0.057 Journal of Regulatory Economics 0.799 0.043 Journal of Rehabilitation 0.366 0.042 Journal of Rehabilitation Medicine 0.584 0.121 Journal of rehabilitation research and development 0.486 0.110 Journal of Reinforced Plastics and Composites 0.578 0.055 Journal of Relationship Marketing 0.087 0.035 Journal of Religion 0.403 0.034 Journal of Religion and Abuse 0.034 Journal of Religion and Health 0.116 0.037 Journal of Religion and Spirituality in Social Work 0.154 0.037 Journal of Religion in Africa 0.046 0.037 Journal of Religion in Europe 0.365 0.037 Journal of Religion, Disability and Health 0.028 0.036 Journal of Religion, Spirituality and Aging 0.017 0.036 Journal of Religious and Theological Information 0.034 Journal of Religious Ethics 0.053 0.035 Journal of Religious History 0.146 0.034 Journal of Renal Care 0.175 0.049 Journal of renal nutrition : the official journal of the Council on Renal Nutrition of the National Kidney Foundation 0.440 0.193 Journal of Reproduction and Development 0.480 0.130 Journal of Reproductive and Infant Psychology 0.341 0.058 Journal of Reproductive Immunology 0.648 0.280 Journal of Reproductive Medicine for the Obstetrician and Gynecologist 0.320 0.126 Journal of Research and Practice in Information Technology 0.349 0.044 Journal of Research in Childhood Education 0.198 0.040 Journal of Research in Crime and Delinquency 1.529 0.062 Journal of Research in International Education 0.092 0.035 Journal of Research in Medical Sciences 0.067 0.037 Journal of Research in Music Education 0.254 0.035 Journal of Research in Nursing 0.149 0.038 Journal of Research in Personality 0.986 0.095 Journal of Research in Reading 0.728 0.070 Journal of Research in Science Teaching 1.614 0.063 Journal of Research in Special Educational Needs 0.146 0.035 Journal of Research of the National Institute of Standards and Technology 0.383 0.076 Journal of Research on Adolescence 1.206 0.088 Journal of Residuals Science and Technology 0.109 0.044 Journal of Respiratory Diseases 0.029 0.037 Journal of Retailing 0.766 0.063 Journal of Retailing and Consumer Services 0.821 0.043 Journal of Rheology 1.157 0.154 Journal of Rheumatology 0.852 0.307 Journal of Rheumatology and Medical Rehabilitation 0.000 0.034 Journal of Risk and Insurance 0.845 0.045 Journal of Risk and Uncertainty 1.025 0.086 Journal of Risk Research 0.542 0.079 Journal of Robotic Surgery 0.094 0.136 Journal of Roman Archaeology 0.182 0.034 Journal of Roman Studies 0.000 0.034 Journal of Rubber Research 0.312 0.043 Journal of Rural Cooperation 0.000 0.034 Journal of Rural Development 0.000 0.034 Journal of Rural Health 0.595 0.102 Journal of Rural Studies 1.253 0.054 Journal of Russian Laser Research 0.296 0.053 Journal of Safety Research 0.841 0.073 Journal of Sandwich Structures and Materials 0.704 0.062 Journal of Scandinavian Studies in Criminology and Crime Prevention 0.075 0.034 Journal of Scanning Probe Microscopy 0.034 Journal of Scheduling 1.188 0.059 Journal of Scholarly Publishing 0.088 0.038 Journal of School Health 0.831 0.170 Journal of School Psychology 1.431 0.069 Journal of School Violence 0.239 0.035 Journal of Science and Medicine in Sport 0.596 0.106 Journal of Science Education and Technology 0.468 0.219 Journal of Science Teacher Education 0.370 0.040 Journal of Scientific and Industrial Research 0.095 0.044 Journal of Scientific Computing 0.962 0.083 Journal of Scientific Exploration 0.027 0.035 Journal of Sea Research 0.849 0.095 Journal of Second Language Writing 1.444 0.048 Journal of Security Education 0.079 0.034 Journal of Sedimentary Research 0.766 0.066 Journal of Seismic Exploration 0.217 0.039 Journal of Seismology 0.430 0.045 Journal of Semantics 0.203 0.054 Journal of Semitic Studies 0.034 Journal of Sensory Studies 0.403 0.056 Journal of Separation Science 0.506 0.229 Journal of Service Research 1.190 0.057 Journal of Services Marketing 0.534 0.042 Journal of Sex and Marital Therapy 0.681 0.144 Journal of Sex Research 0.569 0.081 Journal of Sexual Medicine 1.007 0.269 Journal of Shanghai Jiaotong University (Science) 0.072 0.037 Journal of Shanghai University 0.077 0.039 Journal of Shellfish Research 0.307 0.056 Journal of Ship Production 0.157 0.039 Journal of Ship Research 0.509 0.044 Journal of shoulder and elbow surgery / American Shoulder and Elbow Surgeons ... [et al.] 0.825 0.115 Journal of Sichuan University (Medical Science Edition) 0.036 0.040 Journal of Signal Processing Systems 0.320 0.042 Journal of Simulation 0.198 0.035 Journal of Sleep Research 1.007 0.349 Journal of Small Animal Practice 0.687 0.066 Journal of Small Business and Enterprise Development 0.194 0.036 Journal of Small Business Management 0.623 0.044 Journal of Smooth Muscle Research 0.372 0.131 Journal of Social and Clinical Psychology 0.642 0.065 Journal of Social and Personal Relationships 0.519 0.056 Journal of Social Archaeology 0.108 0.035 Journal of Social Development in Africa 0.029 0.034 Journal of Social History 0.453 0.035 Journal of Social Issues 0.973 0.075 Journal of Social Policy 0.913 0.045 Journal of Social Psychology 0.483 0.050 Journal of Social Service Research 0.396 0.043 Journal of Social Welfare and Family Law 0.090 0.049 Journal of Social Work 0.178 #N/A Journal of Social Work Education 0.625 0.044 Journal of Social Work in Disability and Rehabilitation 0.002 0.034 Journal of social work in end-of-life & palliative care 0.293 0.044 Journal of Social Work Practice 0.311 0.037 Journal of Social Work Practice in the Addictions 0.118 0.036 Journal of Socio-Economics 0.523 0.050 Journal of Sociolinguistics 0.482 0.042 Journal of Sociology 0.449 0.043 Journal of Sociology and Social Welfare 0.178 0.037 Journal of Software Maintenance and Evolution 0.555 0.049 Journal of Soil and Water Conservation 0.492 0.053 Journal of Soils and Sediments 0.598 0.084 Journal of Solar Energy Engineering, Transactions of the ASME 0.679 0.065 Journal of Sol-Gel Science and Technology 0.595 0.112 Journal of Solid State Chemistry 0.955 0.159 Journal of Solid State Electrochemistry 0.666 0.151 Journal of Solid Waste Technology and Management 0.162 0.037 Journal of Solution Chemistry 0.681 0.093 Journal of Sound and Vibration 1.437 0.079 Journal of South American Earth Sciences 0.382 0.083 Journal of Southeast Asian Studies 0.336 0.037 Journal of Southeast University (English Edition) 0.084 0.037 Journal of Southern African Studies 0.273 0.036 Journal of Spacecraft and Rockets 0.684 0.051 Journal of Spacecraft Technology 0.034 Journal of Spatial Hydrology 0.119 0.036 Journal of Spatial Science 0.262 0.050 Journal of Special Education 0.779 0.047 Journal of Speech, Language, and Hearing Research 1.030 0.120 Journal of Spinal Disorders and Techniques 0.742 0.113 Journal of Spirituality in Mental Health 0.034 Journal of Sport and Exercise Psychology 1.365 0.139 Journal of Sport and Social Issues 0.892 0.045 Journal of Sport Management 1.015 0.046 Journal of Sport Rehabilitation 0.232 0.046 Journal of Sports Economics 0.355 0.037 Journal of Sports Medicine and Physical Fitness 0.412 0.073 Journal of Sports Science and Medicine 0.309 0.047 Journal of sports sciences 0.782 0.096 Journal of Statistical Computation and Simulation 0.388 0.052 Journal of Statistical Mechanics: Theory and Experiment 0.120 0.057 Journal of Statistical Physics 0.708 0.098 Journal of Statistical Planning and Inference 0.796 0.059 Journal of Statistical Software 0.800 0.177 Journal of Statistics Education 0.081 0.034 Journal of Steroid Biochemistry and Molecular Biology 0.592 0.315 Journal of Stored Products Research 0.957 0.073 Journal of Strain Analysis for Engineering Design 0.511 0.049 Journal of Strategic Information Systems 0.998 0.053 Journal of Strategic Studies 0.164 0.035 Journal of Strength and Conditioning Research 0.583 0.082 Journal of Stroke and Cerebrovascular Diseases 0.400 0.146 Journal of Structural and Functional Genomics 0.689 0.565 Journal of Structural Biology 0.906 0.897 Journal of Structural Chemistry 0.158 0.046 Journal of Structural Engineering 1.170 0.062 Journal of Structural Engineering (Madras) 0.036 0.034 Journal of Structural Geology 0.809 0.080 Journal of Studies in International Education 0.827 0.046 Journal of Studies on Alcohol and Drugs 0.859 0.162 Journal of Submicroscopic Cytology and Pathology 0.213 0.061 Journal of Substance Abuse Treatment 0.990 0.149 Journal of Substance Use 0.214 0.045 Journal of Sulfur Chemistry 0.201 0.058 Journal of Supercomputing 0.514 0.047 Journal of Superconductivity and Novel Magnetism 0.271 0.062 Journal of Supercritical Fluids 1.046 0.154 Journal of Supply Chain Management 0.774 0.052 Journal of Surface Science and Technology 0.029 0.036 Journal of Surfactants and Detergents 0.366 0.058 Journal of Surgical Education 0.389 0.159 Journal of Surgical Oncology 0.690 0.272 Journal of Surgical Research 0.581 0.247 Journal of Surveying Engineering 0.854 0.058 Journal of Sustainable Agriculture 0.166 0.041 Journal of Sustainable Forestry 0.045 0.035 Journal of Sustainable Tourism 0.656 0.051 Journal of Swine Health and Production 0.163 0.042 Journal of Symbolic Computation 0.877 0.046 Journal of Symbolic Logic 0.807 0.047 Journal of Synchrotron Radiation 0.783 0.262 Journal of Synthetic Lubrication 0.290 0.070 Journal of Systematic Palaeontology 0.789 0.503 Journal of Systems and Software 0.719 0.051 Journal of Systems Architecture 0.567 0.046 Journal of Systems Engineering and Electronics 0.158 0.038 Journal of Systems Science and Complexity 0.191 0.041 Journal of Systems Science and Systems Engineering 0.401 0.042 Journal of Taiwan Society of Naval Architects and Marine Engineers 0.113 0.035 Journal of Taxation 0.025 0.033 Journal of Teacher Education 0.970 0.044 Journal of Teacher Education for Sustainability 0.034 Journal of Teaching in International Business 0.017 0.034 Journal of Teaching in Physical Education 0.234 0.038 Journal of Teaching in Social Work 0.110 0.035 Journal of Teaching in the Addictions 0.079 #N/A Journal of Teaching in Travel and Tourism 0.163 0.035 Journal of Technology Education 0.445 0.035 Journal of Technology in Human Services 0.117 0.042 Journal of Technology Management and Innovation 0.034 Journal of Technology Transfer 0.685 0.048 Journal of Technology, Learning, and Assessment 0.422 0.037 Journal of telemedicine and telecare 0.421 0.109 Journal of Terramechanics 0.652 0.055 Journal of Testing and Evaluation 0.268 0.041 Journal of Textile and Apparel, Technology and Management 0.000 0.034 Journal of Textile Engineering 0.249 0.037 Journal of Texture Studies 0.691 0.080 Journal of the Academy of Marketing Science 1.712 0.053 Journal of the ACM 1.252 0.105 Journal of the Acoustical Society of America 1.070 0.088 Journal of the Air and Waste Management Association 0.697 0.150 Journal of the American Academy of Audiology 0.410 0.066 Journal of the American Academy of Child and Adolescent Psychiatry 1.451 0.373 Journal of the American Academy of Dermatology 0.857 0.238 Journal of the American Academy of Nurse Practitioners 0.280 0.071 Journal of the American Academy of Psychiatry and the Law 0.330 0.055 Journal of the American Academy of Religion 0.232 0.035 Journal of the American Animal Hospital Association 0.595 0.061 Journal of the American Association for Laboratory Animal Science 0.270 0.066 Journal of the American Board of Family Medicine 0.610 0.163 Journal of the American Ceramic Society 0.996 0.163 Journal of the American Chemical Society 1.844 0.895 Journal of the American College of Cardiology 2.728 1.680 Journal of the American College of Nutrition 0.652 0.203 Journal of the American College of Radiology : JACR 0.330 0.149 Journal of the American College of Surgeons 0.846 0.288 Journal of the American Dental Association 0.717 0.117 Journal of the American Dietetic Association 0.677 0.179 Journal of the American Geriatrics Society 1.276 0.312 Journal of the American Helicopter Society 0.786 0.042 Journal of The American Institute for Conservation 0.352 0.045 Journal of the American Leather Chemists Association 0.507 0.055 Journal of the American Medical Association 4.066 1.914 Journal of the American Medical Directors Association 0.410 0.096 Journal of the American Medical Informatics Association : JAMIA 1.603 0.349 Journal of the American Mosquito Control Association 0.266 0.069 Journal of the American Musicological Society 0.274 #N/A Journal of the American Oriental Society. American Oriental Society 0.034 Journal of the American Planning Association 1.024 0.158 Journal of the American Podiatric Medical Association 0.416 0.066 Journal of the American Pomological Society 0.155 0.038 Journal of the American Psychiatric Nurses Association 0.427 0.053 Journal of the American Psychoanalytic Association 0.257 0.051 Journal of the American Society for Horticultural Science 0.547 0.072 Journal of the American Society for Information Science and Technology 0.835 0.087 Journal of the American Society for Mass Spectrometry 0.877 0.349 Journal of the American Society of Brewing Chemists 0.331 0.055 Journal of the American Society of Echocardiography : official publication of the American Society of Echocardiography 0.839 0.400 Journal of the American Society of Hypertension 0.191 0.109 Journal of the American Society of Nephrology 1.716 0.936 Journal of the American Statistical Association 1.572 0.224 Journal of the American Veterinary Medical Association 0.604 0.073 Journal of the American Water Resources Association 0.621 0.059 Journal of the Asia Pacific Economy 0.201 0.037 Journal of the Association for History and Computing 0.119 0.039 Journal of the Association of Information Systems 0.772 0.049 Journal of the Astronautical Sciences 0.294 0.040 Journal of the Atmospheric Sciences 0.853 0.225 Journal of the Australian Mathematical Society 0.412 0.042 Journal of the Bahrain Medical Society 0.000 0.034 Journal of the Balkan Tribological Association 0.263 0.037 Journal of the Botanical Research Institute of Texas 0.103 0.036 Journal of the Brazilian Chemical Society 0.357 0.091 Journal of the Brazilian Computer Society 0.114 0.041 Journal of the Brazilian Society of Mechanical Sciences and Engineering 0.294 0.040 Journal of the British Archeological Association 0.034 Journal of the British Menopause Society 0.270 0.091 Journal of the British Society for Phenomenology 0.034 Journal of the California Dental Association 0.089 0.047 Journal of the Canadian Academy of Child and Adolescent Psychiatry 0.199 0.057 Journal of the Canadian Society of Forensic Science 0.078 0.075 Journal of the cardiometabolic syndrome 0.214 0.141 Journal of the Chilean Chemical Society 0.115 0.054 Journal of the Chinese Chemical Society 0.131 0.054 Journal of the Chinese Institute of Civil and Hydraulic Engineering 0.034 Journal of the Chinese Institute of Engineers, Transactions of the Chinese Institute of Engineers,Series A/Chung-kuo Kung Ch'eng Hsuch K'an 0.204 0.039 Journal of the Chinese Medical Association 0.297 0.072 Journal of the Chinese Society of Corrosion and Protection 0.125 0.039 Journal of the Chinese Society of Mechanical Engineers, Transactions of the Chinese Institute of Engineers, Series C/Chung- Kuo Chi Hsueh Kung Ch'eng Hsuebo Pao 0.194 0.041 Journal of the College of Physicians and Surgeons--Pakistan : JCPSP 0.191 0.053 Journal of the Copyright Society of the U.S.A. 0.109 0.034 Journal of the early Republic. 0.000 0.034 Journal of the Economic and Social History of the Orient 0.034 Journal of the Egyptian National Cancer Institute 0.291 0.090 Journal of the Egyptian Society of Parasitology 0.058 0.038 Journal of the Electrochemical Society 0.935 0.241 Journal of the Energy Institute 0.351 0.052 Journal of the Entomological Research Society 0.030 0.036 Journal of the European Academy of Dermatology and Venereology 0.630 0.150 Journal of the European Ceramic Society 1.188 0.149 Journal of the European Economic Association 1.123 0.059 Journal of the European Mathematical Society 1.358 0.067 Journal of the European Optical Society 0.229 0.071 Journal of the Experimental Analysis of Behavior 0.588 0.084 Journal of the Faculty of Agriculture, Kyushu University 0.247 0.046 Journal of the Faculty of Engineering and Architecture of Gazi University 0.083 0.035 Journal of the Food Hygienic Society of Japan 0.106 0.044 Journal of the Formosan Medical Association = Taiwan yi zhi 0.248 0.080 Journal of the Franklin Institute 0.521 0.059 Journal of the Geodetic Society of Japan 0.080 0.041 Journal of the Geological Society 0.787 0.165 Journal of the Geological Society of India 0.176 0.038 Journal of the History of Biology 0.387 0.047 Journal of the History of Collections 0.484 #N/A Journal of the history of dentistry 0.000 0.034 Journal of the History of Economic Thought 0.112 0.034 Journal of the history of ideas 0.133 0.034 Journal of the history of medicine and allied sciences 0.371 0.072 Journal of the history of philosophy 0.301 0.034 Journal of the History of Sexuality 0.180 0.034 Journal of the History of the Behavioral Sciences 0.163 0.037 Journal of the history of the neurosciences 0.149 0.052 Journal of the Hong Kong College of Radiologists 0.009 0.034 Journal of the IEST 0.063 0.035 Journal of the Illuminating Engineering Institute of Japan (Shomei Gakkai Shi) 0.034 Journal of the Indian Chemical Society 0.055 0.039 Journal of the Indian Institute of Science 0.093 0.042 Journal of the Indian Medical Association 0.054 0.039 Journal of the Indian Society of Pedodontics and Preventive Dentistry 0.152 0.045 Journal of the Indian Society of Remote Sensing 0.034 Journal of the Institute of Brewing 0.438 0.067 Journal of the Institute of Mathematics of Jussieu 0.508 0.042 Journal of the Institute of Telecommunications Professionals 0.018 0.034 Journal of the Institute of Wood Science 0.136 0.035 Journal of the Institution of Engineers (India), Part CP: Computer Engineering Division 0.000 0.034 Journal of the Institution of Engineers (India), Part MM: Metallurgy and Material Science Division 0.114 0.036 Journal of the Institution of Engineers (India), Part MR: Marine Engineering Division 0.034 Journal of the Institution of Engineers (India), Part PR: Production Engineering Division 0.023 0.038 Journal of the Institution of Engineers (India), Part TX: Textile Engineering Division 0.301 0.037 Journal of the Institution of Engineers (India): Aerospace Engineering Journal 0.000 0.034 Journal of the Institution of Engineers (India): Agricultural Engineering Division 0.000 0.034 Journal of the Institution of Engineers (India): Architectural Engineering Division 0.034 Journal of the Institution of Engineers (India): Chemical Engineering Division 0.000 0.034 Journal of the Institution of Engineers (India): Civil Engineering Division 0.029 0.034 Journal of the Institution of Engineers (India): Electrical Engineering Division 0.036 0.034 Journal of the Institution of Engineers (India): Environmental Engineering Division 0.242 0.034 Journal of the Institution of Engineers (India): Mechanical Engineering Division 0.163 0.036 Journal of the International Academy of Periodontology 0.154 0.065 Journal of the International Association for Shell and Spatial Structures 0.176 0.035 Journal of the International Association of Physicians in AIDS Care (Chicago, Ill. : 2002) 0.104 0.059 Journal of the International Neuropsychological Society 0.691 0.210 Journal of the International Phonetic Association 0.245 0.037 Journal of the International Society of Sports Nutrition 0.145 0.049 Journal of the Iranian Chemical Society 0.316 0.087 Journal of the Irish Dental Association 0.012 0.034 Journal of the Japan Diabetes Society 0.006 0.035 Journal of the Japan Epilepsy Society 0.034 Journal of the Japan Petroleum Institute 0.212 0.057 Journal of the Japan Research Association for Textile End-uses 0.000 0.034 Journal of the Japanese and International Economies 0.631 0.040 Journal of the Japanese Physical Therapy Association 0.808 0.051 Journal of the Japanese Society for Horticultural Science 0.380 0.063 Journal of the Kansas Entomological Society 0.221 0.043 Journal of the Korean Astronomical Society 0.035 Journal of the Korean Ceramic Society 0.071 0.040 Journal of the Korean Chemical Society 0.026 0.040 Journal of the Korean Industrial and Engineering Chemistry 0.013 0.036 Journal of the Korean Mathematical Society 0.312 0.043 Journal of the Korean Physical Society 0.509 0.064 Journal of the Korean Society of Food Science and Nutrition 0.051 0.037 Journal of the Korean Statistical Society 0.052 0.035 Journal of the Learning Sciences 1.909 0.070 Journal of the Lepidopterists' Society 0.124 0.041 Journal of the Liaquat University of Medical and Health Sciences 0.025 0.035 Journal of the London Mathematical Society 0.800 0.050 Journal of the Marine Biological Association of the United Kingdom 0.401 0.061 Journal of the Massachusetts Dental Society 0.025 0.038 Journal of the Mathematical Society of Japan 0.531 0.042 Journal of the Mechanical Behavior of Biomedical Materials 0.877 0.185 Journal of the Mechanics and Physics of Solids 1.639 0.200 Journal of the Medical Association of Georgia 0.000 0.034 Journal of the Medical Association of Thailand = Chotmaihet thangphaet 0.165 0.061 Journal of the Medical Library Association 0.537 0.076 Journal of the Medical Society of Toho University 0.044 0.036 Journal of the Meteorological Society of Japan 0.562 0.105 Journal of the Midwest Modern Language Association 0.000 0.034 Journal of the Mine Ventilation Society of South Africa 0.034 Journal of the Mississippi State Medical Association 0.019 0.036 Journal of the National Cancer Institute 1.884 1.511 Journal of the National Cancer Institute. Monographs 0.602 0.259 Journal of the National Institute of Information and Communications Technology 0.000 0.034 Journal of the National Medical Association 0.274 0.105 Journal of the National Science Foundation of Sri Lanka 0.000 0.034 Journal of the Neurological Sciences 0.558 0.242 Journal of the New England Water Works Association 0.010 0.037 Journal of the New Jersey Dental Association 0.042 0.034 Journal of the New Zealand Society of Periodontology 0.034 Journal of the North American Benthological Society 1.013 0.092 Journal of the Operational Research Society 0.903 0.051 Journal of the Operations Research Society of Japan 0.196 0.036 Journal of the Optical Society of America A: Optics and Image Science, and Vision 0.880 0.164 Journal of the Optical Society of America B: Optical Physics 0.911 0.212 Journal of the Osaka City Medical Center 0.034 Journal of the Pakistan Medical Association 0.192 0.055 Journal of the Peripheral Nervous System 0.676 0.345 Journal of the Philosophy of History 0.034 Journal of the Philosophy of Sport 0.000 0.034 Journal of the Physical Society of Japan 0.108 0.048 Journal of the Polynesian Society 0.384 0.035 Journal of the Professional Association for Cactus Development 0.000 0.034 Journal of the Royal Anthropological Institute 0.406 0.037 Journal of the Royal Army Medical Corps 0.072 0.044 Journal of the Royal Musical Association 0.034 Journal of the Royal Naval Medical Service 0.054 0.037 Journal of the Royal Society Interface 0.953 0.427 Journal of the Royal Society of Medicine 0.406 0.109 Journal of the Royal Society of Medicine, Supplement 0.035 0.048 Journal of the Royal Society of New Zealand 0.236 0.046 Journal of the Royal Society of Western Australia 0.276 0.044 Journal of the Royal Statistical Society. Series A: Statistics in Society 0.987 0.122 Journal of the Royal Statistical Society. Series B: Statistical Methodology 1.811 0.289 Journal of the Royal Statistical Society. Series C: Applied Statistics 0.581 0.140 Journal of the Science of Food and Agriculture 0.687 0.088 Journal of the Serbian Chemical Society 0.216 0.054 Journal of the Showa Medical Association 0.000 0.034 Journal of the Social Sciences 0.000 0.034 Journal of the Society for Information Display 0.284 0.082 Journal of the Society for Integrative Oncology 0.146 0.121 Journal of the Society of Architectural Historians 0.134 0.034 Journal of the Society of Archivists 0.222 0.034 Journal of the Society of Leather Technologies and Chemists 0.283 0.047 Journal of The South African Institute of Mining and Metallurgy 0.186 0.037 Journal of the South African Institution of Civil Engineering 0.279 0.038 Journal of the South African Veterinary Association 0.069 0.040 Journal of the South Carolina Medical Association (1975) 0.108 0.094 Journal of the Southwest 0.000 0.034 Journal of the Taiwan Institute of Chemical Engineers 0.389 0.076 Journal of the Textile Association 0.123 0.035 Journal of the Textile Institute 0.375 0.042 Journal of the Torrey Botanical Society 0.477 0.076 Journal of the Turkish German Gynecology Association Artemis 0.040 0.037 Journal of the Wakayama Medical Society 0.032 0.035 Journal of the Warburg and Courtauld Institutes 0.000 0.034 Journal of the World Aquaculture Society 0.497 0.051 Journal of Theoretical and Applied Electronic Commerce Research 0.417 0.043 Journal of Theoretical and Computational Chemistry 0.243 0.059 Journal of Theoretical Biology 0.656 0.293 Journal of Theoretical Politics 0.575 0.049 Journal of Theoretical Probability 0.625 0.045 Journal of Thermal Analysis and Calorimetry 0.692 0.072 Journal of Thermal Biology 0.451 0.075 Journal of Thermal Science 0.256 0.041 Journal of Thermal Spray Technology 0.496 0.109 Journal of Thermal Stresses 0.649 0.056 Journal of Thermophysics and Heat Transfer 0.743 0.060 Journal of Thermoplastic Composite Materials 0.551 0.056 Journal of Third World Studies 0.000 0.034 Journal of Thoracic and Cardiovascular Surgery 0.701 0.274 Journal of Thoracic Imaging 0.421 0.138 Journal of Thoracic Oncology 0.551 0.365 Journal of thrombosis and haemostasis : JTH 1.241 0.710 Journal of Thrombosis and Thrombolysis 0.565 0.245 Journal of Tianjin Polytechnic University 0.013 0.034 Journal of Time Series Analysis 0.913 0.059 Journal of tissue engineering and regenerative medicine 0.557 0.246 Journal of tissue viability 0.161 0.085 Journal of Tokyo Medical College 0.012 0.035 Journal of Tongji Medical University = Tong ji yi ke da xue xue bao 0.066 0.047 Journal of Toxicologic Pathology 0.073 0.052 Journal of Toxicological Sciences 0.403 0.145 Journal of Toxicology and Environmental Health - Part A 0.415 0.133 Journal of Toxicology and Environmental Health - Part B: Critical Reviews 0.928 0.355 Journal of trace elements in medicine and biology : organ of the Society for Minerals and Trace Elements (GMS) 0.545 0.131 Journal of Traditional Chinese Medicine 0.038 0.037 Journal of traditional Chinese medicine = Chung i tsa chih ying wen pan / sponsored by All-China Association of Traditional Chinese Medicine, Academy of Traditional Chinese Medicine 0.000 0.035 Journal of Traffic and Transportation Engineering 0.566 0.067 Journal of transcultural nursing : official journal of the Transcultural Nursing Society / Transcultural Nursing Society 0.509 0.061 Journal of Transformative Education 0.125 0.034 Journal of Translational Medicine 0.553 0.418 Journal of Transnational Management 0.011 0.034 Journal of Transport Economics and Policy 0.562 0.044 Journal of Transport Geography 0.778 0.053 Journal of Transport History 0.014 0.035 Journal of Transportation Engineering 0.567 0.047 Journal of Transportation Systems Engineering and Information Technology 0.098 0.039 Journal of Trauma and Dissociation 0.381 0.093 Journal of trauma nursing : the official journal of the Society of Trauma Nurses 0.052 0.042 Journal of Trauma Practice 0.098 0.041 Journal of Traumatic Stress 0.798 0.127 Journal of Travel and Tourism Marketing 0.201 0.038 Journal of Travel Medicine 0.492 0.123 Journal of Travel Research 1.005 0.045 Journal of Tribology 0.797 0.078 Journal of Tropical Agriculture 0.253 0.036 Journal of Tropical Ecology 0.538 0.072 Journal of Tropical Forest Science 0.078 0.037 Journal of Tropical Pediatrics 0.364 0.129 Journal of Turbomachinery 0.558 0.048 Journal of Turbulence 0.398 0.060 Journal of Ultrasound 0.025 0.039 Journal of Ultrasound in Medicine 0.501 0.120 Journal of Undergraduate Neuroscience Education 0.000 0.034 Journal of Universal Computer Science 0.270 0.041 Journal of UOEH 0.063 0.044 Journal of Urban Affairs 1.020 0.044 Journal of Urban Design 0.578 0.044 Journal of Urban Economics 0.878 0.065 Journal of Urban Health 0.783 0.160 Journal of Urban History 0.241 0.035 Journal of Urban Planning and Development 0.480 0.048 Journal of Urban Technology 0.093 0.035 Journal of Urology 1.069 0.483 Journal of Vacuum Science and Technology A: Vacuum, Surfaces and Films 0.644 0.111 Journal of Vacuum Science and Technology B: Microelectronics and Nanometer Structures 0.575 0.120 Journal of Value Inquiry 0.050 0.035 Journal of Vascular Access 0.125 0.072 Journal of Vascular and Interventional Radiology 0.939 0.201 Journal of vascular nursing : official publication of the Society for Peripheral Vascular Nursing 0.189 0.057 Journal of Vascular Research 0.429 0.255 Journal of Vascular Surgery 1.279 0.368 Journal of Vector Borne Diseases 0.299 0.121 Journal of Vector Ecology 0.396 0.087 Journal of Vegetation Science 0.919 0.106 Journal of Venomous Animals and Toxins Including Tropical Diseases 0.168 0.044 Journal of Vertebrate Paleontology 0.478 0.093 Journal of Vestibular Research: Equilibrium and Orientation 0.440 0.093 Journal of Veterinary Behavior: Clinical Applications and Research 0.183 0.041 Journal of Veterinary Cardiology 0.441 0.056 Journal of Veterinary Clinics 0.029 0.034 Journal of Veterinary Dentistry 0.247 0.037 Journal of Veterinary Diagnostic Investigation 0.610 0.112 Journal of Veterinary Emergency and Critical Care 0.430 0.053 Journal of Veterinary Internal Medicine 0.874 0.099 Journal of Veterinary Medical Education 0.289 0.048 Journal of Veterinary Medical Science 0.335 0.081 Journal of Veterinary Pharmacology and Therapeutics 0.723 0.098 Journal of veterinary science (Suwon-si, Korea) 0.354 0.090 Journal of Vibration and Acoustics, Transactions of the ASME 0.669 0.050 Journal of Vibration and Shock 0.138 0.037 Journal of Vibroengineering 0.147 0.035 Journal of Vinyl and Additive Technology 0.142 0.050 Journal of Viral Hepatitis 0.744 0.377 Journal of Virological Methods 0.810 0.240 Journal of Virology 1.068 1.060 Journal of Vision 0.653 0.208 Journal of Visual Anthropology 0.000 0.034 Journal of Visual Communication and Image Representation 0.874 0.068 Journal of visual communication in medicine. 0.000 0.034 Journal of Visual Culture 0.011 0.034 Journal of Visual Impairment and Blindness 0.219 0.047 Journal of Visual Languages and Computing 0.581 0.047 Journal of Visualization 0.427 0.052 Journal of visualized experiments : JoVE 0.078 0.058 Journal of Vocational Behavior 1.294 0.059 Journal of Vocational Rehabilitation 0.291 0.041 Journal of Voice 0.945 0.112 Journal of Volcanology and Geothermal Research 0.755 0.085 Journal of Volcanology and Seismology 0.021 0.034 Journal of Water Chemistry and Technology 0.023 0.034 Journal of Water Law 0.034 Journal of Water Resources Planning and Management 0.686 0.050 Journal of Water Supply: Research and Technology - AQUA 0.373 0.066 Journal of Waterway, Port, Coastal and Ocean Engineering 0.653 0.065 Journal of Wealth Management 0.085 0.034 Journal of Whiplash and Related Disorders 0.090 0.043 Journal of Wildlife Diseases 0.454 0.115 Journal of Wildlife Management 0.530 0.076 Journal of Wildlife Rehabilitation 0.000 0.034 Journal of Wind Engineering and Industrial Aerodynamics 0.782 0.065 Journal of Wine Research 0.299 0.048 Journal of Women and Aging 0.343 0.051 Journal of Women and Minorities in Science and Engineering 0.121 0.034 Journal of Women, Politics and Policy 0.218 0.034 Journal of Women's Health 0.635 0.172 Journal of women's history 0.207 0.035 Journal of Wood Chemistry and Technology 0.609 0.096 Journal of Wood Science 0.383 0.058 Journal of Workplace Behavioral Health 0.097 0.034 Journal of Workplace Learning 0.296 0.041 Journal of World Business 1.120 0.047 Journal of World History 0.173 0.034 Journal of World Prehistory 0.816 1.379 Journal of World Trade 0.201 0.035 Journal of wound care 0.360 0.067 Journal of Wound, Ostomy and Continence Nursing 0.230 0.061 Journal of X-Ray Science and Technology 0.276 0.050 Journal of Youth and Adolescence 0.825 0.067 Journal of Youth Studies 0.511 0.046 Journal of Zhejiang University. Science. B. 0.325 0.086 Journal of Zhejiang University: Science A 0.133 0.041 Journal of Zoo and Wildlife Medicine 0.189 0.063 Journal of Zoological Systematics and Evolutionary Research 0.643 0.102 Journal of Zoology 0.716 0.094 Journal on Chain and Network Science 0.232 0.037 Journal on Information Technology in Healthcare 0.062 0.036 Journal Wuhan University of Technology, Materials Science Edition 0.054 0.038 Journal, Indian Academy of Clinical Medicine 0.051 0.037 Journalism 0.443 0.037 Journalism and Mass Communication Quaterly 0.368 0.051 Journals of Gerontology - Series A Biological Sciences and Medical Sciences 0.936 0.342 Journals of Gerontology - Series B Psychological Sciences and Social Sciences 1.118 0.138 Journes annuelles de diabtologie de l'Htel-Dieu 0.008 0.036 JPT, Journal of Petroleum Technology 0.032 0.034 JRAAS - Journal of the Renin-Angiotensin-Aldosterone System 0.389 0.174 JSLS : Journal of the Society of Laparoendoscopic Surgeons / Society of Laparoendoscopic Surgeons 0.293 0.115 JSME International Journal, Series A: Solid Mechanics and Material Engineering 0.188 0.042 JSME International Journal, Series B: Fluids and Thermal Engineering 0.110 0.038 JSME International Journal, Series C: Mechanical Systems, Machine Elements and Manufacturing 0.196 0.061 Judicature 0.218 0.036 Jurnal Pengurusan 0.034 Justice Quarterly 1.095 0.050 Justice System Journal 0.025 0.034 Juvenile and Family Court Journal 0.036 0.035 JVC/Journal of Vibration and Control 0.664 0.054 Kagaku Kogaku Ronbunshu 0.091 0.040 Kagakushi kenkyu. [Journal of the history of science, Japan 0.035 Kaibogaku zasshi. Journal of anatomy 0.103 0.041 Kakuigaku 0.055 0.046 Kami Pa Gikyoshi/Japan Tappi Journal 0.031 0.036 Kang T'ieh/Iron and Steel (Peking) 0.257 0.041 Kansenshogaku zasshi. The Journal of the Japanese Association for Infectious Diseases 0.057 0.043 Kantian Review 0.000 0.034 Kant-Studien 0.034 Kao Neng Wu Li Yu Ho Wu Li/High Energy Physics and Nuclear Physics 0.080 0.037 Kao Teng Hsueh Hsiao Hua Heush Hsueh Pao/ Chemical Journal of Chinese Universities 0.208 0.053 Kardiologia 0.034 Kardiologia Polska 0.153 0.062 Kardiologiya 0.009 0.035 Kardiotechnik 0.034 Kartografija i Geoinformacije 0.034 Kasetsart Journal - Natural Science 0.067 0.036 Kasetsart Journal - Social Sciences 0.034 Kasmera 0.034 Kathmandu University medical journal (KUMJ). 0.046 0.039 Keats-Shelley Journal 0.034 Keats-Shelley Review 0.034 Keikinzoku Yosetsu/Journal of Light Metal Welding and Construction 0.117 0.034 Keikinzoku/Journal of Japan Institute of Light Metals 0.114 0.038 Keio Journal of Medicine 0.370 0.219 Kekkaku 0.063 0.057 Kemija u industriji/Journal of Chemists and Chemical Engineers 0.037 0.039 Kennedy Institute of Ethics journal 0.288 0.061 Kentucky nurse 0.006 0.035 Kenyon Review 0.034 Keramische Zeitschrift 0.055 0.036 Kerntechnik 0.041 0.036 Kew Bulletin 0.145 0.044 Key Engineering Materials 0.092 0.041 KGK-Kautschuk und Gummi Kunststoffe 0.186 0.043 Khimicheskoe I Neftegazovoe Mashinostroenie 0.115 0.037 Khimiya Geterotsiklicheskikh Soedinenii 0.202 0.035 Khirurgiia 0.013 0.034 Kidney and Blood Pressure Research 0.309 0.191 Kidney International 1.202 0.577 Kidney International, Supplement 0.304 0.120 Kieler Milchwirtschaftliche Forschungsberichte 0.055 0.035 KIM - Komplementare und Integrative Medizin, Artztezeitschrift fur Naturheilverfahren 0.004 0.034 Kinderanalyse 0.325 0.034 Kinderkrankenschwester : Organ der Sektion Kinderkrankenpflege / Deutsche Gesellschaft fr Sozialpdiatrie und Deutsche Gesellschaft fr Kinderheilkunde 0.001 0.034 Kindheit und Entwicklung 0.641 0.067 Kinesitherapie 0.048 0.034 Kinetics and Catalysis 0.160 0.063 Kinetoplastid Biology and Disease 0.222 0.095 King Fahd University of Petroleum and Minerals Research Institute Annual Catalysts in Petroleum Refining and Petrochemicals Symposium Papers 0.034 Kitakanto Medical Journal 0.000 0.034 Kleintierpraxis 0.122 0.037 Klinicheskaia laboratornaia diagnostika 0.014 0.035 Klinicheskaia meditsina 0.012 0.037 Klinichna khirurhiia / Ministerstvo okhorony zdorov"ia Ukrainy, Naukove tovarystvo khirurhiv Ukrainy 0.006 0.034 Klinicka Imunologia a Alergologia 0.034 Klinicka Mikrobiologie a Infekcni Lekarstvi 0.075 0.044 Klinicka Onkologie 0.083 0.039 Klinik Psikofarmakoloji Bulteni 0.142 0.035 Klinika oczna 0.051 0.041 Klinikarzt 0.055 0.036 Klinische Monatsblatter fur Augenheilkunde 0.119 0.056 Klinische Neurophysiologie 0.069 0.039 Klinische Neuroradiologie 0.065 0.053 Klinische Padiatrie 0.160 0.081 Klio 0.034 Knee 0.628 0.099 Knee Surgery, Sports Traumatology, Arthroscopy 0.738 0.122 Knowledge and Information Systems 1.100 0.067 Knowledge and Management of Aquatic Ecosystems 0.070 0.036 Knowledge Engineering Review 0.568 0.051 Knowledge Management Research and Practice 0.226 0.043 Knowledge Organization 0.374 0.043 Knowledge-Based Systems 0.596 0.048 Kobunshi Ronbunshu 0.069 0.043 Koedoe 0.478 0.239 Kkby Gakkai zasshi. The Journal of the Stomatological Society, Japan 0.028 0.035 Kokuritsu Iyakuhin Shokuhin Eisei Kenkyjo hkoku = Bulletin of National Institute of Health Sciences 0.070 0.045 Kolner Zeitschrift fur Soziologie und Sozialpsychologie 0.388 0.038 Komunikacie 0.034 Kongqi Donglixue Xuebao/Acta Aerodynamica Sinica 0.272 0.039 Kongzhi Lilun Yu Yinyong/Control Theory and Applications 0.432 0.048 Kongzhi yu Juece/Control and Decision 0.390 0.044 Konsthistorisk Tidskrift 0.123 0.034 Konstruktion: Organ Der VDI Gesellschaft Konstruktion und Entwicklung 0.000 0.033 Korea Australia Rheology Journal 0.508 0.076 Korea journal 0.000 0.034 Korea Observer 0.040 0.034 Korean Circulation Journal 0.103 0.040 Korean Journal for Food Science of Animal Resources 0.226 0.037 Korean Journal of Chemical Engineering 0.347 0.063 Korean Journal of Defense Analysis 0.100 0.035 Korean Journal of Dermatology 0.052 0.036 Korean Journal of Laboratory Medicine 0.016 0.043 Korean Journal of Materials Research 0.048 0.037 Korean Journal of Medical Mycology 0.153 0.037 Korean Journal of Microbiology 0.026 0.034 Korean Journal of Microbiology and Biotechnology 0.129 0.039 Korean journal of ophthalmology : KJO 0.152 0.061 Korean Journal of Pathology 0.056 0.035 Korean Journal of Pharmacognosy 0.040 0.037 Korean Journal of Physiology and Pharmacology 0.078 0.042 Korean Journal of Radiology 0.342 0.093 Korean Journal of Urology 0.194 0.044 Korrozios Figyelo 0.000 0.034 KOS 0.035 Kosmetische Medizin 0.047 0.038 Kovove Materialy 0.320 0.049 Krankenhaushygiene und Infektionsverhutung 0.000 0.034 Krankenhauspharmazie 0.191 0.041 Krankenpflege. Soins infirmiers 0.002 0.034 Kriminalistik 0.049 0.034 Kriterion 0.034 Kritika 0.000 0.034 Kritische Berichte 0.034 KronoScope 0.034 KSII Transactions on Internet and Information Systems 0.303 0.036 K-Theory 0.384 0.042 Kuangwu Yanshi/Journal of Mineralogy and Petrology 0.049 0.035 Kuei Suan Jen Hsueh Pao/ Journal of the Chinese Ceramic Society 0.274 0.042 Kulak burun bogaz ihtisas dergisi : KBB = Journal of ear, nose, and throat 0.150 0.040 Kung Cheng Je Wu Li Hsueh Pao/Journal of Engineering Thermophysics 0.250 0.043 Kung Yeh Kung Chieng Hsueh K'an/Journal of the Chinese Institute of Industrial Engineers 0.248 0.037 KURRI Progress Report 0.034 Kurume Medical Journal 0.150 0.060 Kuste 0.034 Kwartalnik historii nauki i techniki - Kwartal'nyi zhurnal istorii nauki i tekhniki - 0.034 Kybernetes 0.112 0.039 Kybernetika 0.366 0.045 Kyklos 0.982 0.053 Kyobu geka. The Japanese journal of thoracic surgery 0.047 0.044 Kyokai Joho Imeji Zasshi/Journal of the Institute of Image Information and Television Engineers 0.058 0.036 Kyungpook Mathematical Journal 0.147 0.035 Kyushu Journal of Mathematics 0.349 0.043 L' Orthodontie franaise 0.122 0.034 L.O.G.O.S. Interdisziplinair 0.164 0.035 La Chirurgia degli organi di movimento 0.082 0.037 La Mer 0.080 0.036 La Revue du praticien 0.068 0.043 La Tunisie mdicale 0.059 0.039 Lab Animal 0.099 0.057 Lab on a Chip - Miniaturisation for Chemistry and Biology 1.553 0.564 Labor History 0.271 0.035 Labor Studies Journal 0.180 0.036 Laboratoriums Medizin 0.076 0.044 Laboratory Animals 0.605 0.134 Laboratory Equipment 0.034 Laboratory Hematology 0.243 0.117 Laboratory Investigation 0.908 0.695 Laboratory Medicine 0.063 0.049 Labour 0.455 0.039 Labour Economics 1.071 0.052 Labour history 0.677 0.039 Labour, Capital and Society 0.000 0.034 Labour/ Le Travail 0.203 0.035 Laeknabladid 0.044 0.040 Lakartidningen 0.055 0.039 Lake and Reservoir Management 0.268 0.055 Lakes and Reservoirs: Research and Management 0.395 0.045 Lancet 2.623 1.309 Lancet Infectious Diseases 2.257 1.082 Lancet Neurology 2.619 1.489 Lancet Oncology 1.642 0.939 Land Contamination and Reclamation 0.021 0.035 Land Degradation and Development 0.542 0.053 Land Economics 1.200 0.059 Land Reform, Land Settlement and Cooperatives 0.000 0.034 Land Use Policy 1.223 0.071 Landbauforschung Volkenrode 0.248 0.046 Landfall 0.034 Landscape and Ecological Engineering 0.306 0.047 Landscape and Urban Planning 1.073 0.098 Landscape Architecture 0.019 0.034 Landscape Ecology 1.054 0.126 Landscape History 0.034 Landscape Research 0.477 0.061 Landscapes 0.034 Landschap 0.106 0.037 Landslides 0.519 0.057 Langage et Societe 0.142 #N/A Langages 0.000 0.034 Langenbeck's Archives of Surgery 0.578 0.168 Langmuir 1.169 0.352 Language 1.332 0.077 Language Acquisition 0.263 0.043 Language and Cognitive Processes 0.623 0.099 Language and Communication 0.369 0.036 Language and Education 0.567 0.038 Language and Intercultural Communication 0.139 #N/A Language and Literature 0.218 0.036 Language and Speech 0.375 0.047 Language Awareness 0.044 #N/A Language in Society 1.103 0.060 Language Learning 0.584 0.050 Language Learning and Technology 1.268 0.041 Language Learning Journal 0.358 0.034 Language Policy 0.324 0.035 Language Resources and Evaluation 0.112 0.040 Language Sciences 0.459 0.038 Language Teaching Research 0.670 0.039 Language Testing 1.134 0.042 Language Variation and Change 0.443 0.042 Language, Culture and Curriculum 0.467 0.036 Language, Speech, and Hearing Services in Schools 0.843 0.055 Langue Francaise 0.113 0.035 Larmbekampfung 0.073 0.036 Laryngo- Rhino- Otologie 0.229 0.052 Laryngoscope 0.925 0.175 Laser and Particle Beams 0.657 0.096 Laser and Photonics Reviews 1.327 0.480 Laser Chemistry 0.181 0.050 Laser Physics 0.249 0.072 Laser Physics Letters 0.764 0.130 Lasers in Engineering 0.185 0.041 Lasers in Medical Science 0.728 0.146 Lasers in Surgery and Medicine 1.317 0.232 Laterality 0.574 0.107 Latin American Antiquity 0.265 0.045 Latin American Applied Research 0.156 0.045 Latin American Business Review 0.033 0.034 Latin American Journal of Aquatic Research 0.093 0.035 Latin American Journal of Solids and Structures 0.127 0.037 Latin American Music Review - Revista de Musica Latinoamericana 0.034 Latin American Perspectives 0.211 0.037 Latin American Politics and Society 0.722 0.042 Latin American Research Review 0.634 0.039 Latomus 0.034 Laval Theologique et Philosophique 0.034 Law and Contemporary Problems 0.277 0.035 Law and Critique 0.143 0.037 Law and History Review 0.517 0.034 Law and Human Behavior 1.097 0.087 Law and Philosophy 0.519 0.034 Law and Policy 0.643 0.040 Law and Practice of International Courts and Tribunals 0.034 Law and Social Inquiry 0.469 0.038 Law and Society Review 1.267 0.043 Law and the human genome review = Revista de derecho y genoma humano / Chair in Law and the Human Genome, BBV Foundation- Provincial Government of Biscay, University of Deusto. 0.000 0.034 Law Library Journal 0.073 0.035 Law, Culture and the Humanities 0.034 Law, Science and Policy 0.744 0.043 Lazaroa 0.000 0.034 LC-GC Europe 0.049 0.050 LC-GC North America 0.045 0.053 LDA journal 0.034 LDI issue brief [electronic resource] 0.160 0.043 Le courrier des pays de l'Est / publi avec le concours du Centre de documentation sur l'U.R.S.S. et les pays slaves de l'Ecole pratique des hautes tudes 0.034 Le journal des mdecines cuniformes 0.034 Le Journal mdical libanais. The Lebanese medical journal 0.046 0.040 Le Mali mdical 0.035 0.036 Leadership 0.206 0.037 Leadership and Management in Engineering 0.075 0.035 Leadership and Organization Development Journal 0.202 0.039 Leadership Quarterly 1.503 0.065 Leading Edge (Tulsa, OK) 0.403 0.053 Learned Publishing 0.153 0.043 Learning and Behavior 0.751 0.151 Learning and Individual Differences 0.636 0.067 Learning and Instruction 1.811 0.065 Learning and Motivation 0.479 0.078 Learning Disability Quarterly 0.515 0.044 Learning Environments Research 0.946 0.043 Learning Inquiry 0.284 0.036 Learning Memory 1.009 0.718 Learning Organization 0.302 0.041 Learning, Media and Technology 0.645 0.042 Lecture Notes in Applied and Computational Mechanics 0.090 0.037 Lecture Notes in Computer Science (including subseries Lecture Notes in Artificial Intelligence and Lecture Notes in Bioinformatics) 0.130 0.041 Lecture Notes in Control and Information Sciences 0.088 0.038 LECTURE NOTES IN ECONOMICS AND MATHEMATICAL SYSTEMS 0.146 0.040 Legal and Criminological Psychology 0.597 0.058 Legal Medicine 0.699 0.094 Legal Reference Services Quarterly 0.034 Lege Artis Medicinae 0.005 0.035 Legislative Studies Quarterly 0.696 0.043 Leisure Sciences 0.725 0.045 Leisure Studies 0.521 0.040 Lekarsky Obzor 0.000 0.033 Leonardo 0.000 0.034 Leonardo Electronic Journal of Practices and Technologies 0.034 LEOS Summer Topical Meeting 0.035 0.042 Leprosy Review 0.354 0.083 Lethaia 0.521 0.143 Lettere Italiane 0.034 Letters in Applied Microbiology 0.645 0.128 Letters in Drug Design and Discovery 0.157 0.061 Letters in Mathematical Physics 0.546 0.053 Letters in Organic Chemistry 0.156 0.068 Letters in Spatial and Resource Sciences 0.034 Lettre de Medecine Physique et de Readaptation 0.061 0.035 Lettres Romanes 0.034 Leukemia 1.093 1.073 Leukemia Research 0.406 0.287 Levant 0.034 Lexikos 0.152 0.035 LIA Today 0.034 Liaoning Gongcheng Jishu Daxue Xuebao (Ziran Kexue Ban)/Journal of Liaoning Technical University (Natural Science Edition) 0.082 0.035 Lias 0.034 Liberte 0.034 Libraries and the Cultural Record 0.351 #N/A Library 0.237 0.034 Library Administration and Management 0.041 0.036 Library and Archival Security 0.034 Library and Information Science 0.034 Library and Information Science Research 0.289 0.058 Library Collections, Acquisition and Technical Services 0.153 0.041 Library Hi Tech 0.223 0.040 Library Hi Tech News 0.011 0.034 Library Journal 0.080 0.036 Library Management 0.159 0.039 Library Philosophy and Practice 0.051 0.035 Library Quarterly 0.121 0.041 Library Resources and Technical Services 0.155 0.040 Library Review 0.159 0.038 Library Trends 0.147 0.036 Libres 0.035 0.035 Libri 0.140 0.041 Libri Oncologici 0.034 Lichenologist 0.486 0.058 Lied und Populare Kultur 0.034 Life Science Journal 0.034 Life Sciences 0.711 0.253 Lifetime Data Analysis 0.505 0.083 Lighting Research and Technology 0.432 0.059 Lijecnicki vjesnik 0.049 0.040 Likars'ka sprava / Ministerstvo okhorony zdorov'ia Ukrany 0.006 0.034 Limnetica 0.128 0.044 Limnologica 0.709 0.063 Limnology 0.551 0.055 Limnology and Oceanography 1.396 0.309 Limnology and Oceanography Bulletin 0.034 Limnology and Oceanography: Methods 0.431 0.126 Limosa 0.043 0.038 Lindbergia 0.188 0.042 Linear Algebra and Its Applications 0.771 0.059 Linear and Multilinear Algebra 0.667 0.053 Lingua 0.265 0.044 Lingua e Stile 0.034 Lingua Nostra 0.034 Linguistic Inquiry 1.032 0.044 Linguistic Review 0.438 0.042 Linguistic Typology 0.355 0.035 Linguistics 0.459 0.036 Linguistics and Education 0.477 0.036 Linguistics and Language Compass 0.067 0.051 Linguistics and Philosophy 1.031 0.046 Linguistique 0.079 0.034 Lion and the Unicorn 0.034 Lipids 0.573 0.169 Lipids in Health and Disease 0.436 0.208 Liquid Crystals 0.326 0.082 Listy Cukrovarnicke a Reparske 0.267 0.043 Literacy 0.544 0.041 Literacy Research and Instruction 0.036 Literary and Linguistics Computing 0.195 0.040 Literary Review 0.034 Literature and History 0.132 0.034 Literature and medicine 0.177 0.066 Literature-Film Quarterly 0.099 0.034 Lithology and Mineral Resources 0.048 0.037 Lithos 0.890 0.103 Lithuanian Mathematical Journal 0.304 0.038 Litterature 0.000 0.034 Litteratures 0.034 Liver International 0.651 0.312 Liver Transplantation 0.795 0.363 Liverpool Law Review 0.089 0.036 Livestock Research for Rural Development 0.147 0.036 Livestock Science 0.677 0.065 Living Reviews in Relativity 1.237 0.187 Lixue Xuebao/Chinese Journal of Theoretical and Applied Mechanics 0.444 0.047 Lizi Jiaohuan Yu Xifu/Ion Exchange and Adsorption 0.059 0.037 Lobachevskii Journal of Mathematics 0.243 0.038 Local Economy 0.212 0.038 Local Environment 0.329 0.042 Local Government Studies 0.443 0.041 Local Population Studies 0.181 0.034 Logic Journal of the IGPL 0.228 0.043 Logica Universalis 1.522 0.040 Logique et Analyse 0.388 0.038 Logopedics Phoniatrics Vocology 0.663 0.076 Logos - Journal of Catholic Thought and Culture 0.000 0.034 Loisir et Societe 0.000 0.034 London Journal 0.066 0.036 London Journal of Primary Care 0.035 London Review of Education 0.339 0.037 Long Range Planning 1.141 0.049 Louisiana Law Review 0.000 0.034 Louvain Medical 0.026 0.034 Lowland Technology International 0.260 0.036 LPN 0.034 Lua Nova 0.034 Lubrication Science 0.395 0.053 Luminescence 0.338 0.096 Lundiana 0.052 0.039 LUNDQUA Thesis - Lund University, Department of Quaternary Geology 0.034 Lung 0.477 0.181 Lung Cancer 0.787 0.410 Lupus 0.660 0.290 Lurralde: Investigacion y Espacio 0.034 Lusotopie 0.034 Luzifer-Amor : Zeitschrift zur Geschichte der Psychoanalyse 0.046 0.034 LWT - Food Science and Technology 0.937 0.097 Lymphatic research and biology 0.612 0.254 Lymphologie in Forschung und Praxis 0.284 0.039 Lymphology 0.475 0.263 M et T2 0.033 Macedonian Journal of Chemistry and Chemical Engineering 0.034 Machine Graphics and Vision 0.020 0.035 Machine Learning 1.074 0.094 Machine Translation 0.426 0.036 Machine Vision and Applications 0.605 0.050 Machining Science and Technology 0.375 0.051 Macroeconomic Dynamics 0.546 0.042 Macromolecular Bioscience 0.843 0.239 Macromolecular Chemistry and Physics 0.672 0.193 Macromolecular Materials and Engineering 0.709 0.123 Macromolecular Rapid Communications 0.982 0.300 Macromolecular Reaction Engineering 0.249 0.083 Macromolecular Research 0.628 0.112 Macromolecular Symposia 0.284 0.080 Macromolecular Theory and Simulations 0.610 0.134 Macromolecules 1.172 0.365 Madencilik 0.000 0.034 Maderas: Ciencia y Tecnologia 0.120 0.041 Magallania 0.034 Magazine of Concrete Research 0.396 0.044 Maghreb - Machrek 0.034 Magn Reson Med Sci 0.519 0.167 Magnesium Research 0.448 0.151 Magnetic Resonance Imaging 0.651 0.235 Magnetic Resonance Imaging Clinics of North America 0.471 0.150 Magnetic Resonance in Chemistry 0.446 0.117 Magnetic Resonance in Medicine 1.145 0.406 Magnetic Resonance Materials in Physics, Biology, and Medicine 0.560 0.205 Magyar Allatorvosok Lapja 0.081 0.035 Magyar Geofizika 0.000 0.034 Magyar Noorvosok Lapja 0.020 0.034 Magyar Onkologia 0.043 0.048 Magyar Pszichologiai Szemle 0.083 0.034 Magyar Sebeszet 0.029 0.036 MAIA-Rivista di Letterature Classiche 0.034 Main Group Metal Chemistry 0.051 0.040 Mainzer Geowissenschaftliche Mitteilungen 0.000 0.034 Malacologia 0.258 0.046 Malaria Journal 0.682 0.298 Malawi medical journal : the journal of Medical Association of Malawi 0.127 0.037 Malayan Nature Journal 7.112 0.062 Malaysian Family Physician 0.038 0.041 Malaysian Forester 0.034 Malaysian Journal of Computer Science 0.309 0.034 Malaysian Journal of Economic Studies 0.034 Malaysian Journal of Library and Information Science 0.224 0.035 Malaysian Journal of Medical Sciences 0.028 0.034 Malaysian Journal of Medicine and Health Sciences 0.034 Malaysian Journal of Nutrition 0.027 0.034 Malaysian Journal of Science 0.015 0.037 Malta Medical Journal 0.034 Mammal Review 0.950 0.149 Mammal Study 0.379 0.053 Mammalia 0.278 0.046 Mammalian Biology 0.477 0.057 Mammalian Genome 0.557 0.716 Man in India 0.000 0.034 Mana: Estudos de Antropologia Social 0.296 0.035 Managed care (Langhorne, Pa.) 0.020 0.039 Managed care interface 0.124 0.062 Management 0.193 #N/A Management Accounting Research 0.789 0.047 Management and Organizational History 0.034 Management Communication Quarterly 0.283 #N/A Management Decision 0.226 0.038 Management in Education 0.132 0.036 Management International Review 0.728 0.043 Management Learning 0.975 0.050 Management of Environmental Quality 0.176 0.041 Management Science 1.894 0.082 Manager 0.034 Managerial and Decision Economics 0.387 0.044 Managerial Auditing Journal 0.146 0.036 Managing Service Quality 0.310 0.042 Manchester School 0.458 0.040 Mankind Quarterly 0.114 0.040 Manual Therapy 1.076 0.120 Manuelle Medizin 0.136 0.038 Manufacturing and Service Operations Management 1.629 0.064 Manufacturing Engineering 0.006 0.034 Manuscripta Mathematica 0.620 0.044 Mapfre Medicina 0.028 0.036 Mappemonde 0.000 0.034 Mari Papel y Corrugado 0.034 Marine and Freshwater Behaviour and Physiology 0.252 0.057 Marine and Freshwater Research 0.773 0.079 Marine and Petroleum Geology 0.957 0.062 Marine Biology 0.765 0.110 Marine Biology Research 0.349 0.064 Marine Biotechnology 0.763 0.151 Marine Chemistry 1.010 0.164 Marine Drugs 0.238 0.144 Marine Ecology 0.347 0.063 Marine Ecology Progress Series 0.924 0.154 Marine Environmental Research 0.612 0.096 Marine Fisheries Review 0.168 0.041 Marine Genomics 0.056 0.074 Marine Geodesy 0.702 0.059 Marine Geology 0.972 0.101 Marine Geophysical Researches 0.395 0.050 Marine Georesources and Geotechnology 0.143 0.038 Marine Mammal Science 0.623 0.101 Marine Micropaleontology 1.009 0.122 Marine Ornithology 0.222 0.066 Marine Policy 0.764 0.100 Marine Pollution Bulletin 0.998 0.119 Marine Resource Economics 0.034 Marine Structures 0.702 0.044 Marine Technology 0.209 0.036 Maritime Economics and Logistics 1.084 0.050 Maritime Engineering 0.300 0.037 Maritine Policy and Management 0.518 0.041 Marketing Health Services 0.000 0.034 Marketing Intelligence and Planning 0.315 0.042 Marketing Letters 0.696 0.056 Marketing Management 0.054 0.035 Marketing Research 0.106 0.036 Marketing Science 1.258 0.057 Marmara Medical Journal 0.044 0.039 Marriage and Family Review 0.226 0.039 Maryland medicine : MM : a publication of MEDCHI, the Maryland State Medical Society 0.008 0.038 Mass Communication Research 0.296 0.034 Mass Spectrometry Reviews 2.267 0.979 Massachusetts Review 0.069 0.034 Master Drawings 0.034 Mastozoologia Neotropical 0.111 0.035 Match 1.037 0.081 Matematica nella Societa e nella Cultura 0.000 0.034 Matematicki Vesnik 0.356 0.040 Material Religion 0.130 0.034 Materiale Plastice 0.271 0.038 Materiales de Construccion 0.417 0.044 Materiali in Tehnologije 0.159 0.040 Materialpruefung/Materials Testing 0.049 0.037 Materials and Corrosion - Werkstoffe und Korrosion 0.198 0.055 Materials and Design 1.167 0.000 Materials and Manufacturing Processes 0.388 0.065 Materials and Structures/Materiaux et Constructions 0.808 0.058 Materials at High Temperatures 0.091 0.038 Materials Characterization 0.711 0.095 Materials Chemistry and Physics 0.971 0.137 Materials Forum 0.043 0.036 Materials Letters 0.901 0.137 Materials management in health care 0.033 0.038 Materials Research 0.260 0.053 Materials Research Bulletin 0.964 0.138 Materials Research Innovations 0.479 0.101 Materials Research Society Symposium - Proceedings 0.031 0.037 Materials Science 0.044 0.036 Materials Science and Engineering A 1.040 0.129 Materials Science and Engineering B 0.866 0.127 Materials Science and Engineering R: Reports 4.896 0.939 Materials Science and Technology 0.478 0.079 Materials Science Forum 0.153 0.048 Materials Science in Semiconductor Processing 0.646 0.105 Materials Science- Poland 0.189 0.049 Materials Technology 0.057 0.038 Materials Today 2.972 1.169 Materials Transactions, JIM 0.426 0.076 Materials World 0.004 0.034 Materialwissenschaft und Werkstofftechnik 0.287 0.053 Maternal and child health journal 0.647 0.142 Maternal and Child Nutrition 0.425 0.116 Mathematica Scandinavica 0.453 0.038 Mathematica Slovaca 0.407 0.040 Mathematical and Computational Applications 0.203 0.036 Mathematical and Computer Modelling 0.798 0.065 Mathematical and Computer Modelling of Dynamical Systems 0.517 0.062 Mathematical Biosciences 0.566 0.110 Mathematical Biosciences and Engineering 0.627 0.070 Mathematical Finance 1.788 0.067 Mathematical Geosciences 0.865 0.055 Mathematical Inequalities and Applications 0.346 0.044 Mathematical Logic Quarterly 0.412 0.043 Mathematical Medicine and Biology 0.523 0.181 Mathematical Methods in Electromagnetic Theory, MMET, Conference Proceedings 0.023 0.036 Mathematical Methods in the Applied Sciences 0.656 0.052 Mathematical Methods of Operations Research 0.772 0.047 Mathematical Modeling and Analysis 0.163 0.042 Mathematical Modelling and Numerical Analysis 0.747 0.066 Mathematical Models and Methods in Applied Sciences 1.206 0.090 Mathematical Notes 0.107 0.036 Mathematical Physics Electronic Journal 0.790 0.042 Mathematical Physics, Analysis and Geometry 0.550 0.046 Mathematical Population Studies 0.284 0.056 Mathematical Problems in Engineering 0.192 0.041 Mathematical Proceedings of the Cambridge Philosophical Society 0.472 0.040 Mathematical Programming, Series B 2.239 0.083 Mathematical Research Letters 0.416 0.042 Mathematical social sciences 0.577 0.043 Mathematical Structures in Computer Science 0.711 0.061 Mathematics and Computers in Simulation 0.639 0.058 Mathematics and Financial Economics 0.290 0.041 Mathematics and Mechanics of Solids 0.559 0.053 Mathematics in Computer Science 0.333 0.045 Mathematics of Computation 1.154 0.067 Mathematics of Control, Signals, and Systems 0.968 0.071 Mathematics of Operations Research 1.086 0.064 Mathematika 0.108 0.034 Mathematische Annalen 1.006 0.055 Mathematische Nachrichten 0.546 0.045 Mathematische Semesterberichte 0.066 0.035 Mathematische Zeitschrift 0.719 0.050 Matrix Biology 0.780 0.601 Matronas Profesion 0.034 Maturitas 0.597 0.152 Maydica 0.111 0.047 Mayo Clinic health letter (English ed.) 0.003 0.034 Mayo Clinic Proceedings 1.123 0.498 Mayo Clinic women's healthsource 0.033 MCB Molecular and Cellular Biomechanics 0.113 0.082 McGill Journal of Medicine 0.015 0.035 McKinsey Quarterly 0.185 0.036 MCN. The American journal of maternal child nursing 0.243 0.050 Meanjin 0.033 Measurement and Control 0.110 0.040 Measurement and Evaluation in Counseling and Development 0.403 0.039 Measurement in Physical Education and Exercise Science 0.501 0.069 Measurement Science and Technology 0.659 0.098 Measurement Techniques 0.030 0.036 Measurement: Journal of the International Measurement Confederation 0.614 0.053 Measuring Business Excellence 0.317 0.038 Meat Science 1.186 0.084 Mecanique et Industries 0.035 0.035 Meccanica 0.510 0.052 Mechanical Systems and Signal Processing 2.289 0.106 Mechanics and Mechanical Engineering 0.000 0.034 Mechanics Based Design of Structures and Machines 0.183 0.038 Mechanics of Advanced Materials and Structures 0.450 0.058 Mechanics of Composite Materials 0.266 0.048 Mechanics of Materials 1.537 0.131 Mechanics Research Communications 0.833 0.075 Mechanics Time-Dependent Materials 0.473 0.067 Mechanika 0.400 0.044 Mechanism and Machine Theory 1.733 0.062 Mechanisms of Ageing and Development 0.706 0.645 Mechanisms of Development 0.582 0.850 Mechatronics 1.433 0.065 Meddelanden - Lunds Universitets Geografiska Institutioner, Avhandlingar 0.034 Medecine des Maladies Metaboliques 0.044 0.035 Medecine du Sommeil 0.034 Medecine et Chirurgie du Pied 0.034 Medecine et Droit 0.034 Medecine et Maladies Infectieuses 0.200 0.063 Medecine Nucleaire 0.042 0.038 Medecine Therapeutique 0.010 0.036 Medecine Therapeutique - Cardio 0.008 0.034 Medecine Therapeutique Medecine de la Reproduction 0.009 0.034 Medecine Therapeutique Pediatrie 0.049 0.035 Medecine Tropicale 0.091 0.043 Medecine/Sciences 0.087 0.065 MedGenMed [electronic resource] : medscape general medicine 0.253 0.108 Media Psychology 0.667 0.055 Media, Culture and Society 0.653 0.039 Media, War and Conflict 0.291 0.036 Mediators of Inflammation 0.444 0.165 Medica Jadertina 0.034 Medical and Biological Engineering and Computing 0.724 0.108 Medical and Veterinary Entomology 0.698 0.137 Medical anthropology quarterly 0.662 0.067 Medical Anthropology: Cross Cultural Studies in Health and Illness 0.597 0.065 Medical care 1.314 0.331 Medical Care Research and Review 0.994 0.248 Medical Clinics of North America 0.562 0.220 Medical decision making : an international journal of the Society for Medical Decision Making 1.100 0.230 Medical device technology 0.032 0.039 Medical Dosimetry 0.513 0.174 Medical economics 0.008 0.035 Medical Education 1.117 0.147 Medical Electronics Manufacturing 0.034 Medical Engineering and Physics 0.785 0.112 Medical Forum Monthly 0.034 Medical History 0.111 0.038 Medical Humanities 0.095 0.040 Medical Hypotheses 0.278 0.124 Medical Image Analysis 1.210 0.190 Medical image computing and computer-assisted intervention : MICCAI ... International Conference on Medical Image Computing and Computer-Assisted Intervention 0.249 #N/A Medical Journal Armed Forces India 0.040 0.037 Medical Journal of Malaysia 0.095 0.046 Medical Journal of Minami Osaka Hospital 0.000 0.034 Medical Journal of Wuhan University 0.014 0.034 Medical Laser Application 0.131 0.054 Medical Law International 0.091 0.075 Medical law review 0.433 0.053 Medical Letter on Drugs and Therapeutics 0.022 0.036 Medical Microbiology and Immunology 0.505 0.348 Medical Molecular Morphology 0.343 0.123 Medical Mycology 0.428 0.183 Medical Oncology 0.229 0.134 Medical Physics 1.143 0.251 Medical Principles and Practice 0.280 0.076 Medical Problems of Performing Artists 0.356 0.039 Medical reference services quarterly 0.131 0.046 Medical Science Monitor 0.438 0.122 Medical Teacher 0.472 0.076 MedicaMundi 0.000 0.034 Medicina 0.102 0.051 Medicina 0.034 Medicina 0.000 0.034 Medicina (Kaunas, Lithuania) 0.158 0.053 Medicina clnica 0.243 0.060 Medicina Clinica e Termale 0.000 0.034 Medicina Clinica Monografias 0.032 0.035 Medicina Cutanea Ibero-Latino-Americana 0.032 0.037 Medicina del Lavoro 0.156 0.049 Medicina dello Sport 0.011 0.034 Medicina e historia 0.039 Medicina Intensiva 0.199 0.052 Medicina Interna de Mexico 0.009 0.034 Medicina nei secoli 0.013 0.036 Medicina Oral, Patologia Oral y Cirugia Bucal 0.370 0.069 Medicina Paliativa 0.100 0.036 Medicina Preventiva 0.034 Medicinal chemistry 0.304 0.178 Medicinal Chemistry Research 0.090 0.042 Medicinal Research Reviews 1.916 0.791 Medicine 0.325 0.140 Medicine 0.121 0.043 Medicine and health, Rhode Island 0.019 0.040 Medicine and Law 0.131 0.055 Medicine and Science in Sports and Exercise 1.272 0.224 Medicine and sport science. 0.286 0.089 Medicine Today 0.074 0.038 Medicine, conflict, and survival 0.089 0.045 Medicine, health care, and philosophy 0.372 0.052 Medicine, Science and the Law 0.326 0.056 Medicinski arhiv 0.037 0.037 Medicinski Glasnik 0.046 0.034 Medicinski pregled 0.023 0.035 Medico e Bambino 0.010 0.034 Medico-Legal Update 0.000 0.034 Medicus 0.013 0.034 Medieval Archaeology 0.265 0.039 Medieval Encounters 0.034 Medieval History Journal 0.120 0.034 Medievalia et Humanistica 0.034 Medinfo. MEDINFO 0.127 0.050 Mediterranean Journal of Mathematics 0.570 0.045 Mediterranean Journal of Measurement and Control 0.174 0.040 Mediterranean Journal of Pacing and Electrophysiology 0.034 Mediterranean Politics 0.396 0.037 Mediterranean Quarterly 0.028 0.034 Mediterranean Studies 0.034 Meditsina Truda I Promyshlennaya Ekologiya 0.000 0.033 Meditsinskaia parazitologiia i parazitarnye bolezni 0.010 0.035 Meditsinskaia tekhnika 0.004 0.033 Medium Aevum 0.000 0.034 Medizin, Gesellschaft, und Geschichte : Jahrbuch des Instituts fr Geschichte der Medizin der Robert Bosch Stiftung 0.000 0.034 Medizinhistorisches Journal 0.237 0.034 Medizinische Genetik 0.016 0.035 Medizinische Klinik 0.113 0.053 Medizinische Monatsschrift fur Pharmazeuten 0.057 0.036 Medizinische Welt 0.023 0.036 Medizinrecht 0.021 0.034 Medizintechnik 0.034 Medscape journal of medicine 0.035 Medsurg nursing : official journal of the Academy of Medical- Surgical Nurses 0.147 0.052 Medycyna dowiadczalna i mikrobiologia 0.063 0.037 Medycyna Intensywna i Ratunkowa 0.011 0.034 Medycyna nowozytna : studia nad historia medycyny / Polska Akademia Nauk, Instytut Historii Nauki 0.034 Medycyna Paliatywna w Praktyce 0.090 0.042 Medycyna pracy 0.041 0.037 Medycyna Weterynaryjna 0.127 0.039 Medycyna wieku rozwojowego 0.032 0.038 Meitan Xuebao/Journal of the China Coal Society 0.565 0.047 Melanges de l'Ecole Francaise de Rome 0.000 0.034 Melanoma Research 0.424 0.228 Membrane Technology 0.187 0.047 Memoirs of the Kyushu University, Faculty of Engineering 0.066 0.036 Memoirs of the Queensland Museum 0.248 0.041 Memoranda Societatis pro Fauna et Flora Fennica 0.044 0.035 Memorias do Instituto Oswaldo Cruz 0.501 0.138 Memory 0.539 0.093 Memory and Cognition 0.733 0.128 Memory Studies 0.689 0.047 Men and Masculinities 0.183 0.039 Mendeleev Communications 0.165 0.056 Menopause 0.804 0.247 Mens Sana Monographs 0.085 0.035 Mental and physical disability law reporter 0.034 Mental Health and Physical Activity 0.140 0.045 Mental Health Aspects of Developmental Disabilities 0.091 0.038 Mental Health in Family Medicine 0.152 0.048 Mental health today (Brighton, England) 0.031 0.034 Mental Health, Religion and Culture 0.188 0.038 Mentalhigiene es Pszichoszomatika 0.083 0.036 MeReC Bulletin 0.119 0.037 MeReC Extra 0.034 Meridian 0.000 0.034 Merkur 0.004 0.034 Merrill-Palmer Quarterly 0.771 0.071 Meta 0.000 0.034 Metabolic Brain Disease 0.554 0.203 Metabolic Engineering 0.935 0.614 Metabolic Syndrome and Related Disorders 0.297 0.167 Metabolism: Clinical and Experimental 0.609 0.296 Metabolomics 0.527 0.352 Metacognition and Learning 0.947 0.062 Metal Finishing 0.017 0.036 Metal Powder Report 0.105 0.037 Metal Science and Heat Treatment 0.045 0.037 Metal-Based Drugs 0.336 0.104 Metall 0.008 0.036 Metallofizika i Noveishie Tekhnologii 0.025 0.034 Metallurg 0.011 0.034 Metallurgical and Materials Transactions A: Physical Metallurgy and Materials Science 0.781 0.118 Metallurgical and Materials Transactions B: Process Metallurgy and Materials Processing Science 0.654 0.065 Metals and Materials International 0.331 0.062 Metalurgia International 0.131 0.034 Metalurgia y Materiais 0.033 Metalurgija 0.079 0.038 Metamaterials 1.087 0.349 Metaphilosophy 0.151 0.051 Metaphor and Symbol 0.537 #N/A Meteoritics and Planetary Science 0.489 0.117 Meteorological Applications 0.605 0.075 Meteorologische Zeitschrift 0.398 0.071 Meteorology and Atmospheric Physics 0.392 0.071 Method and Theory in the Study of Religion 0.034 Methodology and Computing in Applied Probability 0.696 0.054 Methods (San Diego, Calif.) 0.984 0.703 Methods and Findings in Experimental and Clinical Pharmacology 0.316 0.114 Methods in Cell Biology 0.388 0.563 Methods in Microbiology 0.120 0.067 Methods in molecular biology (Clifton, N.J.) 0.224 0.239 Methods in molecular medicine 0.214 0.145 Methods of Biochemical Analysis 0.063 0.076 Methods of information in medicine 0.384 0.135 Methods: A Companion to Methods in Enzymology 0.457 0.572 Metrika 0.594 0.047 Metrologia 1.087 0.114 Metropolitan Museum of Art Bulletin 0.034 Mexican Studies - Estudios Mexicanos 0.081 0.034 MFS - Modern Fiction Studies 0.000 0.034 MGMA connexion / Medical group Management Association 0.007 0.034 Michigan Historical Review 0.000 0.034 Michigan Law Review 0.320 0.038 Michigan Mathematical Journal 0.499 0.039 Michigan medicine 0.034 Michigan Quarterly Review 0.115 0.034 Micro and Nano Letters 0.350 0.113 Microbe 0.270 0.136 Microbes and Infection 0.634 0.362 Microbial Cell Factories 0.715 0.302 Microbial Drug Resistance 0.467 0.194 Microbial Ecology 0.982 0.235 Microbial Ecology in Health and Disease 0.104 0.044 Microbial Pathogenesis 0.664 0.324 Microbiological Research 0.704 0.113 Microbiology 0.781 0.466 Microbiology 0.144 0.047 Microbiology and Immunology 0.433 0.205 Microbiology and Molecular Biology Reviews 3.825 3.524 Microbiology Today 0.032 0.056 Microchemical Journal 0.755 0.153 Microcirculation 0.486 0.273 Microelectronic Engineering 0.675 0.128 Microelectronics International 0.094 0.044 Microelectronics Journal 0.395 0.071 Microelectronics Reliability 0.553 0.087 Microfluidics and Nanofluidics 0.820 0.222 Microgravity Science and Technology 0.242 0.047 Micron 0.574 0.217 Micropaleontology 0.501 0.063 Microporous and Mesoporous Materials 1.041 0.180 Microprocessors and Microsystems 0.402 0.044 Microscale Thermophysical Engineering 0.597 0.081 Microscopy and Microanalysis 0.062 0.060 Microscopy Research and Technique 0.467 0.214 Microsurgery 0.616 0.112 Microsystem Technologies 0.515 0.084 Microvascular Research 0.662 0.405 Microwave and Optical Technology Letters 0.465 0.074 Microwave Journal 0.160 0.045 Microwaves and RF 0.027 0.034 Middle East Business and Economic Review 0.035 Middle East Fertility Society Journal 0.000 0.034 Middle East Journal 0.243 0.038 Middle East journal of anesthesiology 0.064 0.039 Middle East Journal of Culture and Communication 0.034 Middle East Paediatrics 0.034 Middle East Policy 0.284 0.036 Middle East Quarterly 0.185 0.035 Middle East Report 0.262 0.035 Middle East Studies Association Bulletin 0.047 0.034 Middle Eastern Studies 0.221 0.034 Mid-Taiwan Journal of Medicine 0.028 0.034 Midwest Studies in Philosophy 0.426 0.054 Midwest Symposium on Circuits and Systems 0.036 0.035 Midwifery 0.431 0.068 Midwifery today with international midwife 0.000 0.033 Midwives (Lond) 0.052 0.035 Mikologia Lekarska 0.112 0.038 Mikologiya I Fitopatologiya 0.058 0.035 Mikrobiologiya 0.141 0.046 Mikrobiolohichnyi zhurnal (Kiev, Ukraine : 1993) 0.006 0.035 Mikrobiyoloji Bulteni 0.083 0.045 Mikrochimica Acta 0.479 0.148 Mikrokosmos 0.300 0.034 Milan Journal of Mathematics 0.313 0.049 Milbank Quarterly 1.381 0.222 Milchwissenschaft 0.214 0.048 Military Medicine 0.164 0.066 Military Operations Research 0.285 0.039 Military Psychology 0.446 0.048 Millenium 0.352 0.036 Milli Egitim 0.052 0.034 Milton Quarterly 0.034 Milton Studies 0.790 0.034 Mind 0.773 0.040 Mind and Language 0.490 0.129 Mind and Society 0.278 0.042 Mind, Brain, and Education 0.256 #N/A Mind, Culture, and Activity 0.576 0.046 Minds and Machines 0.386 0.040 Mine Water and the Environment 0.304 0.049 Mineral Processing and Extractive Metallurgy Review 0.475 0.050 Mineralium Deposita 0.666 0.054 Mineralogia 0.035 Mineralogia Polonica 0.034 Mineralogical Magazine 0.176 0.047 Mineralogical Record 0.339 0.037 Mineralogy and Petrology 0.527 0.056 Minerals and Energy - Raw Materials Report 0.247 0.042 Minerals and Metallurgical Processing 0.269 0.044 Minerals Engineering 1.081 0.082 Minerva 0.329 0.042 Minerva anestesiologica 0.426 0.113 Minerva Biotecnologica 0.059 0.046 Minerva Cardioangiologica 0.173 0.096 Minerva Chirurgica 0.104 0.057 Minerva endocrinologica 0.392 0.158 Minerva gastroenterologica e dietologica 0.142 0.101 Minerva Ginecologica 0.154 0.061 Minerva Medica 0.143 0.079 Minerva Ortopedica e Traumatologica 0.010 0.034 Minerva Pediatrica 0.081 0.048 Minerva Pneumologica 0.013 0.034 Minerva Psichiatrica 0.020 0.035 Minerva stomatologica 0.154 0.052 Minerva Urologica e Nefrologica 0.127 0.060 Mini reviews in medicinal chemistry 0.600 0.270 Minimal Invasive Chirurgie 0.000 0.034 Minimally Invasive Neurosurgery 0.386 0.085 Minimally Invasive Therapy and Allied Technologies 0.397 0.145 Mining History: Bulletin - Peak District Mines Historical Society 0.034 Mini-Reviews in Organic Chemistry 0.307 0.116 Minnesota Law Review 0.131 0.035 Minnesota medicine 0.032 0.039 Minnesota Review 0.034 MIS Quarterly: Management Information Systems 3.130 0.084 Mission Studies 0.198 0.034 Missionalia 0.000 0.034 Mississippi Quarterly 0.000 0.034 Mississippi RN 0.034 Missouri Journal of Mathematical Sciences 0.156 0.035 Missouri medicine 0.041 0.047 MIT Sloan Management Review 1.043 0.048 Mitigation and Adaptation Strategies for Global Change 0.603 0.117 Mitochondrial DNA 0.149 0.075 Mitochondrion 0.882 0.531 Mitsubishi Electric Advance 0.034 Mitteilung - Deutsche Forschungsanstalt fuer Luft- und Raumfahrt 0.036 Mitteilungen - Osterreichischen Geographischen Gesellschaft 0.024 0.034 Mitteilungen - Verbandes der Deutschen Hohlen- und Karstforscher 0.034 Mitteilungen aus dem Museum fur Naturkunde in Berlin - Deutsche Entomologische Zeitschrift 0.186 0.038 Mitteilungen der Versuchsanstalt fur Wasserbau, Hydrologie und Glaziologie an der Eidgenossischen Technischen Hochschule Zurich 0.034 Mitteilungen des Deutschen Archaologischen Instituts - Athenische Abteilung 0.034 Mitteilungen des Deutschen Archaologischen Instituts. Abteilung Madrid 0.000 0.034 Mitteilungen des Kunsthistorischen Institutes in Florenz 0.034 Mitteilungen zur Christlichen Archaologie 0.034 Mljekarstvo 0.604 0.039 MLN - Modern Language Notes 0.023 #N/A MLO: medical laboratory observer 0.009 0.038 MMW Fortschritte der Medizin 0.005 0.034 MMWR. Morbidity and mortality weekly report 1.373 0.418 MMWR. Recommendations and reports : Morbidity and mortality weekly report. Recommendations and reports / Centers for Disease Control 5.330 1.865 MMWR. Surveillance summaries : Morbidity and mortality weekly report. Surveillance summaries / CDC 4.632 1.202 Mnemosyne 0.034 Mobile Networks and Applications 0.794 0.061 Mobilities 0.505 0.044 Mobilization 0.575 0.038 Mocaxue Xuebao/Tribology 0.401 0.047 Model Assisted Statistics and Applications 0.145 0.038 Modelling and Simulation in Engineering 0.034 Modelling and Simulation in Materials Science and Engineering 0.684 0.097 Modelling, Measurement and Control A 0.000 0.034 Modelling, Measurement and Control B 0.000 0.034 Modelling, Measurement and Control C 0.000 0.034 Modern Asian Studies 0.565 0.038 Modern China 0.195 0.034 Modern Drama 0.000 0.034 Modern healthcare 0.011 0.035 Modern Judaism 0.486 0.034 Modern Language Journal 0.879 0.041 Modern Language Quarterly 0.152 0.034 Modern Language Review 0.055 0.034 Modern Pathology 1.045 0.671 Modern Philology 0.000 0.034 Modern Physics Letters A 0.309 0.060 Modern Physics Letters B 0.217 0.047 Modern Rheumatology 0.290 0.108 Modern Schoolman 0.034 Moderna Sprak 0.034 Modernism - Modernity 0.145 0.034 Mol Interv 0.711 0.444 Mol Pharm 0.890 0.471 MolBank 0.034 Molecular & cellular proteomics : MCP 1.506 1.766 Molecular and Biochemical Parasitology 0.759 0.585 Molecular and Cellular Biochemistry 0.433 0.246 Molecular and Cellular Biology 1.144 2.236 Molecular and Cellular Endocrinology 0.924 0.472 Molecular and Cellular Neurosciences 0.729 0.731 Molecular and Cellular Probes 0.852 0.232 Molecular Aspects of Medicine 1.600 0.853 Molecular Biology 0.121 0.058 Molecular Biology and Evolution 1.802 1.406 Molecular Biology of the Cell 1.081 2.033 Molecular Biology Reports 0.273 0.122 Molecular BioSystems 0.591 0.637 Molecular Breeding 0.785 0.173 Molecular Cancer 0.862 0.911 Molecular Cancer Research 0.791 0.923 Molecular cancer therapeutics 0.855 0.867 Molecular Carcinogenesis 0.631 0.486 Molecular Cell 2.336 7.442 Molecular Crystals and Liquid Crystals 0.133 0.057 Molecular Diagnosis and Therapy 0.362 0.301 Molecular Diversity 0.560 0.222 Molecular Ecology 1.549 0.456 Molecular Ecology Resources 0.889 0.154 Molecular Endocrinology 1.138 0.949 Molecular Genetics and Genomics 0.659 0.367 Molecular Genetics and Metabolism 0.613 0.394 Molecular Human Reproduction 0.751 0.322 Molecular Imaging 0.566 0.376 Molecular Imaging and Biology 0.547 0.375 Molecular Immunology 0.641 0.454 Molecular Medicine 0.825 0.648 Molecular Membrane Biology 0.646 0.648 Molecular Microbiology 1.174 1.341 Molecular Neurobiology 0.835 0.774 Molecular Neurodegeneration 0.482 0.568 Molecular Nutrition and Food Research 0.906 0.267 Molecular Oncology 0.217 0.364 Molecular Pain 0.648 0.579 Molecular Pharmacology 0.927 0.670 Molecular Phylogenetics and Evolution 1.176 0.323 Molecular Physics 0.534 0.109 Molecular Plant Pathology 0.873 0.378 Molecular Plant-Microbe Interactions 1.083 0.687 Molecular Psychiatry 1.647 1.406 Molecular Reproduction and Development 0.678 0.237 Molecular Simulation 0.327 0.101 Molecular systems biology [electronic resource]. 1.536 2.687 Molecular Therapy 1.040 1.302 Molecular vision 0.460 0.290 Molecules 0.443 0.100 Molecules and Cells 0.351 0.225 Molekuliarnaia biologiia 0.117 0.081 Molekuliarnaia genetika, mikrobiologiia i virusologiia 0.046 0.040 Molluscan Research 0.529 0.041 Monaldi Archives for Chest Disease 0.155 0.072 Monash bioethics review 0.000 0.034 Monatshefte fur Chemie 0.341 0.087 Monatshefte fur Mathematik 0.745 0.048 Monatsschrift fur Kinderheilkunde 0.088 0.039 Mondes en Developpement 0.073 0.034 Mondo Digitale 0.034 Mondo Ortodontico 0.011 0.035 Monist 0.034 Monographs in clinical cytology 0.039 Monographs in oral science 1.030 0.155 Monographs of the Society for Research in Child Development 0.807 0.116 Monthly Labor Review 0.360 0.061 Monthly Notices of the Royal Astronomical Society 0.817 0.536 Monthly Review 0.159 0.036 Monthly Weather Review 0.978 0.146 Monumenta Nipponica 0.000 0.034 Moravian Geographical Reports 0.000 0.034 Moreana 0.034 Morfologiia (Saint Petersburg, Russia) 0.121 0.039 Morphologie : bulletin de l'Association des anatomistes 0.139 0.049 Morphology 0.049 #N/A Mortality 0.261 0.046 Mosaic 0.034 Moscow University Chemistry Bulletin 0.014 0.035 Moshi Shibie yu Rengong Zhineng/Pattern Recognition and Artificial Intelligence 0.085 0.037 Motivation and Emotion 1.001 0.087 Motor Control 0.785 0.106 Motor Ship 0.034 Motricite Cerebrale 0.074 0.036 Mountain Geologist 0.190 0.040 Mountain Research and Development 0.203 0.043 Mouvement Social 0.046 0.034 Movement Disorders 0.713 0.455 Moyen Age 0.034 Moyen Francais 0.034 MRS Bulletin 1.258 0.456 MSW Management 0.034 Mucosal Immunology 0.366 0.505 Muelleria 0.092 0.042 Multibody System Dynamics 0.955 0.063 Multicultural Education 0.141 0.035 Multidimensional Systems and Signal Processing 0.518 0.055 Multidisciplinary Respiratory Medicine 0.034 Multidiscipline Modeling in Materials and Structures 0.184 0.041 Multilingua 0.221 0.035 Multimedia Systems 0.353 0.046 Multimedia Tools and Applications 0.310 0.042 Multiphase Science and Technology 0.387 0.040 Multiple Sclerosis 0.762 0.287 Multiscale Modeling and Simulation 0.826 0.148 Multivariate Behavioral Research 1.220 0.100 Mund-, Kiefer- und Gesichtschirurgie : MKG 0.238 0.059 Mundo Agrario 0.034 Muscle & nerve 0.771 0.263 Musculoskeletal care 0.256 0.074 Museon 0.034 Museum International 0.000 0.034 Museum Management and Curatorship 0.469 0.040 Music Analysis 0.427 0.034 Music and Letters 0.000 0.034 Music Perception 0.587 0.149 Music Reference Services Quarterly 0.034 Musicae Scientiae 0.194 0.048 Musik und Asthetik 0.034 Musik und Kirche 0.034 Musikforschung 0.034 MusikTheorie 0.022 0.034 Muslim World 0.369 0.035 Muslim World Journal of Human Rights 0.024 0.034 Mutagenesis 0.914 0.411 Mutation research 0.204 0.078 Mutation Research - Fundamental and Molecular Mechanisms of Mutagenesis 0.765 0.491 Mutation Research - Genetic Toxicology and Environmental Mutagenesis 0.786 0.189 Mutation Research - Reviews in Mutation Research 1.439 0.775 Muttersprache 0.034 Mycologia 0.776 0.160 Mycological Progress 0.393 0.068 Mycological Research 0.769 0.153 Mycopathologia 0.608 0.136 Mycorrhiza 0.921 0.149 Mycoscience 0.324 0.054 Mycoses 0.515 0.160 Mycotaxon 0.283 0.043 Mycotoxin Research 0.088 0.034 Mymensingh medical journal : MMJ 0.039 0.041 Nachrichten aus der Chemie 0.033 0.040 NAD Publication 0.020 0.035 Nadcisnienie Tetnicze 0.118 0.041 NAFO Scientific Council Studies 0.000 0.036 Nagoya journal of medical science 0.056 0.044 Nagoya Mathematical Journal 0.384 0.044 Naihuo Cailiao/Refractories 0.131 0.036 Names 0.034 Nami Jishu yu Jingmi Gongcheng/Nanotechnology and Precision Engineering 0.211 0.041 Nan fang yi ke da xue xue bao = Journal of Southern Medical University 0.037 0.040 NAN NU 0.034 Nanjing Hangkong Hangtian Daxue Xuebao/Journal of Nanjing University of Aeronautics and Astronautics 0.175 0.038 Nanjing Li Gong Daxue Xuebao/Journal of Nanjing University of Science and Technology 0.109 0.037 Nanjing Youdian Daxue Xuebao (Ziran Kexue Ban)/Journal of Nanjing University of Posts and Telecommunications (Natural Science) 0.035 0.034 Nano 0.045 0.053 Nano Letters 2.467 1.373 Nano Today 1.984 0.922 Nanobiotechnology 0.178 0.145 NanoEthics 0.537 0.134 Nanomedicine (London, England) 0.725 0.422 Nanomedicine: Nanotechnology, Biology, and Medicine 0.652 0.301 Nanoscale Research Letters 0.755 0.175 Nanotechnology 0.675 0.205 Nanotechnology Law and Business 0.041 0.043 Nanotechnology Perceptions 0.068 0.034 Nanotoxicology 0.712 0.322 Narrative Inquiry 0.647 0.054 Nascer e Crescer 0.000 0.034 Nase More 0.178 0.034 Nat Methods 1.410 2.686 Nation 0.047 0.034 National Academy Science Letters 0.047 0.042 National Bureau of Economic Research bulletin on aging and health 0.034 National health statistics reports 2.623 0.676 National Identities 0.143 0.036 National Institute Economic Review 0.115 0.037 National Interest 0.000 0.033 National Medical Journal of India 0.221 0.072 National Perochemical and Refiners Association - Question and Answer Session on Refining and Petrochemical Technology, Transcripts 0.034 National Radio Science Conference, NRSC, Proceedings 0.000 0.033 National Tax Journal 0.582 0.040 National vital statistics reports : from the Centers for Disease Control and Prevention, National Center for Health Statistics, National Vital Statistics System 9.755 2.734 Nationalism and Ethnic Politics 0.245 0.036 Nationalities Papers 0.291 0.037 Nations and Nationalism 0.610 0.037 NATO Security through Science Series A: Chemistry and Biology 0.013 0.035 NATO Security through Science Series C: Environmental Security 0.004 0.035 Natur und Recht 0.019 0.034 Natura Croatica 0.043 0.035 Natural Areas Journal 0.278 0.045 Natural Computing 0.560 0.056 Natural Hazards 0.590 0.065 Natural Hazards and Earth System Science 0.616 0.067 Natural Hazards Review 0.766 0.142 Natural history 0.013 0.036 Natural Language and Linguistic Theory 0.902 0.038 Natural Language Engineering 0.541 0.055 Natural Language Semantics 0.954 0.042 Natural Medicines 0.302 0.077 Natural Product Radiance 0.148 0.035 Natural Product Reports 1.810 0.884 Natural Product Research 0.186 0.062 Natural Product Sciences 0.062 0.044 Natural Resources Forum 0.309 0.044 Natural Resources Journal 0.116 0.041 Natural Resources Research 0.498 0.046 Nature 5.224 8.028 Nature and Human Activities 0.711 0.040 Nature biotechnology 2.729 3.840 Nature Cell Biology 2.284 5.994 Nature chemical biology. 1.953 2.765 Nature Clinical Practice Urology 0.420 0.246 Nature Genetics 4.816 12.407 Nature Geoscience 0.876 0.773 Nature Immunology 2.247 6.564 Nature Materials 5.290 2.648 Nature Medicine 3.630 5.067 Nature nanotechnology 4.355 3.655 Nature Neuroscience 2.966 3.817 Nature Photonics 2.605 1.479 Nature Physics 3.380 1.657 Nature Protocols 1.238 1.425 Nature Reviews Cancer 2.678 3.952 Nature Reviews Drug Discovery 2.782 2.377 Nature Reviews Immunology 2.294 4.005 Nature Reviews Neuroscience 2.790 2.436 Nature reviews. Genetics 2.271 4.539 Nature reviews. Microbiology 2.887 3.049 Nature reviews. Molecular cell biology 3.507 7.078 Nature structural & molecular biology 2.042 5.836 Natures Sciences Societes 0.051 0.035 Natureza a Conservacao 0.034 Naturschutz und Landschaftsplanung 0.029 0.035 Naturwissenschaften 0.764 0.198 Naunyn-Schmiedeberg's Archives of Pharmacology 0.583 0.276 Nautilus 0.197 0.040 Naval Engineers Journal 0.156 0.035 Naval Research Logistics 0.925 0.052 NBER Macroeconomics Annual 1.482 0.057 NCHS data brief 0.517 0.162 NCSL legisbrief 0.034 NDT and E International 1.320 0.080 NDT Plus 0.060 0.064 Near Eastern Archaeology 0.042 0.034 Near Surface Geophysics 0.422 0.044 Nebraska nurse 0.000 0.034 Nebraska Symposium on Motivation. Nebraska Symposium on Motivation 0.052 0.035 NEC Technical Journal 0.017 0.036 Nederlands Tijdschrift voor Dermatologie en Venereologie 0.006 0.034 Nederlands Tijdschrift voor Geneeskunde 0.101 0.052 Nederlands Tijdschrift voor Keel- Neus- Oorheelkunde 0.119 0.035 Nederlands Tijdschrift voor Klinische Chemie en Laboratoriumgeneeskunde 0.012 0.038 Nederlands Tijdschrift voor Tandheelkunde 0.022 0.036 Nederlands Tijdschrift voor Urologie 0.034 Nederlandse Geografische Studies 0.008 0.034 Nefrologia 0.087 0.052 Negentiende Eeuw 0.034 Negotiation Journal 0.522 0.037 Neiranji Gongcheng/Chinese Internal Combustion Engine Engineering 0.235 0.041 Neiranji Xuebao/Transactions of CSICE (Chinese Society for Internal Combustion Engines) 0.314 0.045 Nematology 0.346 0.054 Nematropica 0.051 0.037 Neohelicon 0.034 Neonatal network : NN 0.215 0.054 Neonatal, Paediatric and Child Health Nursing 0.031 0.034 Neonatology 0.506 0.152 Neophilologus 0.121 0.034 Neoplasia 0.782 0.871 Neoplasma 0.255 0.137 NeoReviews 0.036 0.036 Neotropical Entomology 0.201 0.045 Neotropical Ichthyology 0.423 0.048 Nepal Medical College journal : NMCJ. 0.084 0.053 Nephrologe 0.025 0.039 Nephrologie et Therapeutique 0.099 0.041 Nephrology 0.331 0.153 Nephrology Dialysis Transplantation 0.736 0.277 Nephrology news & issues 0.024 0.040 Nephrology nursing journal : journal of the American Nephrology Nurses' Association. 0.134 0.052 Nephron. Clinical practice 0.432 0.162 Nephron. Physiology [electronic resource] 0.416 0.207 Neprhon. Experimental nephrology [electronic resource] 0.471 0.295 Nervenarzt 0.217 0.054 Nervenheilkunde 0.067 0.037 Netherlands Heart Journal 0.076 0.071 Netherlands Journal of Critical Care 0.034 Netherlands Journal of Medicine 0.289 0.126 NETNOMICS: Economic Research and Electronic Networking 0.113 0.044 Network: Computation in Neural Systems 0.659 0.308 Networks 0.862 0.058 Networks and Heterogeneous Media 0.761 0.155 Networks and Spatial Economics 0.842 0.056 Neue Zeitschrift fur Musik 0.033 Neue Zeitschrift fur Systematische Theologie und Religionsphilosophie 0.034 Neues Jahrbuch fur Geologie und Palaontologie - Abhandlungen 0.183 0.041 Neues Jahrbuch fur Mineralogie, Abhandlungen 0.204 0.042 Neuphilologische Mitteilungen 0.034 Neural Computation 0.849 0.236 Neural Computing and Applications 0.636 0.052 Neural development 0.490 0.906 Neural Network World 0.434 0.044 Neural Networks 0.858 0.154 Neural Plasticity 0.757 0.313 Neural Processing Letters 0.471 0.048 Neural, Parallel and Scientific Computations 0.070 0.037 Neuroanatomy 0.182 0.048 Neurobiology of Aging 0.957 0.588 Neurobiology of Disease 0.875 0.674 Neurobiology of Learning and Memory 0.892 0.432 Neurocase 0.324 0.116 Neurochemical Journal 0.045 0.044 Neurochemical Research 0.513 0.259 Neurochemistry International 0.780 0.346 Neurochirurgie 0.234 0.060 Neurocirugia 0.132 0.045 Neurocomputing 0.725 0.077 Neurocritical Care 0.475 0.190 Neurodegenerative Diseases 0.465 0.401 Neuroembryology and Aging 0.067 0.043 Neuroendocrinology 0.587 0.279 Neuroendocrinology Letters 0.183 0.089 Neuroepidemiology 0.648 0.293 Neuroforum 0.045 0.037 Neurogastroenterology and Motility 0.785 0.335 Neurogenetics 0.684 0.754 NeuroImage 1.673 0.700 Neuroimaging Clinics of North America 0.461 0.172 NeuroImmunoModulation 0.332 0.154 Neuroinformatics 0.411 0.207 Neurologia 0.134 0.053 Neurologia Croatica 0.000 0.034 Neurologia i neurochirurgia polska 0.108 0.055 Neurologia Medico-Chirurgica 0.552 0.090 Neurologia Suplementos 0.000 0.034 Neurologic Clinics 0.622 0.229 Neurological Research 0.461 0.195 Neurological Sciences 0.298 0.111 Neurological Surgery 0.161 0.045 Neurologie und Rehabilitation 0.015 0.035 Neurologist 0.596 0.183 Neurology 1.380 0.688 Neurology and Clinical Neurophysiology 0.112 0.053 Neurology India 0.297 0.073 Neurology Psychiatry and Brain Research 0.028 0.038 Neuromodulation 0.465 0.085 NeuroMolecular Medicine 0.516 0.559 Neuromuscular disorders : NMD 0.533 0.394 Neuron 2.792 3.983 Neuron Glia Biology 0.455 0.556 Neuro-Oncology 0.775 0.620 Neuro-Ophthalmology 0.044 0.039 Neuro-Ophthalmology Japan 0.010 0.035 Neuropathology 0.346 0.170 Neuropathology and Applied Neurobiology 0.585 0.445 Neuropediatrics 0.362 0.163 Neuropeptides 0.487 0.225 Neuropharmacology 0.788 0.407 Neurophysiologie Clinique 0.605 0.151 Neurophysiologie-Labor 0.000 0.034 Neurophysiology 0.075 0.038 Neuro-Psy News 0.000 0.034 Neuropsychiatric Disease and Treatment 0.067 0.060 Neuropsychiatrie 0.125 0.043 Neuropsychiatrie de l'Enfance et de l'Adolescence 0.168 0.037 Neuropsychobiology 0.422 0.200 Neuropsychologia 1.279 0.453 Neuropsychological Rehabilitation 0.610 0.096 Neuropsychology 0.890 0.232 Neuropsychology Review 1.155 0.533 Neuropsychopharmacol Hung 0.049 0.041 Neuropsychopharmacology 1.312 0.714 NeuroQuantology 0.097 0.035 Neuroradiology 1.069 0.254 Neuroradiology Journal 0.096 0.043 NeuroRehabilitation 0.490 0.132 Neurorehabilitation and Neural Repair 1.327 0.362 NeuroReport 0.510 0.251 Neuroscience 0.778 0.452 Neuroscience and Behavioral Physiology 0.088 0.062 Neuroscience and Biobehavioral Reviews 1.915 0.693 Neuroscience Bulletin 0.202 0.112 Neuroscience Letters 0.496 0.233 Neuroscience Research 0.589 0.312 Neurosciences 0.028 0.035 Neuroscientist 1.413 0.920 NeuroSignals 0.417 0.302 Neurosurgery 0.791 0.199 Neurosurgery Clinics of North America 0.387 0.153 Neurosurgery Quarterly 0.091 0.043 Neurosurgical focus [electronic resource]. 0.664 0.191 Neurosurgical Review 0.713 0.166 Neurotherapeutics 0.976 0.574 Neurotoxicity Research 0.477 0.244 NeuroToxicology 0.626 0.231 Neurotoxicology and Teratology 0.782 0.234 Neurourology and Urodynamics 0.972 0.342 New Astronomy 0.450 0.141 New Astronomy Reviews 0.191 0.118 New Biotechnology 0.803 0.293 New Carbon Materials 0.412 0.121 New Centennial Review 0.000 0.034 New Criminal Law Review 0.316 0.035 New Design 0.000 0.034 New Diamond and Frontier Carbon Technology 0.298 0.065 New directions for child and adolescent development 0.215 0.078 New Directions for Teaching and Learning 0.067 0.036 New directions for youth development 0.086 0.036 New Educational Review 0.034 New England Journal of Medicine 5.183 3.110 New England Quarterly-A Historical Review of New England Life and Letters 0.034 New England Review-Middlebury Series 0.034 New Forests 0.469 0.057 New Generation Computing 0.056 0.037 New Genetics and Society 0.198 0.080 New German Critique 0.085 0.035 New Ideas in Psychology 0.463 0.051 New Jersey nurse 0.000 0.034 New Journal of Chemistry 0.686 0.211 New Journal of Physics 0.482 0.228 New Left Review 0.941 0.040 New Library World 0.146 0.040 New Literary History 0.074 0.034 New Media and Society 0.745 0.052 New Medicine 0.000 0.034 New Mexico Geology 0.194 0.036 New Mexico historical review 0.241 0.034 New Microbiologica 0.219 0.098 New Orleans Review 0.034 New Phytologist 1.403 0.504 New Political Economy 0.322 0.038 New republic (New York, N.Y.) 0.024 0.034 New Review of Hypermedia and Multimedia 0.185 0.038 New Review of Information Networking 0.197 0.036 New Scientist 0.022 0.035 New solutions : a journal of environmental and occupational health policy : NS. 0.030 0.049 New South Wales public health bulletin 0.131 0.045 New Technology, Work and Employment 0.631 0.041 New Testament Studies 0.117 0.034 New Theatre Quarterly 0.000 0.034 New York Journal of Mathematics 0.360 0.043 New York University Law Review 0.205 0.036 New Yorker (New York, N.Y. : 1925) 0.088 0.054 New Zealand Geographer 0.310 0.040 New Zealand Journal of Agricultural Research 0.221 0.051 New Zealand Journal of Botany 0.376 0.055 New Zealand Journal of Crop and Horticultural Science 0.371 0.047 New Zealand Journal of Ecology 0.334 0.101 New Zealand Journal of Educational Studies 0.119 0.034 New Zealand Journal of Forestry Science 0.231 0.048 New Zealand Journal of Geology and Geophysics 0.232 0.044 New Zealand Journal of Marine and Freshwater Research 0.409 0.051 New Zealand Journal of Medical Laboratory Science 0.000 0.034 New Zealand Journal of Psychology 0.185 0.043 New Zealand Journal of Zoology 0.427 0.055 New Zealand Plant Protection 0.036 0.036 New Zealand Public Health Surveillance Report 0.034 New Zealand Veterinary Journal 0.445 0.079 Newborn and Infant Nursing Reviews 0.120 0.038 Newsletters on Stratigraphy 0.329 0.043 Newsweek 0.004 0.034 Nexus Network Journal 0.229 0.035 NHK Laboratories Note 0.034 Nicotine & tobacco research : official journal of the Society for Research on Nicotine and Tobacco 0.722 0.221 Nieren- und Hochdruckkrankheiten 0.034 0.035 Nigerian journal of clinical practice. 0.053 0.045 Nigerian journal of medicine : journal of the National Association of Resident Doctors of Nigeria 0.171 0.050 Nigerian quarterly journal of hospital medicine 0.007 0.035 NIH guide for grants and contracts (Online) 0.034 Nihon Enerugi Gakkaishi/Journal of the Japan Institute of Energy 0.138 0.039 Nihon ishigaku zasshi. [Journal of Japanese history of medicine] 0.034 Nihon Kokyki Gakkai zasshi = the journal of the Japanese Respiratory Society 0.053 0.039 Nihon Naika Gakkai zasshi. The Journal of the Japanese Society of Internal Medicine 0.007 0.034 Nihon Reoroji Gakkaishi 0.060 0.041 Nihon Rinsh Men'eki Gakkai kaishi = Japanese journal of clinical immunology 0.054 0.054 NII Technical Reports 0.034 Nineteenth Century Prose 0.034 Nineteenth-Century Contexts 0.137 0.034 Nineteenth-Century French Studies 0.000 0.034 Nippon Acta Radiologica 0.000 0.035 Nippon Geka Gakkai zasshi 0.035 0.037 Nippon Genshiryoku Gakkaishi/Journal of the Atomic Energy Society of Japan 2.845 0.058 Nippon Hoshasen Gijutsu Gakkai zasshi. 0.023 0.036 Nippon Jinzo Gakkai shi 0.012 0.036 Nippon Kikai Gakkai Ronbunshu, A Hen/Transactions of the Japan Society of Mechanical Engineers, Part A 0.137 0.041 Nippon Kikai Gakkai Ronbunshu, B Hen/Transactions of the Japan Society of Mechanical Engineers, Part B 0.116 0.038 Nippon Kikai Gakkai Ronbunshu, C Hen/Transactions of the Japan Society of Mechanical Engineers, Part C 0.237 0.037 Nippon Kinzoku Gakkaishi/Journal of the Japan Institute of Metals 0.152 0.051 Nippon rinsho. Japanese journal of clinical medicine 0.013 0.036 Nippon saikingaku zasshi. Japanese journal of bacteriology 0.014 0.038 Nippon seirigaku zasshi. Journal of the Physiological Society of Japan 0.000 0.034 Nippon Seramikkusu Kyokai Gakujutsu Ronbunshi/Journal of the Ceramic Society of Japan 0.441 0.088 Nippon Shokuhin Kagaku Kogaku Kaishi 0.139 0.039 Nippon Steel Technical Report 0.100 0.036 Nippon Suisan Gakkaishi (Japanese Edition) 0.194 0.038 Nishinihon Journal of Dermatology 0.016 0.034 Nishinihon Journal of Urology 0.003 0.033 Nitric Oxide - Biology and Chemistry 0.630 0.273 NIWA Biodiversity Memoirs 1.422 0.045 NJAS - Wageningen Journal of Life Sciences 0.163 0.044 NMR in Biomedicine 0.946 0.397 No To Hattatsu 0.043 0.038 Nobel Medicus 0.095 0.038 Noise and Health 0.635 0.112 Noise and Vibration Worldwide 0.050 0.034 Noise Control Engineering Journal 0.191 0.037 Nomadic Peoples 0.042 0.034 Nondestructive Testing and Evaluation 0.760 0.055 Nongye Gongcheng Xuebao/Transactions of the Chinese Society of Agricultural Engineering 0.350 0.044 Nongye Jixie Xuebao/Transactions of the Chinese Society of Agricultural Machinery 0.545 0.049 Nonlinear Analysis, Theory, Methods and Applications 1.122 0.066 Nonlinear Analysis: Hybrid Systems 0.344 0.051 Nonlinear Analysis: Real World Applications 1.258 0.085 Nonlinear Differential Equations and Applications 0.616 0.052 Nonlinear Dynamics 0.926 0.080 Nonlinear Dynamics and Systems Theory 0.245 0.038 Nonlinear Dynamics, Psychology, and Life Sciences 0.370 0.052 Nonlinear Optics Quantum Optics 0.059 0.047 Nonlinear Oscillations 0.205 0.035 Nonlinear Processes in Geophysics 0.630 0.097 Nonlinearity 0.856 0.068 Nonprofit and Voluntary Sector Quarterly 0.715 0.040 Nonwovens World 0.000 0.034 Nordia Geographical Publications 0.053 0.035 Nordic Hydrology 0.398 0.052 Nordic Journal of Botany 0.093 0.041 Nordic Journal of International Law 0.258 0.034 Nordic Journal of Music Therapy 0.351 0.041 Nordic Journal of Psychiatry 0.351 0.074 Nordic Psychology 0.101 0.038 Nordic Pulp and Paper Research Journal 0.365 0.080 Norsk Epidemiologi 0.147 0.055 Norsk Geografisk Tidsskrift 0.128 0.042 Norsk Geologisk Tidsskrift 0.422 0.052 North American Archaeologist 0.155 0.040 North American Journal of Aquaculture 0.223 0.043 North American Journal of Economics and Finance 0.439 0.039 North American Journal of Fisheries Management 0.329 0.051 North American Journal of Psychology 0.189 0.044 North American Review 0.034 North Carolina medical journal 0.077 0.046 Northeastern Geology and Environmental Sciences 0.097 0.037 Northeastern Naturalist 0.193 0.045 Northern history 0.388 0.034 Northern Journal of Applied Forestry 0.294 0.045 Northwest dentistry 0.012 0.034 Northwest Science 0.132 0.041 Northwestern Geology 0.041 0.036 North-Western Journal of Zoology 0.280 0.037 Northwestern University Law Review 0.178 0.035 Norwegian Archaeological Review 0.593 0.037 Nota Lepidopterologica 0.038 0.037 Notarzt 0.061 0.036 Notes 0.192 #N/A Notes and Records of the Royal Society of London 0.054 0.036 Notfall und Hausarztmedizin 0.032 0.036 Notfall und Rettungsmedizin 0.221 0.047 Notices of the American Mathematical Society 0.344 0.054 Notornis 0.099 0.042 Notre Dame Law Review 0.090 0.034 Nottingham French Studies 0.034 Nous 1.157 0.043 Nous-Supplement: Philosophical Perspectives 0.721 0.043 Nouvelle Revue Francaise 0.034 Nouvelles Dermatologiques 0.006 0.034 Nouvelles Questions Feministes 0.125 0.034 Nova Economia 0.034 Nova Hedwigia 0.370 0.050 Nova Mehanizacija Sumarstva 0.092 0.037 Novartis Foundation symposium 0.114 0.141 Novel 0.034 Novon 0.146 0.039 Novos Estudos CEBRAP 0.034 Novum Testamentum 0.034 Nowotwory 0.007 0.034 NPG Neurologie - Psychiatrie - Geriatrie 0.072 0.034 NPRA Environmental Conference Papers 0.034 NPRA International Petrochemical Conference Papers 0.034 NTM 0.000 0.034 NTT Technical Review 0.211 0.043 NTZ, Telekommunikation und Informationstechnik 0.034 Nuclear Data Sheets 1.731 0.101 Nuclear Engineering and Design 0.538 0.055 Nuclear Engineering and Technology 0.376 0.054 Nuclear Fusion 1.763 0.152 Nuclear Instruments and Methods in Physics Research, Section A: Accelerators, Spectrometers, Detectors and Associated Equipment 0.763 0.087 Nuclear Instruments and Methods in Physics Research, Section B: Beam Interactions with Materials and Atoms 0.666 0.094 Nuclear Medicine and Biology 0.532 0.263 Nuclear Medicine Communications 0.329 0.124 Nuclear Medicine Review 0.086 0.056 Nuclear Physics A 0.871 0.095 Nuclear Physics and Atomic Energy 0.034 Nuclear Physics B 1.049 0.125 Nuclear Physics B - Proceedings Supplements 0.158 0.058 Nuclear receptor signaling 1.137 1.290 Nuclear Science and Engineering 0.538 0.050 Nuclear Science and Techniques/Hewuli 0.129 0.043 Nuclear Technology 0.361 0.053 Nucleic Acids Research 1.638 2.052 Nucleic acids symposium series (2004) 0.020 0.049 Nucleosides, Nucleotides and Nucleic Acids 0.113 0.117 NuklearMedizin 0.271 0.133 Nukleonika 0.034 0.038 Numen 0.474 0.035 Numerical Algorithms 0.707 0.054 Numerical Functional Analysis and Optimization 0.346 0.044 Numerical Heat Transfer, Part B: Fundamentals 0.840 0.065 Numerical Heat Transfer; Part A: Applications 0.779 0.072 Numerical Linear Algebra with Applications 0.797 0.057 Numerical Methods for Partial Differential Equations 0.780 0.057 Numerische Mathematik 1.285 0.074 Numismatic Chronicle 0.034 Nuncius / Istituto e museo di storia della scienza 0.034 Nuova Rivista Musicale Italiana 0.034 Nuova Rivista Storica 0.034 Nuovo Cimento della Societa Italiana di Fisica B 0.067 0.043 Nuovo Cimento della Societa Italiana di Fisica C 0.050 0.039 Nurse Education in Practice 0.541 0.052 Nurse Education Today 0.762 0.057 Nurse educator 0.455 0.051 Nurse Leader 0.047 0.038 Nurse researcher 0.166 0.037 Nursing 0.032 0.036 Nursing & health sciences 0.531 0.066 Nursing administration quarterly 0.485 0.067 Nursing BC / Registered Nurses Association of British Columbia 0.017 0.037 Nursing economic$ 0.524 0.072 Nursing education perspectives 0.630 0.057 Nursing ethics 0.521 0.057 Nursing for women's health 0.050 0.037 Nursing forum 0.234 0.045 Nursing History Review 0.446 0.045 Nursing in critical care 0.428 0.073 Nursing inquiry 0.635 0.058 Nursing law"s Regan report 0.034 Nursing leadership (Toronto, Ont.) 0.170 0.042 Nursing Made Incredibly Easy 0.023 0.036 Nursing management 0.099 0.039 Nursing management (Harrow, London, England : 1994) 0.073 0.036 Nursing New Zealand (Wellington, N.Z. : 1995) 0.017 0.035 Nursing older people 0.041 0.035 Nursing Outlook 0.587 0.071 Nursing philosophy : an international journal for healthcare professionals 0.508 0.050 Nursing praxis in New Zealand inc 0.169 0.038 Nursing Research 0.833 0.117 Nursing Science Quarterly 0.318 0.044 Nursing standard (Royal College of Nursing (Great Britain) : 1987) 0.049 #N/A Nursing times 0.023 0.035 Nutricion Clinica y Dietetica Hospitalaria 0.024 0.034 Nutricin hospitalaria : organo oficial de la Sociedad Espaola de Nutricin Parenteral y Enteral 0.405 0.064 Nutrient Cycling in Agroecosystems 0.720 0.086 Nutrition (Burbank, Los Angeles County, Calif.) 0.709 0.201 Nutrition and Cancer 0.592 0.271 Nutrition and Dietetics 0.161 0.050 Nutrition and Food Science 0.097 0.044 Nutrition and Health 0.144 0.071 Nutrition and Metabolic Disorders in HIV Infection 0.034 Nutrition and Metabolism 0.423 0.217 Nutrition Bulletin 0.163 0.051 Nutrition Clinique et Metabolisme 0.035 0.038 Nutrition in clinical practice : official publication of the American Society for Parenteral and Enteral Nutrition 0.396 0.164 Nutrition Journal 0.694 0.207 Nutrition Research 0.360 0.084 Nutrition Research Reviews 0.410 0.122 Nutrition Reviews 0.744 0.363 Nutrition today 0.047 0.045 Nutrition, Metabolism and Cardiovascular Diseases 0.717 0.264 Nutritional Neuroscience 0.273 0.110 Nutritional Sciences Journal 0.000 0.034 Nutritional Therapy and Metabolism 0.102 0.043 Obere Extremitat 0.151 0.038 Obesite 0.032 0.037 Obesity (Silver Spring, Md.) 0.841 0.370 Obesity Management 0.031 0.043 Obesity Research and Clinical Practice 0.111 0.058 Obesity Reviews 1.147 0.398 Obesity Surgery 0.562 0.316 Observatory 0.164 #N/A Obstetrical & gynecological survey 0.810 0.272 Obstetrics and Gynaecology Forum 0.034 Obstetrics and Gynecology 1.292 0.394 Obstetrics and Gynecology Clinics of North America 0.568 0.181 Obstetrics, Gynaecology and Reproductive Medicine 0.107 0.046 Occasional Paper - Canadian Wildlife Service 0.034 Occupational and environmental medicine 1.195 0.264 Occupational Ergonomics 0.303 0.039 Occupational Health 0.025 0.034 Occupational health & safety (Waco, Tex.) 0.025 0.034 Occupational Health Review 0.034 Occupational Medicine 0.538 0.118 Occupational Therapy in Health Care 0.305 0.035 Occupational Therapy in Mental Health 0.070 0.038 Occupational Therapy International 0.338 0.057 Occupational Therapy Now 0.034 Ocean and Coastal Management 0.464 0.064 Ocean and Polar Research 0.108 0.037 Ocean Development and International Law 0.110 0.034 Ocean Dynamics 0.994 0.106 Ocean Engineering 0.651 0.052 Ocean Modelling 1.140 0.128 Ocean Science 0.283 0.079 Ocean Science Discussions 0.099 0.037 Ocean Science Journal 0.058 0.037 Oceania 0.287 0.035 Oceanic Linguistics 0.100 0.049 Oceanography and Marine Biology: an annual review. Vol. 34 2.307 0.432 Oceanologia 0.415 0.056 Oceanological and Hydrobiological Studies 0.125 0.042 Oceanology 0.151 0.040 Oceans Conference Record (IEEE) 0.000 0.033 Oceanus 0.000 0.034 Ochsner Journal 0.020 0.038 OCL - Oleagineux Corps gras Lipides 0.038 0.039 OCLC Systems and Services 0.109 0.037 October 0.182 0.034 Ocular Immunology and Inflammation 0.157 0.098 Ocular Surface 0.625 0.156 Odonatologica 0.147 0.040 Odontology / the Society of the Nippon Dental University 0.509 0.119 Odonto-stomatologie tropicale = Tropical dental journal 0.040 0.037 Oecologia 1.199 0.185 Oeil 0.033 Offshore 0.015 0.034 Offshore Europe Conference - Proceedings 0.000 0.034 Ofioliti 0.540 0.052 Oftalmologia (Bucharest, Romania : 1990) 0.046 0.040 OGAI Journal (Oesterreichische Gesellschaft fuer Artificial Intelligence) 0.034 Ohio Journal of Science 0.000 0.034 Ohio nurses review 0.012 0.034 Oikos 1.251 0.217 Oil and Gas Science and Technology 0.408 0.061 Oil Industry 0.005 0.033 Oil Shale 0.342 0.043 Oilfield Chemistry 0.044 0.034 Oilfield Review 0.176 0.039 Okajimas Folia Anatomica Japonica 0.136 0.083 Olhydraulik und Pneumatik 0.033 0.034 Oligonucleotides 0.360 0.393 Omega 2.665 0.085 Omega: Journal of Death and Dying 0.198 0.046 OMICS A Journal of Integrative Biology 0.479 0.457 On the Horizon 0.111 0.035 Oncogene 1.339 1.867 Oncologia 0.000 0.034 Oncologie 0.024 0.036 Oncologist 1.211 0.753 Oncology 0.454 0.244 ONCOLOGY 0.224 0.160 Oncology nursing forum 0.463 0.104 Oncology Report 0.034 Oncology Reports 0.340 0.244 Oncology Research 0.330 0.256 Onderstepoort Journal of Veterinary Research 0.140 0.045 Ondokuz Mayis Universitesi Tip Dergisi 0.034 Onkologe 0.055 0.038 Onkologia Polska 0.000 0.034 Onkologie 0.248 0.099 Online Brazilian Journal of Nursing 0.004 0.034 Online Information Review 0.245 0.045 OnLine Journal of Biological Sciences 0.034 Online Journal of Health and Allied Sciences 0.080 0.038 Online Journal of Issues in Nursing 0.228 0.046 Online Journal of Nursing Informatics 0.059 0.039 ONS connect 0.017 0.036 Ons Geestelijk Erf 0.034 Ontogenez 0.170 0.037 Opcion 0.034 Open Economies Review 0.284 0.038 Open House International 0.036 0.034 Open Medicine 0.306 0.054 Open Systems and Information Dynamics 0.327 0.069 Opera 0.034 Opera Quarterly 0.034 Operating Systems Review (ACM) 0.605 0.044 Operations Research 1.804 0.070 Operations Research Letters 0.693 0.055 Operative Dentistry 0.527 0.080 Operative Orthopadie und Traumatologie 0.318 0.063 Operative Techniques in General Surgery 0.097 0.047 Operative Techniques in Orthopaedics 0.066 0.038 Operative Techniques in Otolaryngology - Head and Neck Surgery 0.151 0.047 Operative Techniques in Sports Medicine 0.121 0.044 Operative Techniques in Thoracic and Cardiovascular Surgery 0.120 0.047 Ophthalmic and Physiological Optics 0.626 0.102 Ophthalmic Epidemiology 0.564 0.174 Ophthalmic Genetics 0.172 0.133 Ophthalmic Plastic and Reconstructive Surgery 0.298 0.078 Ophthalmic Research 0.297 0.100 Ophthalmic Surgery Lasers and Imaging 0.228 0.089 Ophthalmologe 0.222 0.067 Ophthalmologica 0.357 0.107 Ophthalmology 1.558 0.461 Ophthalmology in China 0.007 0.035 Opiniao Publica 0.034 Optica Applicata 0.081 0.048 Optica Pura y Aplicada 0.067 0.036 Optical and Quantum Electronics 0.360 0.078 Optical Engineering 0.326 0.054 Optical Fiber Technology 0.814 0.099 Optical Materials 0.875 0.130 Optical Review 0.397 0.064 Optical Switching and Networking 0.459 0.060 Optics and Laser Technology 0.755 0.083 Optics and Lasers in Engineering 1.000 0.089 Optics and Spectroscopy (English translation of Optika i Spektroskopiya) 0.078 0.041 Optics Communications 0.714 0.123 Optics Express 1.298 0.372 Optics Letters 1.353 0.363 Optik (Jena) 0.325 0.054 Optimal Control Applications and Methods 0.749 0.055 Optimization 0.621 0.047 Optimization and Engineering 0.305 0.053 Optimization Letters 0.405 0.053 Optimization Methods and Software 0.771 0.060 Option/Bio 0.033 Optoelectronics Letters 0.340 0.059 Opto-electronics Review 0.403 0.074 Optometry 0.188 0.049 Optometry and Vision Science 0.678 0.116 OR manager 0.022 0.036 OR Spectrum 1.134 0.063 Oral and Maxillofacial Surgery 0.068 0.048 Oral and Maxillofacial Surgery Clinics of North America 0.151 0.046 Oral Diseases 0.694 0.170 Oral Health Prev Dent 0.202 0.056 Oral Microbiology and Immunology 0.734 0.201 Oral Oncology 0.842 0.259 Oral Radiology 0.307 0.045 Oral Surgery, Oral Medicine, Oral Pathology, Oral Radiology, and Endodontics 0.632 0.104 Oral Therapeutics and Pharmacology 0.015 0.038 Orbis 0.183 0.036 Orbis Litterarum 0.000 0.034 Orbit 0.182 0.052 Order 0.462 0.043 Ore Geology Reviews 0.649 0.060 Oregon historical quarterly. Oregon Historical Society 0.121 0.034 Organic and Biomolecular Chemistry 0.699 0.335 Organic Electronics: physics, materials, applications 1.013 0.327 Organic Geochemistry 0.987 0.125 Organic Letters 1.024 0.426 Organic Preparations and Procedures International 0.223 0.070 Organic Process Research and Development 0.457 0.148 Organic Syntheses 0.403 0.154 Organisms Diversity and Evolution 0.597 0.093 Organization 0.895 0.045 Organization and Environment 0.454 0.041 Organization Development Journal 0.073 0.035 Organization Science 1.612 0.062 Organization Studies 1.107 0.060 Organizational Behavior and Human Decision Processes 1.290 0.085 Organizational Dynamics 0.448 0.041 Organizational ethics : healthcare, business, and policy : OE 0.034 Organizational Research Methods 1.905 0.095 Organogenesis 0.070 0.127 Organometallic Chemistry 0.123 0.068 Organometallics 0.816 0.258 Organon F 0.034 Oriental Insects 0.110 0.038 Oriental Journal of Chemistry 0.009 0.035 Orientalia Christiana Periodica 0.034 Origins of Life and Evolution of the Biosphere 0.334 0.253 ORL 0.482 0.099 ORL-head and neck nursing : official journal of the Society of Otorhinolaryngology and Head-Neck Nurses 0.034 Ornis Fennica 0.238 0.046 Ornis Norvegica 0.034 Ornis Svecica 0.233 0.039 Ornithologische Beobachter 0.447 0.036 Ornitologia Neotropical 0.223 0.043 Orphanet Journal of Rare Diseases 1.307 0.501 Ortho Magazine 0.034 Orthodontic Waves 0.089 0.036 Orthodontics & craniofacial research 0.363 0.168 Orthopade 0.253 0.049 Orthopaedic nursing / National Association of Orthopaedic Nurses 0.194 0.049 Orthopaedics and Trauma 0.046 Orthopedic Clinics of North America 0.768 0.101 Orthopedics 0.214 0.052 Ortodoncia Clinica 0.034 Ortopedia Traumatologia Rehabilitacja 0.110 0.046 Orvosi hetilap 0.057 0.041 Orvoskepzes 0.034 Orvostrtneti kzlemnyek 0.034 ORYX 0.528 0.088 Osaka city medical journal 0.205 0.045 Osaka Journal of Mathematics 0.450 0.039 Osiris 0.222 0.036 Osler Library newsletter 0.034 Osteoarthritis and Cartilage 1.090 0.347 Osteologicky Bulletin 0.000 0.034 Osteologie 0.052 0.039 Osteopathic Medicine and Primary Care 0.127 0.043 Osteopathische Medizin 0.034 Osteopatia Cientifica 0.169 0.035 Osteoporosis International 1.378 0.445 Osterreichische Musikzeitschrift 0.034 Osterreichische Wasser- und Abfallwirtschaft 0.060 0.037 Osterreichische Zeitschrift fur Volkskunde 0.034 Osteuropa 0.014 0.034 Ostomy/wound management 0.274 0.061 Ostrich 0.076 0.040 OT Practice 0.041 0.034 OTJR Occupation, Participation and Health 0.419 0.049 Otolaryngologia polska. The Polish otolaryngology 0.040 0.037 Otolaryngologic Clinics of North America 0.552 0.114 Otolaryngology - Head and Neck Surgery (Tokyo) 0.033 Otolaryngology--head and neck surgery : official journal of American Academy of Otolaryngology-Head and Neck Surgery 0.672 0.127 Otology and Neurotology 0.715 0.151 Otorhinolaryngologist 0.034 Oto-Rhino-Laryngology Tokyo 0.034 Otorinolaringologia 0.034 Otorinolaryngologie a Foniatrie 0.000 0.034 Oud Holland 0.034 Outlook on Agriculture 0.106 0.040 Outlooks on Pest Management 0.044 0.037 Oxford Art Journal 0.139 0.034 Oxford Bulletin of Economics and Statistics 1.301 0.051 Oxford Development Studies 0.226 0.036 Oxford Economic Papers 1.355 0.059 Oxford German Studies 0.034 Oxford Journal of Archaeology 0.201 0.036 Oxford journal of legal studies 0.362 0.035 Oxford Literary Review 0.034 Oxford Review of Economic Policy 0.656 0.047 Oxford Review of Education 0.538 0.039 Oxidation Communications 0.081 0.040 Oxidation of Metals 0.523 0.067 Oxymag 0.139 0.035 Ozone: Science and Engineering 0.407 0.072 P and T 0.024 0.041 Pacific Affairs 0.328 0.036 Pacific Basin Finance Journal 0.803 0.041 Pacific Conservation Biology 0.328 0.061 Pacific Economic Bulletin 0.155 0.036 Pacific Economic Review 0.337 0.039 Pacific Focus 0.399 0.038 Pacific Historical Review 0.094 0.034 Pacific Journal of Mathematics 0.333 0.039 Pacific Northwest quarterly 0.034 Pacific Philosophical Quarterly 0.179 0.034 Pacific Review 0.473 0.042 Pacific Rim Conference on Lasers and Electro-Optics, CLEO - Technical Digest 0.000 0.033 Pacific Science 0.310 0.059 Pacific Symposium on Biocomputing. Pacific Symposium on Biocomputing 0.363 0.266 Pacing and clinical electrophysiology : PACE 0.466 0.199 Packaging Technology and Science 0.634 0.062 Paddy and Water Environment 0.311 0.049 Padiatrische Praxis 0.008 0.034 Paedagogica historica 0.126 0.034 Paediatria Croatica 0.000 0.034 Paediatria Croatica, Supplement 0.000 0.034 Paediatric Anaesthesia 0.606 0.102 Paediatric and Perinatal Drug Therapy 0.366 0.088 Paediatric and Perinatal Epidemiology 0.799 0.245 Paediatric Drugs 0.593 0.134 Paediatric nursing 0.060 0.041 Paediatric respiratory reviews 0.406 0.150 Paediatrics and Child Health 0.092 0.051 Paediatrics, Child and Adolescent Health 0.034 Pain 1.351 0.546 Pain Clinic 0.017 0.034 Pain Management Nursing 0.522 0.115 Pain Medicine 0.506 0.139 Pain Physician 0.720 0.175 Pain Practice 0.371 0.110 Pain Research and Management 0.513 0.137 Paint and Coatings Industry 0.104 0.038 Paint and Resin Times 0.036 PAJ - Journal of Performance and Art 0.000 0.034 Pakistan Journal of Biological Sciences 0.100 0.038 Pakistan Journal of Botany 0.106 0.039 Pakistan Journal of Medical Sciences 0.089 0.039 Pakistan Journal of Nutrition 0.296 0.039 Pakistan journal of pharmaceutical sciences. 0.091 0.049 Pakistan Journal of Scientific and Industrial Research 0.031 0.034 Pakistan Journal of Statistics 0.127 0.034 Pakistan Journal of Zoology 0.108 0.035 Palaeogeography, Palaeoclimatology, Palaeoecology 0.895 0.209 Palaeontographica Canadiana 0.988 0.058 Palaeontographica, Abteilung A: Palaozoologie - Stratigraphie 0.355 0.108 Palaeontologia Electronica 0.416 0.060 Palaeontology 0.580 0.152 Palaeoworld 0.323 0.071 Palaios 0.547 0.142 Palontologische Zeitschrift 0.247 0.042 PalArch's Journal of Vertebrate Palaeontology 0.036 Paleobiology 0.750 0.666 Paleoceanography 0.891 0.382 Paleontological Journal 0.142 0.039 Paleontological Research 0.252 0.046 Paleopathology newsletter 0.039 Palliative & supportive care. 0.381 0.133 Palliative Medicine 0.913 0.170 Palpu Chongi Gisul/Journal of Korea Technical Association of the Pulp and Paper Industry 0.060 0.034 Palynology 0.150 0.177 Pan Arab Journal of Neurosurgery 0.034 Pan Tao Ti Hsueh Pao/Chinese Journal of Semiconductors 0.183 0.038 Pan-American Journal of Aquatic Sciences 0.073 0.038 Panamerican Mathematical Journal 0.031 0.035 Pancreas 0.668 0.329 Pancreatology 0.839 0.343 Panminerva Medica 0.244 0.102 Pan-Pacific Entomologist 0.089 0.036 Papeis Avulsos de Zoologia 0.116 0.036 Papeles del Psicologo 0.092 0.040 Papers in Meteorology and Geophysics 0.135 0.049 Papers in Regional Science 0.375 0.048 Papers of the British School at Rome 0.034 Papers on French Seventeenth Century Literature 0.061 0.034 Papers on Language and Literature 0.000 0.034 Papua and New Guinea Medical Journal 0.000 0.034 Paragraph 0.062 0.036 Parallax 0.000 0.034 Parallel and Distributed Computing, Applications and Technologies, PDCAT Proceedings 0.082 0.035 Parallel Architectures and Compilation Techniques - Conference Proceedings, PACT 0.362 0.047 Parallel Computing 0.622 0.065 Parallel Processing Letters 0.303 0.044 Parasite 0.310 0.089 Parasite Immunology 0.456 0.208 Parasites and Vectors 0.338 0.179 Parasitologia Latinoamericana 0.055 0.038 Parasitology 0.684 0.207 Parasitology International 0.592 0.179 Parasitology Research 0.497 0.122 Parassitologia 0.198 0.065 Parazitologiya 0.036 0.037 Parenting 0.194 0.043 Parergon 0.034 Parish Nurse Perspectives 0.039 Park Science 0.089 0.040 Parkinsonism and Related Disorders 0.401 0.248 Parliamentary Affairs 0.497 0.040 Partial Answers 0.117 0.034 Particle and Fibre Toxicology 0.905 0.318 Particle and Particle Systems Characterization 0.311 0.062 Particulate Science and Technology 0.362 0.059 Particuology 0.341 0.060 Party Politics 0.830 0.043 Past & Present 0.395 0.035 Pastoral Psychology 0.319 0.036 Pathobiology 0.476 0.315 Pathologe 0.143 0.059 Pathologica 0.044 0.038 Pathologie Biologie 0.185 0.086 Pathology 0.565 0.251 Pathology and Oncology Research 0.290 0.146 Pathology Case Reviews 0.073 0.043 Pathology International 0.503 0.207 Pathology Research and Practice 0.353 0.166 Pathophysiology 0.520 0.204 Pathophysiology of Haemostasis and Thrombosis 0.265 0.132 Patient Education and Counseling 0.790 0.161 Patologia del Aparato Locomotor 0.023 0.034 Patologicheskaia fiziologiia i ksperimental'naia terapiia 0.032 0.036 Pattern Analysis and Applications 0.812 0.059 Pattern Recognition 1.635 0.088 Pattern Recognition and Image Analysis 0.159 0.037 Pattern Recognition Letters 1.205 0.095 Patterns of Prejudice 0.132 0.035 PCI Journal 0.226 0.037 PDA Journal of Pharmaceutical Science and Technology 0.182 0.068 Peabody Journal of Education 0.450 0.036 Peace and Conflict 0.243 0.038 Peace Economics, Peace Science and Public Policy 0.452 0.035 Peace Review 0.052 0.034 Pedagogika 0.034 Pedagogy, Culture and Society 0.319 0.038 Pediatria Catalana 0.000 0.034 Pediatria i Medycyna Rodzinna 0.017 0.034 Pediatria Integral 0.028 0.034 Pediatria Medica e Chirurgica 0.035 0.042 Pediatria polska 0.024 0.035 Pediatria Wspolczesna 0.050 0.035 Pediatric Allergy and Immunology 0.621 0.233 Pediatric and Developmental Pathology 0.344 0.127 Pediatric Annals 0.088 0.061 Pediatric Asthma, Allergy and Immunology 0.084 0.051 Pediatric Blood and Cancer 0.556 0.261 Pediatric Cardiology 0.332 0.127 Pediatric Clinics of North America 0.599 0.135 Pediatric critical care medicine : a journal of the Society of Critical Care Medicine and the World Federation of Pediatric Intensive and Critical Care Societies 0.568 0.180 Pediatric dentistry 0.251 0.056 Pediatric Dermatology 0.368 0.111 Pediatric Diabetes 0.598 0.259 Pediatric emergency care 0.372 0.098 Pediatric Endocrinology Reviews 0.213 0.138 Pediatric Exercise Science 0.428 0.079 Pediatric Health 0.062 0.045 Pediatric Hematology and Oncology 0.256 0.108 Pediatric Infectious Disease Journal 0.753 0.330 Pediatric nephrology (Berlin, Germany) 0.651 0.223 Pediatric Neurology 0.472 0.149 Pediatric Neurosurgery 0.400 0.079 Pediatric nursing 0.180 0.049 Pediatric Physical Therapy 0.575 0.092 Pediatric Pulmonology 0.524 0.205 Pediatric pulmonology. Supplement 0.035 Pediatric Radiology 0.592 0.131 Pediatric Research 0.652 0.287 Pediatric Rheumatology 0.193 0.060 Pediatric Surgery International 0.472 0.095 Pediatric Transplantation 0.393 0.155 Pediatrics 1.650 0.458 Pediatrics and Neonatology 0.141 0.058 Pediatrics in review / American Academy of Pediatrics 0.172 0.056 Pediatrics International 0.323 0.084 Pediatrika 0.034 Pediatriya 0.034 Pedobiologia 0.785 0.087 Pedosphere 0.640 0.072 Pelvi-perineologie 0.069 0.035 Penn State Environmental Law Review 0.000 0.034 Pennsylvania dental journal 0.000 0.034 Pennsylvania Geographer 0.034 Pennsylvania Magazine of History and Biography 0.034 Pensamiento 0.034 Pensee 0.034 Pensee Plurielle 0.034 People and place 0.239 0.052 Peptides 0.666 0.275 Perception 0.440 #N/A Perceptual and Motor Skills 0.177 0.047 Performance Apparel Markets 0.034 Performance Evaluation 1.502 0.070 Performance Evaluation Review 0.470 0.051 Performance Measurement and Metrics 0.149 0.040 Performance Research 0.063 0.034 Perfusion 0.155 0.067 Perinatology 0.010 0.035 Periodica Mathematica Hungarica 0.413 0.041 Periodica Polytechnica Transportation Engineering 0.034 Periodica Polytechnica, Electrical Engineering 0.000 0.034 Periodica Polytechnica, Mechanical Engineering 0.000 0.034 Periodica Polytechnica: Chemical Engineering 0.099 0.037 Periodica Polytechnica: Civil Engineering 0.000 0.034 Periodico di Mineralogia 0.059 0.036 Periodicum Biologorum 0.134 0.039 Periodontology 2000 0.999 0.271 Perioperative Nursing Clinics 0.130 0.036 Peritoneal Dialysis International 0.596 0.127 Permafrost and Periglacial Processes 0.535 0.097 Personal and Ubiquitous Computing 0.310 0.058 Personal Relationships 0.599 0.077 Personality and Individual Differences 0.835 0.095 Personality and Social Psychology Bulletin 1.481 0.132 Personality and Social Psychology Review 4.432 0.390 Personalized Medicine 0.060 0.118 Personnel Psychology 2.149 0.085 Personnel Review 0.461 0.043 Persoonia: Molecular Phylogeny and Evolution of Fungi 0.755 0.085 Perspectivas em Ciencia da Informacao 0.034 Perspective infirmiere : revue officielle de l'Ordre des infirmieres et infirmiers du Quebec 0.003 0.034 Perspectives (Gerontological Nursing Association (Canada)) 0.000 0.034 Perspectives in Biology and Medicine 0.351 0.093 Perspectives in Education 0.202 0.037 Perspectives in health information management / AHIMA, American Health Information Management Association 0.074 0.041 Perspectives in Medical Virology 0.015 0.037 Perspectives in Plant Ecology, Evolution and Systematics 1.420 0.271 Perspectives in Psychiatric Care 0.357 0.051 Perspectives in vascular surgery and endovascular therapy. 0.340 0.120 Perspectives on European Politics and Society 0.133 0.035 Perspectives on Global Development and Technology 0.157 0.035 Perspectives on Labour and Income 0.317 0.038 Perspectives on Political Science 0.108 0.034 Perspectives on Politics 0.811 0.045 Perspectives on Sexual and Reproductive Health 1.391 0.264 Perspektiven der Wirtschaftspolitik 0.295 0.038 Pertanika Journal of Tropical Agricultural Science 0.073 0.034 Pervasive and Mobile Computing 0.176 0.051 PESC Record - IEEE Annual Power Electronics Specialists Conference 0.151 0.040 Pesquisa Agropecuaria Brasileira 0.280 0.046 Pesquisa odontologica brasileira = Brazilian oral research 0.260 0.051 Pesquisa Operacional 0.061 0.036 Pesquisa Veterinaria Brasileira 0.189 0.042 Pest Management Science 0.790 0.118 Pesticide Biochemistry and Physiology 0.771 0.114 Pestology 0.065 0.034 PET Clinics 0.030 0.060 Petrochemical Technology 0.055 0.036 Petroleum Chemistry 0.033 0.039 Petroleum Exploration and Development 1.217 0.087 Petroleum Geoscience 0.572 0.057 Petroleum Processing and Petrochemicals 0.056 0.036 Petroleum Refinery Engineering 0.051 0.035 Petroleum Science 0.052 0.036 Petroleum Science and Technology 0.151 0.041 Petroleum Technology Quarterly 0.115 0.034 Petrology 0.395 0.047 Petrophysics 0.488 0.040 Pferdeheilkunde 0.117 0.038 Pflanzenbauwissenschaften 0.265 0.043 Pflege 0.208 0.038 Pflege Zeitschrift 0.007 0.034 Pflugers Archiv European Journal of Physiology 0.664 0.561 Pharma Times 0.010 0.036 Pharmaca 0.125 0.041 Pharmaceutical Biology 0.244 0.053 Pharmaceutical Care and Research 0.017 0.036 Pharmaceutical Care Espana 0.000 0.034 Pharmaceutical Chemistry Journal 0.042 0.040 Pharmaceutical Development and Technology 0.409 0.086 Pharmaceutical Engineering 0.016 0.035 Pharmaceutical historian 0.115 0.035 Pharmaceutical Journal 0.066 0.042 Pharmaceutical Research 0.888 0.350 Pharmaceutical Statistics 0.397 0.196 Pharmaceutical Technology 0.155 0.049 Pharmaceutical Technology Europe 0.025 0.039 Pharmaceutisch Weekblad 0.006 0.035 Pharmaceutische Weekblad Wetenschappelijk Platform 0.034 Pharmacia 0.000 0.034 Pharmacien Hospitalier 0.083 0.037 PharmacoEconomics 0.873 0.195 PharmacoEconomics - Italian Research Articles 0.244 0.062 Pharmacoeconomics - Spanish Research Articles 0.148 0.035 Pharmacoepidemiology and Drug Safety 0.702 0.228 Pharmacogenetics and Genomics 0.842 0.537 Pharmacogenomics 0.483 0.410 Pharmacogenomics Journal 0.797 0.596 Pharmacological Reports 0.473 0.179 Pharmacological Research 0.783 0.323 Pharmacological Reviews 4.819 2.920 Pharmacology 0.438 0.170 Pharmacology and Therapeutics 1.827 1.103 Pharmacology Biochemistry and Behavior 0.746 0.248 Pharmacologyonline 0.140 0.037 Pharmacopeial Forum 0.236 0.058 Pharmacopsychiatry 0.354 0.153 Pharmacotherapy 0.629 0.243 Pharmacy Education 0.269 0.062 Pharmacy in history 0.000 0.034 Pharmacy in Practice 0.022 0.038 Pharmacy News 0.034 Pharmacy Practice 0.156 0.043 Pharmacy world & science : PWS 0.452 0.086 Pharma-Kritik 0.034 Pharmazeutische Industrie 0.014 0.035 Pharmazeutische Zeitung 0.026 0.034 Pharmazie 0.201 0.073 Pharmazie in unserer Zeit 0.049 0.036 Pharmeuropa bio / the Biological Standardisation Programme, EDQM 0.304 0.077 Pharmeuropa scientific notes 0.145 0.063 Phase Transitions 0.338 0.096 Phenomenology and the Cognitive Sciences 0.589 0.066 Phi Delta Kappan 0.204 0.035 Philippine Agricultural Scientist 0.015 0.036 Philippine Journal of Nursing 0.034 Philologus 0.142 0.034 Philosophia 0.081 0.035 Philosophia Africana 0.034 Philosophia Mathematica 0.861 0.037 Philosophical Forum 0.057 0.034 Philosophical Investigations 0.325 0.034 Philosophical Magazine 0.579 0.118 Philosophical Magazine Letters 0.614 0.151 Philosophical Psychology 0.203 0.048 Philosophical Quarterly 0.952 0.043 Philosophical Review 0.330 0.057 Philosophical Studies 0.584 0.040 Philosophical Transactions - Royal Sociey of London, A 0.620 0.192 Philosophical Transactions of the Royal Society B: Biological Sciences 1.144 0.848 Philosophisches Jahrbuch 0.034 Philosophy 0.149 0.035 Philosophy and Literature 0.065 0.034 Philosophy and Phenomenological Research 0.239 0.037 Philosophy and Public Affairs 1.494 0.058 Philosophy and Rhetoric 0.155 0.036 Philosophy and Social Criticism 0.071 #N/A Philosophy East and West 0.328 0.034 Philosophy of Science 0.311 0.050 Philosophy of the Social Sciences 0.485 0.041 Philosophy Today 0.058 0.034 Philosophy, Ethics, and Humanities in Medicine 0.318 0.071 Phlebologie 0.210 0.046 Phlebology 0.347 0.108 Phlebolymphology 0.095 0.041 Phoenix 0.034 Phonetica 0.708 0.052 Phonology 1.225 0.043 Phosphorus, Sulfur and Silicon and Related Elements 0.134 0.052 Photochemical and Photobiological Sciences 0.769 0.229 Photochemistry 0.169 0.042 Photochemistry and Photobiology 0.672 0.227 Photodermatology Photoimmunology and Photomedicine 0.585 0.160 Photodiagnosis and Photodynamic Therapy 0.265 0.069 Photogrammetric Engineering and Remote Sensing 0.707 0.065 Photogrammetric Record 0.666 0.060 Photomedicine and Laser Surgery 0.722 0.086 Photonic Network Communications 0.536 0.051 Photonics and Nanostructures - Fundamentals and Applications 0.715 0.200 Photonics Spectra 0.016 0.037 Photosynthesis Research 0.556 0.259 Photosynthetica 0.479 0.073 Phronesis 0.091 0.035 Phycologia 0.487 0.139 Phyllomedusa 0.166 0.036 Physica A: Statistical Mechanics and its Applications 0.685 0.098 Physica B: Condensed Matter 0.505 0.085 Physica C: Superconductivity and its Applications 0.407 0.067 Physica D: Nonlinear Phenomena 0.825 0.091 Physica E: Low-Dimensional Systems and Nanostructures 0.340 0.094 Physica Medica 0.205 0.087 Physica Scripta 0.323 0.063 Physica Status Solidi - Rapid Research Letters 0.673 0.273 Physica Status Solidi (A) Applications and Materials 0.550 0.126 Physica Status Solidi (B) Basic Research 0.443 0.111 Physica Status Solidi (C) Current Topics in Solid State Physics 0.265 0.064 Physical and Occupational Therapy in Geriatrics 0.210 0.040 Physical and Occupational Therapy in Pediatrics 0.549 0.064 Physical Biology 0.539 0.691 Physical Chemistry Chemical Physics 1.177 0.330 Physical Communication 0.034 Physical Geography 0.147 0.042 Physical Medicine and Rehabilitation Clinics of North America 0.324 0.095 Physical Mesomechanics 0.339 0.043 Physical Oceanography 0.000 0.034 Physical Review A - Atomic, Molecular, and Optical Physics 0.577 0.159 Physical Review B - Condensed Matter and Materials Physics 0.751 0.200 Physical Review C - Nuclear Physics 0.798 0.089 Physical Review D - Particles, Fields, Gravitation and Cosmology 0.608 0.105 Physical Review E - Statistical, Nonlinear, and Soft Matter Physics 0.628 0.135 Physical Review Letters 1.367 0.459 Physical Review Special Topics - Accelerators and Beams 0.598 0.079 Physical Review Special Topics - Physics Education Research 1.103 0.050 Physical Separation in Science and Engineering 0.000 0.034 Physical therapy 1.091 0.169 Physical Therapy in Sport 0.325 0.048 Physician and Sportsmedicine 0.000 0.034 Physician executive 0.029 0.040 Physicist 0.059 0.036 Physicochemical Problems of Mineral Processing 0.060 0.042 Physics and Chemistry of Glasses: European Journal of Glass Science and Technology Part B 0.241 0.059 Physics and Chemistry of Liquids 0.284 0.059 Physics and Chemistry of Minerals 0.786 0.133 Physics and Chemistry of the Earth 0.506 0.060 Physics Education 0.316 0.038 Physics in Medicine and Biology 1.008 0.238 Physics in Perspective 0.190 0.044 Physics Letters, Section A: General, Atomic and Solid State Physics 0.960 0.107 Physics Letters, Section B: Nuclear, Elementary Particle and High- Energy Physics 1.145 0.148 Physics of Atomic Nuclei 0.213 0.048 Physics of Fluids 0.836 0.091 Physics of Life Reviews 1.111 0.269 Physics of Metals and Metallography 0.264 0.041 Physics of Particles and Nuclei 0.149 0.051 Physics of Particles and Nuclei Letters 0.119 0.038 Physics of Plasmas 0.719 0.103 Physics of the Earth and Planetary Interiors 0.905 0.188 Physics of the Solid State 0.065 0.044 Physics Report 5.235 0.983 Physics-Uspekhi 0.330 0.073 Physikalische Medizin Rehabilitationsmedizin Kurortmedizin 0.109 0.038 Physiologia Plantarum 0.767 0.259 Physiological and Biochemical Zoology 0.740 0.151 Physiological and Molecular Plant Pathology 0.425 0.110 Physiological Chemistry and Physics and Medical NMR 0.107 0.060 Physiological Entomology 0.570 0.089 Physiological Genomics 0.743 0.626 Physiological Measurement 0.604 0.117 Physiological Research 0.313 0.117 Physiological Reviews 8.365 6.613 Physiology 1.472 1.426 Physiology and Behavior 0.911 0.312 Physiology and Molecular Biology of Plants 0.075 0.040 Physiotherapy 0.257 0.053 Physiotherapy research international : the journal for researchers and clinicians in physical therapy 0.667 0.095 Physiotherapy Singapore 0.000 0.034 Physiotherapy Theory and Practice 0.526 0.074 Physis 0.034 Phytochemical Analysis 0.390 0.105 Phytochemistry 0.876 0.256 Phytochemistry Letters 0.355 0.059 Phytochemistry Reviews 0.871 0.209 Phytocoenologia 0.302 0.051 Phytomedicine 0.815 0.137 Phytomorphology: An International Journal of Plant Morphology 0.055 0.037 Phyton 0.034 Phyton - Annales Rei Botanicae 0.248 0.046 Phytoparasitica 0.290 0.049 Phytopathologia Mediterranea 0.507 0.052 Phytopathology 0.821 0.139 Phytoprotection 0.172 0.043 Phytotherapie 0.016 0.037 Phytotherapie Europeenne 0.034 Phytotherapy Research 0.585 0.117 Piel 0.030 0.034 Pigment and Resin Technology 0.291 0.059 Pigment Cell and Melanoma Research 1.006 0.728 PIK Report 0.000 0.034 Pirineos 0.054 0.038 Pituitary 0.484 0.164 Place Branding and Public Diplomacy 0.000 Placenta 0.720 0.281 Plains Anthropologist 0.068 0.037 Plainsong and Medieval Music 0.034 Plan Canada 0.009 0.034 Planet Earth 0.008 0.034 Planetary and Space Science 0.707 0.213 Plankton and Benthos Research 0.308 0.058 Planning 0.027 0.034 Planning Advisory Service Memo 0.034 Planning Perspectives 0.286 0.034 Planning Practice & Research 0.221 0.035 Planning Theory 0.689 0.043 Planning Theory and Practice 0.159 0.037 Plant and Cell Physiology 0.829 0.574 Plant and Soil 0.970 0.123 Plant Biology 0.636 0.234 Plant Biosystems 0.150 0.049 Plant Biotechnology 0.223 0.092 Plant Biotechnology Journal 1.132 0.605 Plant Biotechnology Reports 0.267 0.105 Plant Breeding 0.468 0.079 Plant Cell 1.859 2.325 Plant Cell Biotechnology and Molecular Biology 0.063 0.034 Plant Cell Reports 0.608 0.168 Plant Cell, Tissue and Organ Culture 0.659 0.074 Plant Disease 0.845 0.095 Plant Ecology 0.788 0.086 Plant Engineer (London) 0.034 Plant Foods for Human Nutrition 0.736 0.103 Plant Genetic Resources: Characterisation and Utilisation 0.375 0.056 Plant Growth Regulation 0.724 0.099 Plant Journal 1.470 1.494 Plant Methods 0.507 0.526 Plant Molecular Biology 0.933 0.565 Plant Molecular Biology Reporter 0.378 0.063 Plant Pathology 0.646 0.091 Plant Pathology Journal 0.070 0.040 Plant Physiology 1.451 1.117 Plant Physiology and Biochemistry 0.810 0.264 Plant Physiology Communications 0.016 0.034 Plant Production Science 0.490 0.057 Plant Protection Quarterly 0.275 0.049 Plant Protection Science 0.109 0.039 Plant Root 0.711 0.036 Plant Science 0.811 0.198 Plant Signaling and Behavior 0.106 0.074 Plant Species Biology 0.313 0.053 Plant Systematics and Evolution 0.562 0.108 Plant Tissue Culture and Biotechnology 0.049 0.036 Plant, Cell and Environment 1.437 0.559 Plant, Soil and Environment 0.360 0.051 Planta 0.880 0.425 Planta Daninha 0.277 0.039 Planta Medica 0.503 0.126 Plasma Chemistry and Plasma Processing 0.752 0.120 Plasma Devices and Operations 0.227 0.049 Plasma Physics and Controlled Fusion 0.916 0.118 Plasma Physics Reports 0.154 0.045 Plasma Processes and Polymers 0.724 0.125 Plasma Science and Technology 0.218 0.045 Plasma Sources Science and Technology 0.860 0.115 Plasmid 0.504 0.291 Plasmonics 0.814 0.286 Plastic and reconstructive surgery 1.134 0.160 Plastic surgical nursing : official journal of the American Society of Plastic and Reconstructive Surgical Nurses 0.140 0.046 Plastics Engineering 0.032 0.038 Plastics Technology 0.014 0.034 Plastics, Additives and Compounding 0.136 0.042 Plastics, Rubber and Composites 0.137 0.042 Platelets 0.437 0.307 Platinum Metals Review 0.388 0.081 PLoS Biology 1.037 1.936 PLoS Clinical Trials 0.558 0.272 PLoS Computational Biology 0.588 0.807 PLoS genetics. 0.764 1.757 PLoS medicine. 0.819 0.690 PLoS Neglected Tropical Diseases 0.583 0.387 PLoS ONE 0.538 0.721 PLoS pathogens. 0.832 1.180 PMLA 0.279 0.034 Pneumologe 0.021 0.034 Pneumologia (Bucharest, Romania) 0.018 0.034 Pneumologie 0.166 0.051 Pneumonologia i Alergologia Polska 0.042 0.040 Podravina 0.034 Poetica 0.034 Poetics 0.546 0.041 Poetics Today 0.471 0.034 Poetry Review 0.034 Poetry Wales 0.034 Poiesis und Praxis 0.051 0.036 Point of Care 0.084 0.053 Point Veterinaire 0.038 0.035 Polar Biology 0.576 0.075 Polar Geography 0.000 0.034 Polar Record 0.198 0.051 Polar Research 0.365 0.057 Polar Science 0.356 0.044 Polarforschung 0.131 0.043 Police Quarterly 0.276 0.039 Policing 0.387 0.042 Policlinico - Sezione Medica 0.034 Policy and Politics 0.682 0.043 Policy and Society 0.061 #N/A Policy brief (UCLA Center for Health Policy Research) 0.270 0.071 Policy Review 0.077 0.034 Policy Sciences 0.638 0.041 Policy Studies 0.293 0.037 Policy Studies Journal 0.822 0.047 Policy, politics & nursing practice. 0.428 0.071 Polimeri (Zagreb) 0.034 Polimeros 0.058 0.040 Polimery w medycynie 0.034 0.045 Polimery/Polymers 0.492 0.054 Polish Botanical Journal 0.130 0.038 Polish Botanical Studies 0.051 0.035 Polish Geological Institute Special Papers 0.071 0.036 Polish Journal of Chemistry 0.208 0.053 Polish Journal of Ecology 0.337 0.045 Polish Journal of Environmental Studies 0.172 0.044 Polish Journal of Microbiology 0.125 0.057 Polish Journal of Pathology 0.117 0.053 Polish Journal of Radiology 0.023 0.037 Polish Journal of Soil Science 0.125 0.038 Polish journal of veterinary sciences 0.138 0.051 Polish Maritime Research 0.251 0.034 Polish Polar Research 0.381 0.050 Polish Sociological Review 0.090 0.035 Political Analysis 2.643 0.089 Political Behavior 0.614 0.043 Political Communication 0.768 0.042 Political Geography 1.041 0.062 Political Power and Social Theory 0.000 0.034 Political Psychology 0.852 0.061 Political Quarterly 0.263 0.039 Political Research Quarterly 0.665 0.041 Political Science 0.277 0.035 Political Science Quarterly 0.449 0.036 Political Studies 0.720 0.042 Political Studies Review 0.380 0.036 Political Theory 0.251 0.036 Politicka Ekonomie 0.343 0.037 Politics 0.665 0.040 Politics and Policy 0.205 #N/A Politics and Society 1.302 0.046 Politics and the Life Sciences 0.058 0.037 Politikon 0.568 0.036 Politische Vierteljahresschrift 0.153 0.035 Polity 0.172 0.034 Poljoprivreda 0.034 Pollack Periodica 0.339 0.041 Pollution Atmospherique 0.067 0.037 Pollution Research 0.028 0.034 Polski merkuriusz lekarski : organ Polskiego Towarzystwa Lekarskiego 0.089 0.040 Polski Przeglad Chirurgiczny 0.032 0.035 Polski Przeglad Kardiologiczny 0.047 0.036 Polskie Archiwum Medycyny Wewnetrznej 0.101 0.050 Polycyclic Aromatic Compounds 0.354 0.065 Polyhedron 0.588 0.110 Polymer 1.412 0.248 Polymer - Plastics Technology and Engineering 0.226 0.045 Polymer (Korea) 0.180 0.044 Polymer Bulletin 0.344 0.078 Polymer Composites 0.503 0.069 Polymer Degradation and Stability 1.180 0.131 Polymer Engineering and Science 0.609 0.082 Polymer International 0.761 0.147 Polymer Journal 0.416 0.110 Polymer Reviews 1.173 0.460 Polymer Science - Series A 0.072 0.043 Polymer Science - Series B 0.069 0.039 Polymer Science - Series C 0.082 0.046 Polymer Testing 1.001 0.096 Polymers and Polymer Composites 0.127 0.045 Polymers for Advanced Technologies 0.547 0.117 Pomegranate 0.034 Pomorstvo 0.034 Popular Music 0.186 0.035 Popular Music and Society 0.122 0.036 Population (Paris) 0.101 0.047 Population and Development Review 1.012 0.118 Population and Environment 0.286 0.045 Population Bulletin 0.227 0.053 Population Ecology 0.432 0.098 Population Health Management 0.285 0.119 Population Health Metrics 1.005 0.354 Population reports. Series J: Family planning programs 0.036 Population Research and Policy Review 0.345 0.056 Population Studies 0.705 0.076 Population trends 0.125 0.038 Population, Space and Place 0.393 0.051 Portal 0.449 0.047 Portland International Conference on Management of Engineering and Technology 0.045 0.034 Portugaliae Electrochimica Acta 0.063 0.045 Portuguese Economic Journal 0.261 0.036 Portuguese Studies 0.034 Positif 0.033 Positions 0.329 0.034 Positively aware : the monthly journal of the Test Positive Aware Network 0.000 0.034 Positivity 0.551 0.041 Post-Communist Economies 0.311 0.038 Postepy biochemii 0.056 0.048 Postepy Dermatologii I Alergologii 0.042 0.034 Postepy Hig Med Dosw (Online) 0.177 0.077 Postepy Mikrobiologii 0.086 0.035 Postepy Rehabilitacji 0.034 Postepy w Kardiologii Interwencyjnej 0.034 Postgraduate Medical Journal 0.545 0.143 Postgraduate Medicine 0.137 0.080 Postharvest Biology and Technology 1.224 0.120 Postmodern Culture 0.034 Post-Soviet Affairs 0.574 0.041 Potato Research 0.309 0.048 Potential Analysis 0.672 0.050 Poultry Science 0.446 0.070 Pouvoirs: Revue d'Etudes Constitutionnelles et Politiques 0.053 0.034 Powder Diffraction 0.179 0.080 Powder Handling and Processing 0.038 0.034 Powder Metallurgy 0.244 0.049 Powder Metallurgy and Metal Ceramics 0.153 0.039 Powder Technology 1.298 0.105 Power Electronics Technology 0.055 0.035 PPAR Research 0.271 0.250 PPI Europe 0.033 PPmP Psychotherapie Psychosomatik Medizinische Psychologie 0.264 0.053 Prace - Panstwowego Instytutu Geologicznego 0.022 0.034 Prace Naukowe Instytutu Budownictwa Politechniki Wroclawskiej 0.034 Prace Naukowe Instytutu Gornictwa Politechniki Wroclawskiej 0.034 Pracovni Lekarstvi 0.225 0.037 Practica Otologica 0.000 0.033 Practica Otologica, Supplement 0.034 Practica Pediatrica 0.034 Practical Cardiovascular Risk Management 0.034 Practical Diabetes International 0.040 0.039 Practical Gastroenterology 0.021 0.042 Practical Neurology 0.274 0.108 Practical procedures & aesthetic dentistry : PPAD 0.078 0.040 Practice 0.241 #N/A Practice Development in Health Care 0.339 0.037 Practice Periodical of Hazardous, Toxic, and Radioactive Waste Management 0.195 0.052 Practice Periodical on Structural Design and Construction 0.307 0.040 Pragmatics 0.059 0.036 Pragmatics and Cognition 0.336 0.043 Prague medical report 0.105 0.050 Prahistorische Zeitschrift 0.034 Prairie Forum 0.000 0.034 Prakticky Lekar 0.081 0.036 Praktische Metallographie/Practical Metallography 0.246 0.046 Praktische Tierarzt 0.109 0.039 Pramana - Journal of Physics 0.158 0.043 Praticien en Anesthesie Reanimation 0.034 Pratique Medicale et Chirurgicale de l'Animal de Compagnie 0.053 0.034 Pratique Vet 0.013 0.034 Pratiques en Nutrition 0.034 Pratiques Psychologiques 0.200 0.036 Pravention und Gesundheitsforderung 0.083 0.036 Pravention und Rehabilitation 0.000 0.034 Praxis der Kinderpsychologie und Kinderpsychiatrie 0.173 0.037 Precambrian Research 0.949 0.112 Precision Agriculture 0.651 0.061 Precision Engineering 1.340 0.086 Prehospital and disaster medicine : the official journal of the National Association of EMS Physicians and the World Association for Emergency and Disaster Medicine in association with the Acute Care Foundation 0.141 0.056 Prehospital emergency care : official journal of the National Association of EMS Physicians and the National Association of State EMS Directors 0.442 0.116 Prenatal Diagnosis 0.546 0.179 Prensa Medica Argentina 0.000 0.034 Preparative Biochemistry and Biotechnology 0.190 0.079 Prescrire International 0.066 0.046 Presence: Teleoperators and Virtual Environments 1.033 0.072 Preservation 0.029 0.034 Preslia 0.747 0.082 Presse Medicale 0.157 0.054 Preventing chronic disease [electronic resource]. 0.508 0.161 Prevention science : the official journal of the Society for Prevention Research 1.153 0.146 Preventive Cardiology 0.176 0.114 Preventive Medicine 1.011 0.234 Preventive Veterinary Medicine 0.926 0.116 Prevenzione e Assistenza Dentale 0.034 Prikladnaia biokhimiia i mikrobiologiia 0.147 0.042 Prilozi / Makedonska akademija na naukite i umetnostite, Oddelenie za bioloki i medicinski nauki = Contributions / Macedonian Academy of Sciences and Arts, Section of Biological and Medical Sciences. 0.176 0.058 Primary Care - Clinics in Office Practice 0.263 0.080 Primary Care Companion to the Journal of Clinical Psychiatry 0.261 0.075 Primary Care Diabetes 0.240 0.066 Primary Care Respiratory Journal 0.365 0.175 Primary dental care : journal of the Faculty of General Dental Practitioners (UK) 0.146 0.046 Primary Psychiatry 0.041 0.040 Primates 0.654 0.133 Primerjalna Knjizevnost 0.034 Print Quarterly 0.274 0.034 Printed Circuit Design and Fab 0.108 0.035 Prion 0.175 0.208 Prison Journal 0.230 0.060 Privredna Kretanja i Ekonomska Politika 0.034 Pro Fono 0.186 0.039 Probabilistic Engineering Mechanics 0.788 0.068 Probability in the Engineering and Informational Sciences 0.795 0.054 Probability Theory and Related Fields 1.021 0.070 Problemos 0.032 0.034 Problems of Infectious and Parasitic Diseases 0.000 0.034 Problems of Information Transmission 0.446 0.047 Problems of Post-Communism 0.254 0.036 Problemy sotsialnoi gigieny i istoriia meditsiny / NII sotsialnoi gigieny, konomiki i upravleniia zdravookhraneniem im. N.A. Semashko RAMN ; AO "Assotsiatsiia 'Meditsinskaia literatura'." 0.000 0.033 Problemy tuberkuleza i boleznei legkikh 0.005 0.034 Probus 0.000 0.034 Proceedings - American Philosophical Society 0.066 0.061 Proceedings - Annual SAFE Symposium (Survival and Flight Equipment Association) 0.000 0.034 Proceedings - Electronic Components and Technology Conference 0.258 0.050 Proceedings - Euromicro Conference on Real-Time Systems 0.413 0.042 Proceedings - Frontiers in Education Conference 0.007 0.033 Proceedings - Graphics Interface 0.620 0.047 Proceedings - IEEE INFOCOM 0.676 0.050 Proceedings - IEEE International Conference on Robotics and Automation 0.373 0.045 Proceedings - IEEE International Symposium on Circuits and Systems 0.169 0.043 Proceedings - IEEE Military Communications Conference MILCOM 0.004 0.034 Proceedings - International Conference on Data Engineering 0.488 0.054 Proceedings - International Conference on Distributed Computing Systems 0.046 0.034 Proceedings - International Conference on Software Engineering 0.371 0.049 Proceedings - International Symposium on Discharges and Electrical Insulation in Vacuum, ISDEIV 0.046 0.036 Proceedings - International Symposium on Electrets 0.000 0.034 Proceedings - Rapid Excavation and Tunneling Conference 0.000 0.034 Proceedings - Real-Time Systems Symposium 0.443 0.039 Proceedings - Royal Society of Victoria 0.087 0.038 Proceedings - SPE Annual Technical Conference and Exhibition 0.002 0.033 Proceedings - SPE International Symposium on Formation Damage Control 0.034 Proceedings - SPE International Symposium on Oilfield Chemistry 0.000 0.034 Proceedings - SPE Symposium on Improved Oil Recovery 0.033 Proceedings - Symposium on Computer Arithmetic 0.549 0.050 Proceedings - Symposium on Fusion Engineering 0.004 0.034 Proceedings - Symposium on Logic in Computer Science 0.526 0.053 Proceedings - Ussher Society 0.034 Proceedings - Winter Simulation Conference 0.167 0.040 Proceedings ACM SIGUCCS User Services Conference 0.000 0.033 Proceedings of IEEE Sensors 0.073 0.041 Proceedings of Institution of Civil Engineers: Energy 0.034 Proceedings of Institution of Civil Engineers: Management, Procurement and Law 0.254 0.034 Proceedings of Institution of Civil Engineers: Waste and Resource Management 0.034 Proceedings of the ACM Great Lakes Symposium on VLSI, GLSVLSI 0.166 0.040 Proceedings of the ACM International Conference on Digital Libraries 0.096 0.039 Proceedings of the ACM International Multimedia Conference & Exhibition 0.274 0.047 Proceedings of the ACM SIGACT-SIGMOD-SIGART Symposium on Principles of Database Systems 0.784 0.069 Proceedings of the ACM SIGGRAPH Conference on Computer Graphics 0.051 0.035 Proceedings of the ACM SIGKDD International Conference on Knowledge Discovery and Data Mining 0.669 0.093 Proceedings of the ACM SIGMOD International Conference on Management of Data 1.090 0.069 Proceedings of the ACM SIGPLAN Conference on Languages, Compilers, and Tools for Embedded Systems (LCTES) 0.509 0.041 Proceedings of the ACM SIGPLAN Conference on Programming Language Design and Implementation (PLDI) 1.685 0.080 Proceedings of the ACM SIGPLAN International Conference on Functional Programming, ICFP 0.409 0.041 Proceedings of the ACM SIGPLAN Symposium on Partial Evaluation and Semantics-Based Program Manipulation 0.444 0.045 Proceedings of the ACM SIGPLAN Symposium on Principles and Practice of Parallel Programming, PPOPP 0.527 0.047 Proceedings of the ACM Symposium on Applied Computing 0.208 0.039 Proceedings of the American Control Conference 0.241 0.042 Proceedings of the American Thoracic Society 0.716 0.497 Proceedings of the Annual ACM Symposium on Principles of Distributed Computing 0.315 0.041 Proceedings of the Annual ACM Symposium on Theory of Computing 1.049 0.084 Proceedings of the Annual ACM-SIAM Symposium on Discrete Algorithms 0.637 0.064 Proceedings of the Annual IEEE Conference on Computational Complexity 0.701 0.072 Proceedings of the Annual International Conference on Mobile Computing and Networking, MOBICOM 0.787 0.053 Proceedings of the Annual Meeting - Institute of Navigation 0.034 0.034 Proceedings of the Annual Offshore Technology Conference 0.034 Proceedings of the Annual Southeastern Symposium on System Theory 0.241 0.038 Proceedings of the Annual Symposium on Computational Geometry 0.318 0.043 Proceedings of the Annual Symposium on Instrumentation for the Process Industries 0.034 Proceedings of the Aristotelean Society 0.117 0.034 Proceedings of the Asia and South Pacific Design Automation Conference, ASP-DAC 0.422 0.044 Proceedings of the Asian Test Symposium 0.204 0.040 Proceedings of the ASIS Annual Meeting 0.032 0.036 Proceedings of the ASME Turbo Expo 0.025 0.034 Proceedings of the Australian Software Engineering Conference, ASWEC 0.212 0.042 Proceedings of the Biological Society of Washington 0.165 0.042 Proceedings of the Combustion Institute 1.795 0.132 Proceedings of the Conference on Object-Oriented Programming Systems, Languages, and Applications, OOPSLA 0.211 0.042 Proceedings of the Corporate Aviation Safety Seminar 0.034 Proceedings of the Custom Integrated Circuits Conference 0.160 0.048 Proceedings of the Edinburgh Mathematical Society 0.662 0.051 Proceedings of the Entomological Society of Washington 0.189 0.038 Proceedings of the Estonian Academy of Sciences 0.164 0.036 Proceedings of the Euromicro Conference on Software Maintenance and Reengineering, CSMR 0.346 0.043 Proceedings of the Geologists' Association 0.237 0.048 Proceedings of the IASTED International Conference on Modelling and Simulation 0.017 0.034 Proceedings of the IASTED International Conference on Modelling, Identification, and Control, MIC 0.022 0.034 Proceedings of the IASTED International Conference on Parallel and Distributed Computing and Systems 0.032 0.034 Proceedings of the IEEE 1.884 0.194 Proceedings of the IEEE Bipolar/BiCMOS Circuits and Technology Meeting 0.156 0.055 Proceedings of the IEEE Computer Society Conference on Computer Vision and Pattern Recognition 0.122 0.038 Proceedings of the IEEE Conference on Decision and Control 0.190 0.041 Proceedings of the IEEE International Conference on Computer Vision 0.088 0.037 Proceedings of the IEEE International Conference on Engineering of Complex Computer Systems, ICECCS 0.151 0.037 Proceedings of the IEEE International Conference on Industrial Technology 0.067 0.035 Proceedings of the IEEE International Conference on Micro Electro Mechanical Systems (MEMS) 0.260 0.062 Proceedings of the IEEE International Conference on Properties and Applications of Dielectric Materials 0.000 0.033 Proceedings of the IEEE International Conference on VLSI Design 0.272 0.038 Proceedings of the IEEE Particle Accelerator Conference 0.030 0.035 Proceedings of the IEEE Symposium on Computer-Based Medical Systems 0.176 0.041 Proceedings of the IEEE Symposium on Reliable Distributed Systems 0.295 0.040 Proceedings of the IEEE Ultrasonics Symposium 0.087 0.039 Proceedings of the IEEE VLSI Test Symposium 0.338 0.041 Proceedings of the IEEE/CPMT International Electronic Manufacturing Technology (IEMT) Symposium 0.062 0.037 Proceedings of the Indian Academy of Sciences, Earth and Planetary Sciences 0.238 0.057 Proceedings of the Indian Academy of Sciences: Chemical Sciences 0.258 0.074 Proceedings of the Indian Academy of Sciences: Mathematical Sciences 0.264 0.040 Proceedings of the Institute of Civil Engineers: Bridge Engineering 0.045 0.036 Proceedings of the Institute of Civil Engineers: Engineering Sustainability 0.168 0.036 Proceedings of the Institute of Marine Engineering, Science and Technology Part A: Journal of Marine Engineering and Technology 0.000 0.034 Proceedings of the Institution of Civil Engineers: Civil Engineering 0.061 0.035 Proceedings of the Institution of Civil Engineers: Geotechnical Engineering 0.344 0.044 Proceedings of the Institution of Civil Engineers: Ground Improvement 0.077 #N/A Proceedings of the Institution of Civil Engineers: Municipal Engineer 0.084 0.036 Proceedings of the Institution of Civil Engineers: Structures and Buildings 0.230 0.040 Proceedings of the Institution of Civil Engineers: Transport 0.168 0.036 Proceedings of the Institution of Mechanical Engineers Part L: Journal of Materials: Design and Applications 0.159 0.043 Proceedings of the Institution of Mechanical Engineers Part M: Journal of Engineering for the Maritime Environment 0.245 0.041 Proceedings of the Institution of Mechanical Engineers, Part A: Journal of Power and Energy 0.382 0.052 Proceedings of the Institution of Mechanical Engineers, Part B: Journal of Engineering Manufacture 0.333 0.044 Proceedings of the Institution of Mechanical Engineers, Part C: Journal of Mechanical Engineering Science 0.285 0.046 Proceedings of the Institution of Mechanical Engineers, Part D: Journal of Automobile Engineering 0.330 0.044 Proceedings of the Institution of Mechanical Engineers, Part E: Journal of Process Mechanical Engineering 0.359 0.044 Proceedings of the Institution of Mechanical Engineers, Part F: Journal of Rail and Rapid Transit 0.406 0.045 Proceedings of the Institution of Mechanical Engineers, Part G: Journal of Aerospace Engineering 0.441 0.051 Proceedings of the Institution of Mechanical Engineers, Part H: Journal of Engineering in Medicine 0.623 0.102 Proceedings of the Institution of Mechanical Engineers, Part J: Journal of Engineering Tribology 0.338 0.092 Proceedings of the Institution of Mechanical Engineers, Part K: Journal of Multi-body Dynamics 0.384 0.040 Proceedings of the Institution of Mechanical Engineers. Part I, Journal of systems and control engineering 0.299 0.041 Proceedings of the International Conference on Dependable Systems and Networks 0.424 0.038 Proceedings of the International Conference on Microelectronics, ICM 0.044 0.035 Proceedings of the International Conference on Offshore Mechanics and Arctic Engineering - OMAE 0.022 0.034 Proceedings of the International Conference on Parallel and Distributed Systems - ICPADS 0.092 0.035 Proceedings of the International Conference on Supercomputing 0.471 0.048 Proceedings of the International Database Engineering & Applications Symposium, IDEAS 0.176 0.035 Proceedings of the International Instrumentation Symposium 0.000 0.034 Proceedings of the International Joint Conference on Neural Networks 0.030 0.035 Proceedings of the International Offshore and Polar Engineering Conference 0.030 0.034 Proceedings of the International Semiconductor Conference, CAS 0.016 0.034 Proceedings of the International Symposium and Exhibition on Advanced Packaging Materials Processes, Properties and Interfaces 0.000 0.034 Proceedings of the International Symposium on Low Power Design 0.507 0.049 Proceedings of the International Symposium on Mobile Ad Hoc Networking and Computing (MobiHoc) 0.526 0.040 Proceedings of The International Symposium on Multiple-Valued Logic 0.038 0.035 Proceedings of the International Symposium on Parallel Architectures, Algorithms and Networks, I-SPAN 0.195 0.037 Proceedings of the International Symposium on Physical Design 0.368 0.038 Proceedings of the International Symposium on Symbolic and Algebraic Computation, ISSAC 0.655 0.047 Proceedings of the International Thermal Spray Conference 0.097 0.049 Proceedings of the International Workshop on Network and Operating System Support for Digital Audio and Video 0.162 0.034 Proceedings of the International Workshop on Rapid System Prototyping 0.221 0.038 Proceedings of the International Workshop on Temporal Representation and Reasoning 0.111 0.035 Proceedings of the ISOPE Ocean Mining Symposium 0.000 0.034 Proceedings of the Jangjeon Mathematical Society 0.677 0.036 Proceedings of the Japan Academy Series A: Mathematical Sciences 0.275 0.039 Proceedings of the Japan Academy Series B: Physical and Biological Sciences 0.300 0.140 Proceedings of the Linnean Society of New South Wales 0.373 0.047 Proceedings of the London Mathematical Society 0.856 0.047 Proceedings of the Mediterranean Electrotechnical Conference - MELECON 0.085 0.036 Proceedings of the National Academy of Sciences India Section A - Physical Sciences 0.034 Proceedings of the National Academy of Sciences of the United States of America 1.819 2.314 Proceedings of the National Conference on Artificial Intelligence 0.165 0.041 Proceedings of the Nutrition Society 0.566 0.207 Proceedings of the Ocean Drilling Program: Scientific Results 0.046 0.039 Proceedings of the Royal Society A: Mathematical, Physical and Engineering Sciences 0.908 0.100 Proceedings of the SICE Annual Conference 0.043 0.034 Proceedings of the Steklov Institute of Mathematics 0.107 0.040 Proceedings of the Technical Association of the Graphic Arts, TAGA 0.000 0.034 Proceedings of the Western Pharmacology Society 0.046 0.040 Proceedings of the Workshop on Enabling Technologies: Infrastructure for Collaborative Enterprises, WET ICE 0.070 0.035 Proceedings of the World Congress on Intelligent Control and Automation (WCICA) 0.050 0.035 Proceedings of the Yorkshire Geological Society 0.145 0.042 Proceedings, Annual Convention - Gas Processors Association 0.034 Proceedings. Biological sciences / The Royal Society 0.884 0.379 Process Biochemistry 1.245 0.151 Process Safety and Environmental Protection 0.509 0.069 Process Safety Progress 0.432 0.045 Producao 0.015 0.035 Production and Operations Management 1.060 0.062 Production Engineering 0.148 0.041 Production Planning and Control 0.622 0.049 Productions Animales 0.086 0.038 Profesional de la Informacion 0.230 0.040 Professional Case Management 0.186 0.048 Professional Geographer 0.568 0.057 Professional Psychology: Research and Practice 0.833 0.059 Professioni infermieristiche 0.000 0.034 Profiles in healthcare communications. 0.000 0.034 Program 0.212 0.043 Programming and Computer Software 0.042 0.035 Progres en Urologie 0.128 0.055 Progres en Urologie 0.165 0.060 Progresos en Obstetricia y Ginecologia 0.031 0.035 Progress in Aerospace Sciences 1.576 0.094 Progress in Agricultural Engineering Sciences 0.034 Progress in Biochemistry and Biophysics 0.081 0.043 Progress in Biophysics and Molecular Biology 1.013 0.547 Progress in Brain Research 0.640 0.371 Progress in Cardiovascular Diseases 0.859 0.463 Progress in cardiovascular nursing 0.131 0.053 Progress in Chemistry 0.125 0.056 Progress in Colloid and Polymer Science 0.196 0.073 Progress in Computational Fluid Dynamics 0.449 0.047 Progress in Crystal Growth and Characterization of Materials 1.021 0.158 Progress in Development Studies 0.285 0.039 Progress in Drug Research 0.517 0.295 Progress in Electromagnetics Research 0.700 0.198 Progress in Energy and Combustion Science 6.354 0.412 Progress in Experimental Tumor Research 0.104 0.095 Progress in Histochemistry and Cytochemistry 1.564 0.644 Progress in Human Geography 1.390 0.064 Progress in Industrial Ecology 0.206 0.040 Progress in Informatics 0.361 0.043 Progress in Inorganic Chemistry 1.243 0.279 Progress in Lipid Research 2.512 1.443 Progress in Materials Science 9.859 1.407 Progress in medicinal chemistry 0.857 0.247 Progress in molecular and subcellular biology 0.317 0.316 Progress in molecular biology and translational science 0.034 Progress in Natural Science 0.271 0.052 Progress in Neurobiology 2.098 1.411 Progress in neurological surgery 0.175 0.078 Progress in Neurology and Psychiatry 0.034 Progress in Neuro-Psychopharmacology and Biological Psychiatry 0.614 0.212 Progress in Neurotherapeutics and Neuropsychopharmacology 0.049 0.039 Progress in Nuclear Energy 0.385 0.055 Progress in Nuclear Magnetic Resonance Spectroscopy 1.979 0.839 Progress in Nutrition 0.138 0.041 Progress in Oceanography 1.259 0.208 Progress in Optics 0.565 0.149 Progress in Organic Coatings 0.899 0.106 Progress in orthodontics 0.088 0.043 Progress in Palliative Care 0.050 0.059 Progress in Paper Recycling 0.162 0.042 Progress in Particle and Nuclear Physics 6.043 0.461 Progress in Pediatric Cardiology 0.154 0.073 Progress in Photovoltaics: Research and Applications 1.984 0.337 Progress in Physical Geography 0.979 0.126 Progress in Planning 0.316 0.039 Progress in Polymer Science (Oxford) 5.779 1.465 Progress in Quantum Electronics 2.720 0.453 Progress in Reaction Kinetics and Mechanism 0.402 0.067 Progress in Retinal and Eye Research 1.796 0.806 Progress in Rubber, Plastics and Recycling Technology 0.044 0.037 Progress in Solid State Chemistry 1.261 0.202 Progress in Surface Science 2.432 0.573 Progress in Transplantation 0.238 0.092 Progress of Theoretical Physics 0.468 0.071 Progress of Theoretical Physics Supplement 0.127 0.049 Project Inform perspective 0.034 Projections 0.034 Proktologia 0.034 Prolegomena 0.035 Prologue 0.034 Promet - Traffic - Traffico 0.027 0.034 Prometheus 0.316 0.039 Prooftexts - Journal of Jewish Literature History 0.000 0.034 Propagation of Ornamental Plants 0.098 0.036 Propellants, Explosives, Pyrotechnics 0.412 0.074 Property Management 0.171 0.037 Prose Studies 0.000 0.034 Prospects. 0.022 0.034 Prospettiva 0.034 Prostaglandins and Other Lipid Mediators 0.529 0.319 Prostaglandins Leukotrienes and Essential Fatty Acids 0.619 0.273 Prostate 0.777 0.519 Prostate Cancer and Prostatic Diseases 0.497 0.290 Prosthetics and Orthotics International 0.471 0.068 PROtech 0.034 Protection of Metals 0.049 0.038 Protein and Peptide Letters 0.223 0.174 Protein Engineering, Design and Selection 0.648 0.410 Protein Expression and Purification 0.590 0.230 Protein Journal 0.319 0.127 Protein Science 0.868 0.673 Proteins: Structure, Function and Genetics 0.785 0.636 Proteome Science 0.532 0.306 Proteomics 0.807 0.575 Proteomics - Clinical Applications 0.236 0.202 Proteomics - Practical Proteomics 0.528 0.824 Proteus (Shippensburg, Pa.) 0.034 Protist 0.927 0.362 Protoplasma 0.432 0.199 Provider (Washington, D.C.) 0.003 0.034 Proyecciones 0.075 0.034 Przeglad Dermatologiczny 0.060 0.035 Przeglad Elektrotechniczny 0.125 0.037 Przeglad epidemiologiczny 0.046 0.044 Przeglad Gastroenterologiczny 0.034 Przeglad Geofizyczny 0.089 0.041 Przeglad Geograficzny 0.070 0.034 Przeglad Geologiczny 0.084 0.036 Przeglad lekarski 0.056 0.041 Przeglad Menopauzalny 0.079 0.035 Przeglad Pediatryczny 0.012 0.034 Przemysl Chemiczny 0.082 0.039 PS - Political Science and Politics 0.470 0.038 Psicologia Clinica 0.034 Psicologia Conductual 0.037 0.034 Psicologia e Sociedade 0.061 0.034 Psicologia em Estudo 0.005 0.034 Psicologia: Reflexao e Critica 0.125 0.037 Psicologia: Teoria e Pesquisa 0.004 0.034 Psicologica 0.261 0.060 Psicooncologia 0.097 0.040 Psicoterapia Cognitiva e Comportamentale 0.155 0.036 Psicoterapia e Scienze Umane 0.262 0.034 Psicothema 0.405 0.051 Psikhologicheskii Zhurnal 0.000 0.033 Psiquiatria Biologica 0.032 0.037 PSN 0.034 Psyche 0.255 0.036 Psyche 0.130 0.042 Psychiatria 0.034 Psychiatria Danubina 0.101 0.050 Psychiatria Hungarica 0.072 0.045 Psychiatria i Psychologia Kliniczna 0.034 Psychiatria Polska 0.067 0.041 Psychiatric Annals 0.127 0.050 Psychiatric Bulletin 0.282 0.048 Psychiatric Clinics of North America 0.721 0.193 Psychiatric Genetics 0.425 0.297 Psychiatric Quarterly 0.413 0.070 Psychiatric Rehabilitation Journal 0.774 0.084 Psychiatric services (Washington, D.C.) 0.953 0.160 Psychiatric Times 0.009 0.035 Psychiatrie 0.106 0.036 Psychiatrie de l'Enfant 0.278 0.036 Psychiatrische Praxis 0.259 0.046 Psychiatrische Praxis, Supplement 0.082 0.039 Psychiatry 0.647 0.090 Psychiatry 0.092 0.042 Psychiatry and Clinical Neurosciences 0.403 0.102 Psychiatry Investigation 0.030 0.039 Psychiatry Psychology and Law 0.125 0.037 Psychiatry Research 0.659 0.175 Psychiatry Research - Neuroimaging 0.875 0.374 Psychoanalytic Dialogues 0.319 0.045 Psychoanalytic Inquiry 0.158 0.041 Psychoanalytic Psychology 0.355 0.043 Psychoanalytic Psychotherapy 0.132 0.036 Psychoanalytic Quarterly 0.290 0.039 Psychoanalytic Review 0.096 0.035 Psychoanalytic Social Work 0.061 0.035 Psychoanalytic Study of the Child 0.124 0.034 Psychodynamic Practice 0.104 0.034 Psychogeriatria Polska 0.042 0.036 Psychogeriatrics 0.279 0.063 Psychol Neuropsychiatr Vieil 0.206 0.044 Psychologia 0.161 0.038 Psychologica Belgica 0.130 0.040 Psychological Assessment 1.291 0.149 Psychological Bulletin 5.009 0.761 Psychological Inquiry 1.344 0.101 Psychological Medicine 1.260 0.355 Psychological Methods 2.302 0.223 Psychological Record 0.194 0.042 Psychological Reports 0.109 0.043 Psychological Research 0.779 0.147 Psychological Review 2.603 0.491 Psychological Science 1.908 0.490 Psychological Services 0.358 0.055 Psychologie Francaise 0.187 0.038 Psychologie in Erziehung und Unterricht 0.258 0.039 Psychologische Rundschau 0.445 0.044 Psychologist 0.131 0.042 Psychology and Aging 0.962 0.160 Psychology and Developing Societies 0.037 0.036 Psychology and Education 0.020 0.035 Psychology and Health 0.798 0.088 Psychology and Marketing 1.076 0.049 Psychology and Psychotherapy: Theory, Research and Practice 0.357 0.057 Psychology in the Schools 0.706 0.053 Psychology of Addictive Behaviors 1.088 0.151 Psychology of Men and Masculinity 1.171 0.058 Psychology of Music 0.596 0.050 Psychology of Sport and Exercise 1.449 0.098 Psychology of Women Quarterly 1.066 0.063 Psychology, Crime and Law 0.586 0.057 Psychology, Health and Medicine 0.607 0.087 Psychology, Public Policy, and Law 0.887 0.063 Psychometrika 0.675 0.064 PsychoNeuro 0.042 0.036 Psychoneuroendocrinology 1.129 0.338 Psychonomic Bulletin and Review 0.901 0.190 Psycho-Oncologie 0.135 0.038 Psycho-Oncology 1.075 0.230 Psychopathology 0.510 0.105 Psychopharmacology 0.942 0.401 Psychopharmacology Bulletin 0.409 0.155 Psychopharmakotherapie 0.068 0.036 Psychopharmakotherapie, Supplement 0.035 Psychophysiology 1.394 0.315 Psychosomatic Medicine 1.145 0.324 Psychosomatics 0.533 0.161 Psychosomatik und Konsiliarpsychiatrie 0.006 0.035 Psychoterapia 0.065 0.035 Psychotherapeut 0.295 0.041 Psychotherapies 0.237 0.038 Psychotherapy 0.654 0.068 Psychotherapy and Psychosomatics 1.254 0.254 Psychotherapy Research 0.718 0.073 Psykhe 0.056 0.035 PTB - Mitteilungen Forschen und Prufen 0.027 0.034 Pteridines 0.029 0.040 Public Administration 0.903 0.048 Public Administration and Development 0.501 0.050 Public Administration and Management 0.166 0.049 Public Administration Review 1.246 0.049 Public Budgeting and Finance 0.278 #N/A Public Choice 0.773 0.047 Public Culture 0.751 0.038 Public Finance Review 0.763 0.039 Public Health 0.513 0.110 Public Health Forum 0.034 Public Health Genomics 0.265 Public Health Medicine 0.000 0.034 Public Health Nursing 0.438 0.063 Public Health Nutrition 0.683 0.181 Public health reports (Washington, D.C. : 1974) 0.412 0.120 Public Historian 0.000 #N/A Public Library Quarterly 0.212 0.034 Public Management Review 0.636 0.041 Public Money and Management 0.285 0.041 Public Opinion Quarterly 1.233 0.100 Public Organization Review 0.300 0.037 Public Personnel Management 0.168 0.035 Public Policy and Administration 0.215 0.037 Public Policy Research 0.066 0.035 Public Relations Review 0.544 0.039 Public Services Quarterly 0.034 Public Transport International 0.027 0.034 Public Understanding of Science 0.921 0.199 Publicaciones de Biologia de la Universidad de Navarra - Serie Zoologica 0.039 Publicacions Matematiques 0.431 0.043 Publicationes Mathematicae 0.410 0.047 Publications de l'Institut Mathematique 0.187 0.040 Publications Mathmatiques de l'Institut des Hautes Scientifiques 1.607 0.046 Publications of the Astronomical Society of Australia 0.488 0.187 Publications of the Astronomical Society of Japan 0.817 0.363 Publications of the Astronomical Society of the Pacific 0.466 0.310 Publications of the Institute of Geophysics, Polish Academy of Sciences, Series M: Miscellanea 0.000 0.034 Publications of the Research Institute for Mathematical Sciences 0.537 0.043 Publikacije Elektrotehnickog Fakulteta Univerziteta u Beogradu, Serija Matematika 0.172 0.037 Publishing Executive 0.034 Publius 0.602 0.042 Puerto Rico health sciences journal 0.063 0.051 Puesta al Dia en Urgencias, Emergencias y Catastrofes 0.034 Pulmonary Pharmacology and Therapeutics 0.469 0.203 Punishment and Society 0.609 0.041 Pure and Applied Chemistry 0.636 0.197 Pure and Applied Geophysics 0.613 0.087 Pure and Applied Mathematics Quarterly 0.415 0.055 Purinergic Signalling 0.493 0.418 PZ Prisma 0.044 0.035 Qatar Medical Journal 0.027 0.038 Qiangjiguang Yu Lizishu/High Power Laser and Particle Beams 0.475 0.050 Qinghua Daxue Xuebao/Journal of Tsinghua University 0.218 0.040 QJM - Monthly Journal of the Association of Physicians 0.545 0.210 QSAR and Combinatorial Science 0.442 0.124 Quaderni ACP 0.033 0.036 Quaderni Italiani di Psichiatria 0.034 Quaderni storici 0.034 Quaerendo 0.034 Quaestiones Geographicae 0.029 0.035 Quaestiones Mathematicae 0.509 0.040 Qualitative Health Research 1.089 0.099 Qualitative Inquiry 0.679 0.042 Qualitative Market Research 0.264 0.041 Qualitative Research 0.864 0.057 Qualitative Research in Psychology 1.636 0.105 Qualitative Social Work 0.044 #N/A Qualitative Sociology 0.246 0.040 Qualitative Sociology Review 0.209 0.036 Quality & safety in health care 1.294 0.248 Quality and Quantity 0.405 0.043 Quality and Reliability Engineering International 0.710 0.057 Quality Assurance in Education 0.433 0.039 Quality Assurance Journal 0.331 0.035 Quality Engineering 0.191 0.037 Quality in Higher Education 0.417 0.036 Quality in Primary Care 0.113 0.041 Quality management in health care 0.230 0.093 Quality of Life Research 1.134 0.192 Quality Progress 0.133 0.036 Quantitative Finance 0.558 0.050 Quantitative Marketing and Economics 0.333 0.046 Quantum Electronics 0.302 0.059 Quantum Information and Computation 0.760 0.234 Quantum Information Processing 0.337 0.122 Quarterly Journal of Austrian Economics 0.034 Quarterly Journal of Economics 4.348 0.152 Quarterly Journal of Engineering Geology and Hydrogeology 0.322 0.043 Quarterly Journal of Experimental Psychology 0.634 0.136 Quarterly Journal of International Agriculture 0.121 0.035 Quarterly Journal of Mathematics 0.402 0.043 Quarterly Journal of Mechanics and Applied Mathematics 0.874 0.065 Quarterly Journal of Nuclear Medicine and Molecular Imaging 0.450 0.232 Quarterly Journal of Political Science 0.239 #N/A Quarterly Journal of Speech 0.308 0.036 Quarterly Journal of the Royal Meteorological Society 0.701 0.147 Quarterly of Applied Mathematics 0.678 0.064 Quarterly Report of RTRI (Railway Technical Research Institute) (Japan) 0.129 0.035 Quarterly Review of Biology 1.366 0.566 Quarterly Review of Economics and Finance 0.378 0.036 Quarterly Reviews of Biophysics 2.876 2.826 Quaternaire 0.193 0.041 Quaternary Geochronology 0.750 0.095 Quaternary International 0.550 0.077 Quaternary Research 1.047 0.164 Quaternary Science Reviews 1.267 0.275 Queen's quarterly 0.034 Queeste 0.034 Quest 0.183 0.038 Queueing Systems 0.988 0.056 Quimica Nova 0.210 0.056 Quintessence International 0.236 0.058 R and D Management 1.201 0.056 R and D: Research and Development Kobe Steel Engineering Reports 0.020 0.034 Race and Class 0.365 0.037 Race Ethnicity and Education 0.383 0.037 Radiation and Environmental Biophysics 0.381 0.152 Radiation Effects and Defects in Solids 0.289 0.051 Radiation Measurements 0.481 0.083 Radiation Oncology 0.441 0.225 Radiation Physics and Chemistry 0.521 0.086 Radiation Protection Dosimetry 0.317 0.082 Radiation Research 0.587 0.286 Radiatsionnaya Biologiya. Radioekologiya 0.043 0.039 Radical history review 0.045 0.034 Radical Philosophy 0.035 0.035 Radiochemistry 0.033 0.035 Radiochimica Acta 0.369 0.079 Radioelectronics and Communications Systems 0.033 0.034 Radioengineering 0.034 Radiographics 1.340 0.245 Radiography 0.349 0.042 Radiologe 0.157 0.060 Radiologia 0.064 0.039 Radiologia Brasileira 0.103 0.040 Radiologia Medica 0.406 0.119 Radiologic Clinics of North America 0.620 0.165 Radiologic technology 0.115 0.046 Radiology 1.841 0.570 Radiology and Oncology 0.124 0.043 Radiology management 0.027 0.037 Radiophysics and Quantum Electronics 0.264 0.045 Radiotherapy and Oncology 0.958 0.379 Radwaste Solutions 0.034 RAE Electronica 0.034 Raffles Bulletin of Zoology 0.381 0.046 RAIRO Recherche Operationnelle 0.253 0.038 Raisons Politiques 0.132 #N/A Ramanujan Journal 0.452 0.049 Ramus 0.034 RAND Journal of Economics 1.607 0.066 Random Structures and Algorithms 1.060 0.073 Rangeland Ecology and Management 0.666 0.068 Rangeland Journal 0.267 0.046 Ranshao Kexue Yu Jishu/Journal of Combustion Science and Technology 0.240 0.042 Rapid Communications in Mass Spectrometry 0.623 0.241 Rapid Prototyping Journal 0.480 0.061 Rare Metals 0.237 0.057 Raritan 0.070 0.034 Rassegna della Letteratura Italiana 0.034 Rassegna di Patologia dell'Apparato Respiratorio 0.008 0.034 Ratio 0.366 0.035 Rationality and Society 0.452 0.087 Reaction Kinetics and Catalysis Letters 0.258 0.060 Reactive and Functional Polymers 0.944 0.160 Reading and Writing 0.692 0.056 Reading and Writing Quarterly 0.485 0.044 Reading Psychology 0.208 0.036 Reading Research Quarterly 1.655 0.060 Reading Teacher 0.549 0.040 Real Estate Economics 0.772 0.045 Real Estate Taxation 0.034 Reales Sitios 0.034 Real-Time Systems 0.679 0.049 Reanimation 0.037 0.061 Recent developments in alcoholism : an official publication of the American Medical Society on Alcoholism, the Research Society on Alcoholism, and the National Council on Alcoholism 0.116 0.048 Recent patents on anti-cancer drug discovery 0.402 0.295 Recent patents on anti-infective drug discovery 0.270 0.127 Recent patents on biotechnology 0.242 0.071 Recent patents on cardiovascular drug discovery 0.235 0.142 Recent patents on CNS drug discovery 0.281 0.187 Recent patents on DNA & gene sequences 0.060 0.083 Recent patents on drug delivery & formulation 0.237 0.070 Recent Patents on Endocrine, Metabolic and Immune Drug Discovery 0.020 0.039 Recent Patents on Engineering 0.117 0.047 Recent patents on inflammation & allergy drug discovery 0.071 0.093 Recent patents on nanotechnology 0.153 0.054 Recent results in cancer research. Fortschritte der Krebsforschung. Progrs dans les recherches sur le cancer 0.315 0.220 Recenti progressi in medicina 0.034 0.040 Recherche en soins infirmiers. 0.023 0.035 Recherches de Science Religieuse 0.039 Recherches de Theologie et Philosophie Medievales 0.034 Recherches sur Diderot et sur L'Encyclopedie 0.034 Recht und Psychiatrie 0.199 0.036 Rechtsmedizin 0.322 0.047 Record - IEEE PLANS, Position Location and Navigation Symposium 0.083 0.037 Record of Conference Papers - Annual Petroleum and Chemical Industry Conference 0.034 Records Management Journal 0.079 0.035 Records of the Australian Museum 0.365 0.049 Records of the IEEE International Workshop on Memory Technology, Design and Testing 0.162 0.046 Redox Report 0.348 0.160 Reference and User Services Quarterly 0.184 0.039 Reference Librarian 0.264 0.039 Reference Services Review 0.247 0.041 Refractories and Industrial Ceramics 0.044 0.034 Refu"at ha-peh veha-shinayim (1993) 0.055 0.036 Refuge 0.106 0.037 Refugee Survey Quarterly 0.078 0.034 Regenerative medicine 0.327 0.218 Regional and Federal Studies 0.094 0.035 Regional and Sectoral Economic Studies 0.034 Regional Anesthesia and Pain Medicine 0.524 0.184 Regional Development Dialogue 0.015 0.034 Regional Environmental Change 0.393 0.062 Regional Science and Urban Economics 0.678 0.051 Regional Studies 0.740 0.045 Regular and Chaotic Dynamics 0.415 0.046 Regulation and Governance 0.438 0.038 Regulatory Peptides 0.566 0.235 Regulatory toxicology and pharmacology : RTP 0.578 0.120 Rehab management 0.007 0.034 Rehabilitace a Fyzikalni Lekarstvi 0.139 0.039 Rehabilitacia 0.073 0.037 Rehabilitacion 0.030 0.034 Rehabilitacja Medyczna 0.034 Rehabilitation 0.138 0.039 Rehabilitation Counseling Bulletin 0.444 0.050 Rehabilitation nursing : the official journal of the Association of Rehabilitation Nurses 0.284 0.056 Rehabilitation Psychology 0.437 0.060 Reihe A: Mitteilungen der Bayerischen Staatssammlung fur Palaontologie und Geologie 0.469 0.040 Rejuvenation Research 0.311 0.277 Relations Industrielles 0.167 0.036 RELC Journal 0.225 0.035 Relev pidmiologique hebdomadaire / Section d'hygine du Secrtariat de la Socit des Nations = Weekly epidemiological record / Health Section of the Secretariat of the League of Nations 0.403 0.230 Reliability Engineering and System Safety 1.632 0.074 Reliable Computing 0.403 0.069 Religion 0.046 0.034 Religion and American Culture 0.000 0.034 Religion and Human Rights 0.034 Religion, State and Society 0.288 0.036 Religious Education 0.171 0.035 Religious Humanism 0.034 Religious Studies 0.331 0.035 Remedial and Special Education 0.570 0.046 Remote Sensing of Environment 1.436 0.155 Renaissance and Reformation 0.034 Renaissance quarterly 0.034 Renaissance Studies 0.112 #N/A Renal Failure 0.224 0.089 Renascence 0.034 Rendiconti del Seminario Matematico 0.071 0.035 Rendiconti della Societa Geologica Italiana 0.067 0.036 Reneng Dongli Gongcheng/Journal of Engineering for Thermal Energy and Power 0.210 0.039 Renewable Agriculture and Food Systems 0.517 0.055 Renewable and Sustainable Energy Reviews 2.044 0.183 Renewable Energy 1.175 0.113 Renewable Energy for Development 0.084 0.036 Renewable Resources Journal 0.057 0.034 Rengong Jingti Xuebao/Journal of Synthetic Crystals 0.132 0.040 Rentgenologiya i Radiologiya 0.034 Report - American Planning Association, Planning Advisory Service 0.000 0.034 Report - Chr. Michelsen Institute 0.034 Report - University of California Water Resources Center 0.033 Reports of Practical Oncology and Radiotherapy 0.015 0.036 Reports on Mathematical Physics 0.403 0.065 Reports on Progress in Physics 3.903 0.686 Representation Theory 1.159 0.048 Representations 0.028 #N/A Reproduction 0.881 0.328 Reproduction Humaine et Hormones 0.034 Reproduction in Domestic Animals 0.565 0.091 Reproduction, Fertility and Development 0.692 0.196 Reproductive biology 0.273 0.080 Reproductive Biology and Endocrinology 0.665 0.223 Reproductive biomedicine online 0.636 0.192 Reproductive Health 0.594 0.104 Reproductive Health Matters 0.447 0.116 Reproductive Medicine and Biology 0.190 0.047 Reproductive Sciences 0.496 0.195 Reproductive Toxicology 0.677 0.226 Requirements Engineering 0.706 0.054 Res Publica 0.089 0.035 Res: Anthropology and Aesthetics 0.034 Research and Development (Barrington, Illinois) 0.034 Research and Practice for Persons with Severe Disabilities 0.340 0.038 Research and theory for nursing practice 0.224 0.050 Research briefs : center for studying health system change 0.203 0.049 Research Evaluation 0.373 0.057 Research in Accounting Regulation 0.180 0.035 Research in African Literatures 0.088 0.034 Research in Agricultural Engineering 0.139 0.035 Research in Autism Spectrum Disorders 0.420 0.058 Research in Developmental Disabilities 1.108 0.090 Research in Economic Anthropology 0.000 0.034 Research in Economics 0.194 0.036 Research in Education 0.229 0.042 Research in Engineering Design - Theory, Applications, and Concurrent Engineering 0.705 0.047 Research in Finance 0.084 0.036 Research in Healthcare Financial Management 0.000 0.034 Research in Higher Education 1.092 0.046 Research in human capital and development 0.000 0.034 Research in International Business and Finance 0.542 0.043 Research in Labor Economics 0.286 0.036 Research in Microbiology 0.715 0.263 Research in Multi-Level Issues 0.098 0.036 Research in Nondestructive Evaluation 0.642 0.053 Research in Nursing and Health 0.921 0.102 Research in Organizational Behavior 1.002 0.060 Research in Phenomenology 0.099 0.034 Research in Post-Compulsory Education 0.036 0.035 Research in Public Policy Analysis and Management 0.034 Research in rural sociology and development 0.102 0.034 Research in Science Education 0.937 0.046 Research in Social and Administrative Pharmacy 0.267 0.073 Research in Social Movements, Conflicts and Change 0.114 0.035 Research in Social Problems and Public Policy 0.074 0.035 Research in Social Stratification and Mobility 0.341 0.039 Research in Sports Medicine 0.298 0.067 Research in the History of Economic Thought and Methodology 0.000 0.034 Research in the sociology of health care 0.000 0.034 Research in the Sociology of Organizations 0.314 0.039 Research in the Sociology of Work 0.186 0.040 Research in the Teaching of English 0.506 0.037 Research in Transportation Economics 0.022 0.035 Research in Urban Sociology 0.000 0.034 Research in Veterinary Science 0.554 0.098 Research initiative, treatment action : RITA 0.016 0.041 Research Journal of Botany 0.034 Research Journal of Chemistry and Environment 0.045 0.039 Research Journal of Microbiology 0.081 0.037 Research Letters in Physical Chemistry 0.152 0.042 Research on Aging 0.830 0.079 Research on Chemical Intermediates 0.233 0.074 Research on Language and Computation 0.155 0.038 Research on Language and Social Interaction 0.636 0.040 Research on Managing Groups and Teams 0.134 0.035 Research on Social Work Practice 0.547 0.053 Research Policy 1.804 0.124 Research Quarterly for Exercise and Sport 0.554 0.081 Research Report - University of Sydney, Department of Civil Engineering 0.034 Research report (Health Effects Institute) 0.360 0.110 Research Report ARR 0.035 Research Report of the International Food Policy Research Institute 0.034 Research Reports on Information Science and Electrical Engineering of Kyushu University 0.000 0.034 Research Studies in Music Education 0.199 0.035 Research Technology Management 0.291 0.038 Reseaux 0.364 0.036 Resource and Energy Economics 0.810 0.057 Resource Geology 0.123 0.040 Resource Recycling 0.034 Resource: Engineering and Technology for Sustainable World 0.035 0.034 Resources Policy 0.664 0.049 Resources, Conservation and Recycling 1.219 0.080 Respiration 0.517 0.194 Respiration and Circulation 0.010 0.034 Respiratory Care 0.414 0.109 Respiratory Medicine 0.575 0.226 Respiratory Medicine CME 0.006 0.034 Respiratory Medicine: COPD Update 0.070 0.050 Respiratory Physiology and Neurobiology 0.611 0.190 Respiratory Research 0.797 0.450 Respirology (Carlton, Vic.) 0.340 0.199 Restaurant Business 0.034 Restaurator 0.210 0.041 Restoration Ecology 0.666 0.149 Restorative Neurology and Neuroscience 0.553 0.244 Results and problems in cell differentiation 0.552 0.575 Results in Mathematics 0.459 0.043 Resuscitation 0.924 0.217 Rethinking History 0.051 0.034 Retina 0.755 0.285 Retraite et Societe 0.083 #N/A Retrovirology 0.521 0.586 Reumatismo 0.121 0.061 Reumatizam 0.000 0.034 Reumatologia 0.055 0.036 Reumatologia Clinica 0.125 0.041 Reumatologia Clinica Suplementos 0.034 Revenue-cycle strategist 0.034 Review 0.000 0.034 Review - Literature and Arts of the Americas 0.034 Review of Accounting Studies 0.859 0.052 Review of African Political Economy 0.423 0.040 Review of Agricultural Economics 0.391 0.078 Review of Austrian Economics 0.297 0.036 Review of Black Political Economy 0.086 0.034 Review of Central and East European Law 0.047 0.034 Review of Clinical Pharmacology and Pharmacokinetics, International Edition 0.047 0.035 Review of Derivatives Research 0.331 0.040 Review of Development Economics 0.597 0.043 Review of Diabetic Studies 0.218 0.107 Review of Economic Conditions in Italy 0.093 0.034 Review of Economic Design 0.201 0.035 Review of Economic Dynamics 0.879 0.047 Review of Economic Studies 2.827 0.109 Review of Economics and Statistics 2.462 0.086 Review of Economics of the Household 0.552 0.048 Review of Education, Pedagogy, and Cultural Studies 0.145 0.034 Review of Educational Research 2.400 0.077 Review of English Studies 0.000 0.034 Review of Environmental Economics and Policy 0.577 0.062 Review of European Community and International Environmental Law 0.263 0.039 Review of Finance 1.247 0.054 Review of Financial Economics 0.670 0.043 Review of Financial Studies 2.939 0.097 Review of General Psychology 0.705 0.083 Review of High Pressure Science and Technology/Koatsuryoku No Kagaku To Gijutsu 0.037 0.035 Review of Higher Education 1.028 0.044 Review of Income and Wealth 0.822 0.047 Review of Industrial Organization 0.513 0.039 Review of International Economics 0.652 0.043 Review of International Organizations 0.728 0.046 Review of International Political Economy 0.685 0.040 Review of International Studies 0.710 0.040 Review of Law and Economics 0.114 0.034 Review of law and social change. New York University 0.035 Review of Marketing Science 0.395 0.037 Review of Metaphysics 0.028 0.034 Review of Pacific Basin Financial Markets and Policies 0.089 0.034 Review of Palaeobotany and Palynology 0.788 0.072 Review of Policy Research 0.343 0.040 Review of Political Economy 0.410 0.037 Review of Quantitative Finance and Accounting 0.481 0.039 Review of Radical Political Economics 0.270 0.035 Review of Religious Research 0.172 0.037 Review of Research in Education 1.558 0.050 Review of Scientific Instruments 0.445 0.106 Review of Social Economy 0.302 0.039 Review of Sociology of the Hungarian Sociological Association 0.034 Review of Urban and Regional Development Studies 0.141 0.037 Review of World Economics 0.527 0.041 Reviews in American history 0.000 0.034 Reviews in Analgesia 0.198 0.077 Reviews in Analytical Chemistry 0.090 0.060 Reviews in Anthropology 0.647 0.034 Reviews in cardiovascular medicine 0.191 0.114 Reviews in Chemical Engineering 0.603 0.106 Reviews in Clinical Gerontology 0.124 0.050 Reviews in Computational Chemistry 0.785 0.167 Reviews in Endocrine and Metabolic Disorders 1.157 0.857 Re-views in Environmental Science and Biotechnology 0.732 0.122 Reviews in Fish Biology and Fisheries 0.837 0.097 Reviews in Fisheries Science 0.562 0.070 Reviews in gastroenterological disorders 0.249 0.151 Reviews in Inorganic Chemistry 0.233 0.050 Reviews in Mathematical Physics 0.833 0.058 Reviews in Medical Microbiology 0.184 0.072 Reviews in Medical Virology 1.824 1.079 Reviews in Mineralogy and Geochemistry 0.720 0.194 Reviews in neurological diseases. 0.093 0.089 Reviews in the Neurosciences 0.571 0.314 Reviews of Environmental Contamination and Toxicology 0.808 0.144 Reviews of Geophysics 2.330 0.347 Reviews of Modern Physics 13.656 2.797 Reviews of physiology, biochemistry and pharmacology 1.428 1.459 Reviews on Advanced Materials Science 0.200 0.060 Reviews on Environmental Health 0.632 0.215 Reviews on recent clinical trials 0.363 0.407 Revija Za Socijalnu Politiku 0.134 0.038 Revisiones en Cancer 0.034 Revista 180 0.034 Revista alergia Mexico (Tecamachalco, Puebla, Mexico : 1993) 0.105 0.037 Revista Argentina de Cardiologia 0.016 0.044 Revista Argentina de Clinica Psicologica 0.035 Revista Argentina de Dermatologia 0.173 0.034 Revista Argentina de Endocrinologia y Metabolismo 0.020 0.034 Revista Argentina de microbiologa 0.144 0.044 Revista Arvore 0.127 0.037 Revista Brasileira de Anestesiologia 0.283 0.046 Revista Brasileira de Botanica 0.203 0.042 Revista Brasileira de Cardiologia Invasiva 0.025 0.034 Revista Brasileira de Ciencia Avicola 0.080 0.040 Revista Brasileira de Ciencia do Solo 0.336 0.044 Revista Brasileira de Ciencias Farmaceuticas/Brazilian Journal of Pharmaceutical Sciences 0.066 0.043 Revista Brasileira de Ciencias Sociais 0.073 0.034 Revista Brasileira de Coloproctologia 0.034 Revista Brasileira de Economia 0.138 0.035 Revista Brasileira de Educacao 0.034 Revista Brasileira de Educacao Especial 0.169 0.034 Revista brasileira de enfermagem 0.043 0.036 Revista Brasileira de Engenharia Agricola e Ambiental 0.105 0.036 Revista Brasileira de Ensino de Fisica 0.006 0.035 Revista Brasileira de Entomologia 0.078 0.038 Revista Brasileira de Epidemiologia 0.132 0.048 Revista brasileira de estudos de populao 0.034 Revista Brasileira de Fisioterapia 0.029 0.035 Revista Brasileira de Fruticultura 0.050 0.036 Revista Brasileira de Gestao e Desenvolvimento Regional 0.010 0.034 Revista Brasileira de Ginecologia e Obstetricia 0.077 0.042 Revista brasileira de ginecologia e obstetriia : revista da Federao Brasileira das Sociedades de Ginecologia e Obstetrcia 0.039 Revista Brasileira de Hematologia e Hemoterapia 0.083 0.039 Revista Brasileira de Historia 0.145 0.034 Revista Brasileira de Medicina 0.019 0.040 Revista Brasileira de Medicina do Esporte 0.074 0.035 Revista brasileira de otorrinolaringologia (English ed.) 0.139 0.050 Revista brasileira de parasitologia veterinria = Brazilian journal of veterinary parasitology : rgo Oficial do Colgio Brasileiro de Parasitologia Veterinria. 0.137 0.041 Revista Brasileira de Plantas Medicinais 0.054 0.037 Revista Brasileira de Psiquiatria 0.272 0.074 Revista Brasileira de Reumatologia 0.043 0.037 Revista Brasileira de Saude Materno Infantil 0.167 0.046 Revista Brasileira de Sementes 0.377 0.038 Revista Brasileira de Zoologia 0.168 0.041 Revista Brasileira de Zootecnia 0.297 0.038 Revista Brasileria de Geofisica 0.052 0.034 Revista Canadiense de Estudios Hispanicos 0.034 Revista Chilena de Anestesia 0.034 Revista Chilena de Cirugia 0.012 0.036 Revista Chilena de Derecho 0.034 Revista Chilena de Enfermedades Respiratorias 0.035 0.037 Revista Chilena de Historia Natural 0.318 0.047 Revista Chilena de Infectologia 0.113 0.044 Revista Chilena de Literatura 0.034 Revista Chilena de Neuro-Psiquiatria 0.000 0.034 Revista Chilena de Nutricion 0.006 0.034 Revista Chilena de Obstetricia y Ginecologia 0.023 0.036 Revista Chilena de Pediatria 0.045 0.035 Revista Chilena de Radiologia 0.048 0.034 Revista Ciencia Agronomica 0.260 0.037 Revista Ciencias de la Salud 0.034 Revista Cientifica de la Facultad de Ciencias Veterinarias de la Universidad del Zulia 0.063 0.035 Revista Cientifica UDO Agricola 0.034 Revista clnica espaola 0.119 0.043 Revista Colombiana de Anestesiologia 0.034 Revista Colombiana de Cardiologia 0.034 Revista Colombiana de Gastroenterologia 0.034 Revista Colombiana de Obstetricia y Ginecologia 0.034 Revista Colombiana de Quimica 0.034 Revista Cubana de Cirugia 0.000 0.034 Revista Cubana de Educacion Medica Superior 0.000 0.034 Revista Cubana de Enfermeria 0.000 0.034 Revista Cubana de Estomatologia 0.000 0.034 Revista Cubana de Farmacia 0.000 0.034 Revista Cubana de Hematologia, Inmunologia y Hemoterapia 0.000 0.034 Revista Cubana de Higiene y Epidemiologia 0.034 Revista Cubana de Investigaciones Biomedicas 0.000 0.034 Revista Cubana de Medicina 0.000 0.034 Revista Cubana de Medicina General Integral 0.000 0.034 Revista Cubana de Medicina Militar 0.000 0.034 Revista cubana de medicina tropical 0.023 0.036 Revista Cubana de Obstetricia y Ginecologia 0.000 0.034 Revista Cubana de Ortopedia y Traumatologia 0.000 0.034 Revista Cubana de Pediatria 0.000 0.034 Revista Cubana de Plantas Medicinales 0.020 0.034 Revista Cubana de Salud Publica 0.000 0.034 Revista da Associao Mdica Brasileira (1992) 0.190 0.059 Revista da Escola de Enfermagem da U S P 0.070 0.036 Revista da Sociedade Brasileira de Medicina Tropical 0.253 0.064 Revista de Administracao Publica 0.034 Revista de Administracion Sanitaria 0.046 0.037 Revista de Alimentacao Humana 0.023 0.035 Revista de Biologia Marina y Oceanografia 0.144 0.037 Revista de Biologia Tropical 0.087 0.039 Revista de Calidad Asistencial 0.197 0.038 Revista de Chimie 0.138 0.042 Revista de Ciencia Politica 0.091 0.036 Revista de Ciencias Farmaceuticas Basica e Aplicada 0.014 0.038 Revista de Ciencias Sociales 0.593 #N/A Revista de Critica Literaria Latinoamericana 0.000 0.034 Revista de Derecho 0.034 Revista de Dialectologia y Tradiciones Populares 0.034 Revista de Economia Aplicada 0.031 0.034 Revista de Economia Contemporanea 0.034 Revista de Economia del Rosario 0.034 Revista de Economia e Sociologia Rural 0.026 0.035 Revista de Economia Politica 0.041 0.034 Revista de enfermera (Barcelona, Spain) 0.011 0.035 Revista de Estudios Hispanicos 0.000 0.034 Revista de Estudios Historico-Juridicos 0.034 Revista de Filologia Alemana 0.339 0.034 Revista de Filologia Espanola 0.034 Revista de Filosofia 0.034 Revista de gastroenterologa de Mxico 0.032 0.040 Revista de gastroenterologa del Per : rgano oficial de la Sociedad de Gastroenterologa del Per 0.099 0.046 Revista de Geografia Norte Grande 0.178 0.034 Revista de Indias 0.252 0.035 Revista de Investigacion Clinica 0.127 0.064 Revista de la Asociacion Espanola de Especialistas en Medicina del Trabajo 0.034 Revista de la Asociacion Geologica Argentina 0.102 0.039 Revista de la Ciencia del Suelo y Nutricion Vegetal 0.034 Revista de la Construccion 0.034 Revista de la Facultad de Ciencias Mdicas (Crdoba, Argentina) 0.011 0.041 Revista de la Facultad de Ingenieria, Universidad Central de Venezuela 0.034 Revista de la Sociedad Espanola de Enfermeria Nefrologica 0.259 0.035 Revista de la Sociedad Espanola del Dolor 0.057 0.035 Revista de Literatura 0.034 Revista de Literatura Medieval 0.034 Revista de Logopedia, Foniatria y Audiologia 0.074 0.034 Revista de medicina de la Universidad de Navarra 0.039 0.040 Revista de Metalurgia (Madrid) 0.170 0.041 Revista de Metodos Cuantitativos para la Economia y la Empresa 0.139 0.036 Revista de Nefrologia, Dialisis y Trasplante 0.034 Revista de Neurologia 0.256 0.059 Revista de Nutricao 0.143 0.039 Revista de Obras Publicas 0.002 0.034 Revista de Obstetricia y Ginecologia de Venezuela 0.034 Revista de Occidente 0.000 0.034 Revista de Pedagogia 0.034 Revista de Psicologia del Deporte 0.418 0.036 Revista de Psiquiatria Clinica 0.095 0.039 Revista de Psiquiatria do Rio Grande do Sul 0.099 0.044 Revista de salud publica (Bogota, Colombia) 0.125 0.051 Revista de Saude Publica 0.334 0.058 Revista de Sociologia e Politica 0.034 Revista de Toxicologia 0.000 0.034 Revista del Hospital Psiquiatrico de la Habana 0.034 Revista del Instituto Nacional de Enfermedades Respiratorias 0.076 0.037 Revista del Laboratorio Clinico 0.034 Revista Dental Press de Ortodontia e Ortopedia Facial 0.034 Revista do Colegio Brasileiro de Cirurgioes 0.021 0.035 Revista do Instituto de Medicina Tropical de Sao Paulo 0.414 0.085 Revista Ecuatoriana de Neurologia 0.000 0.034 Revista Electronica de Investigacion Educativa 0.034 Revista Escola de Minas 0.025 0.035 Revista Espanola de Anestesiologia y Reanimacion 0.102 0.043 Revista Espanola de Cardiologia 0.281 0.111 Revista Espanola de Cardiologia Suplementos 0.036 0.037 Revista Espanola de Cirugia Oral y Maxilofacial 0.034 Revista Espanola de Cirugia Ortopedica y Traumatologia 0.036 0.036 Revista Espanola de Documentacion Cientifica 0.169 0.035 Revista espaola de enfermedades digestivas : organo oficial de la Sociedad Espaola de Patologa Digestiva 0.146 0.066 Revista Espanola de Enfermedades Metabolicas Oseas 0.020 0.034 Revista Espanola de Geriatria y Gerontologia 0.125 0.039 Revista Espanola de Medicina Nuclear 0.097 0.058 Revista Espanola de Nutricion Comunitaria 0.031 0.034 Revista Espanola de Obesidad 0.018 0.035 Revista Espanola de Pedagogia 0.202 0.034 Revista Espanola de Pediatria 0.028 0.034 Revista Espanola de Quimioterapia 0.128 0.068 Revista Espanola de Salud Publica 0.261 0.051 Revista Estudos Feministas 0.115 0.037 Revista Facultad de Ingenieria 0.042 0.035 Revista Facultad de Medicina (Colombia) 0.034 Revista Fitotecnia Mexicana 0.007 0.035 Revista Galega de Economia 0.030 0.034 Revista gacha de enfermagem / EENFUFRGS 0.000 0.033 Revista Geografica Venezolana 0.000 0.034 Revista Geologica de Chile 0.231 0.047 Revista Iberoamericana 0.034 Revista Iberoamericana de Fertilidad y Reproduccion Humana 0.000 0.034 Revista Iberoamericana de Fisioterapia y Kinesiologia 0.030 0.034 Revista Iberoamericana de Micologia 0.184 0.072 Revista Iberoamericana de Revisiones en Menopausia 0.034 Revista Internacional de Acupuntura 0.034 Revista Internacional de Andrologia 0.031 0.037 Revista Internacional de Contaminacion Ambiental 0.048 0.037 Revista Internacional de Linguistica Iberoamericana 0.034 Revista INVI 0.034 Revista latino-americana de enfermagem 0.246 0.048 Revista Latinoamericana de Hipertension 0.034 Revista Latinoamericana de Microbiologia 0.041 0.037 Revista Latinoamericana de Psicologia 0.039 0.036 Revista Matematica Complutense 0.175 0.042 Revista Matematica Iberoamericana 0.530 0.047 Revista Medica de Chile 0.147 0.051 Revista Medica de Rosario 0.034 Revista mdica del Instituto Mexicano del Seguro Social. 0.048 0.040 Revista medico-chirurgicala a Societaii de Medici i Naturali ti din Ia i 0.022 0.037 Revista Mexicana de Anestesiologia 0.034 Revista Mexicana de Astronomia y Astrofisica 0.266 0.086 Revista Mexicana de Biodiversidad 0.086 0.036 Revista Mexicana de Ciencias Farmaceuticas 0.000 0.034 Revista Mexicana de Ciencias Geologicas 0.275 0.047 Revista Mexicana de Fisica 0.081 0.040 Revista Mexicana de Fisica E 0.024 0.035 Revista Mexicana de Oftalmologia 0.023 0.034 Revista Mexicana de Psicologia 0.150 0.035 Revista Multidisciplinar de Gerontologia 0.058 0.035 Revista Musical Chilena 0.035 Revista Neurologica Argentina 0.000 0.034 Revista Panamericana de Salud Publica/Pan American Journal of Public Health 0.331 0.093 Revista portuguesa de cardiologia : orgo oficial da Sociedade Portuguesa de Cardiologia = Portuguese journal of cardiology : an official journal of the Portuguese Society of Cardiology 0.067 0.048 Revista Portuguesa de Pneumologia 0.036 0.042 Revista Signos 0.034 Revista Tecnica de la Facultad de Ingenieria Universidad del Zulia 0.000 0.034 Revista Venezolana de Gerencia 0.060 0.034 Revista Venezolana de Oncologia 0.034 Revista Veterinaria 0.034 Revue belge de mdecine dentaire. Belgisch tijdschrift voor tandheelkunde 0.080 0.040 Revue Belge de Philologie et de Histoire 0.000 0.034 Revue Benedictine 0.198 0.034 Revue Biblique 0.000 0.034 Revue d Etudes Augustiniennes et Patristiques 0.034 Revue d Histoire Moderne et Contemporaine 0.198 0.036 Revue d'Anthropologie des Connaissances 0.049 0.034 Revue de Chirurgie Orthopedique et Traumatologique 0.046 Revue de Geographie Alpine 0.078 0.035 Revue de Geriatrie 0.088 0.036 Revue de Histoire Litteraire de la France 0.034 Revue de la Histoire des Religions 0.034 Revue de l'Art 0.034 Revue de Laryngologie Otologie Rhinologie 0.130 0.050 Revue de l'Energie 0.024 0.034 Revue de l'infirmire 0.027 0.033 Revue de Linguistique Romane 0.034 Revue de l'OFCE 0.144 0.035 Revue de Medecine Interne 0.132 0.049 Revue de Medecine Veterinaire 0.138 0.042 Revue de Metallurgie. Cahiers D'Informations Techniques 0.037 0.035 Revue de Metaphysique et de Morale 0.034 Revue de Micropaleontologie 0.214 0.051 Revue de Musicologie 0.034 Revue de Paleobiologie 0.125 0.037 Revue de Pneumologie Clinique 0.082 0.038 Revue de Psychotherapie Psychanalytique de Groupe 0.000 0.034 Revue de Qumran 0.000 0.034 Revue de stomatologie et de chirurgie maxillo-faciale 0.175 0.045 Revue de synthse / Centre international de synthse 0.034 Revue de Theologie et de Philosophie 0.000 0.034 Revue d'Ecologie (La Terre et la Vie) 0.190 0.108 Revue d'Economie Politique 0.107 0.035 Revue d'Egyptologie 0.034 Revue d'Epidemiologie et de Sante Publique 0.292 0.072 Revue des Etudes Anciennes 0.034 Revue des tudes byzantines 0.034 Revue des tudes grecques 0.034 Revue des Etudes Juives 0.034 Revue Des Langues Romanes 0.034 Revue des Maladies Respiratoires 0.078 0.045 Revue des Sciences de l'Eau 0.068 0.036 Revue des Sciences Humaines 0.034 Revue des Sciences Philosophiques et Theologiques 0.034 Revue d'Etudes Comparatives Est-Ouest 0.020 0.034 Revue d'histoire de la pharmacie 0.034 0.035 Revue d'histoire de l'Amrique franaise 0.000 0.034 Revue d'Histoire des Sciences Humaines 0.445 0.035 Revue d'Histoire des Textes 0.034 Revue d'Histoire du Theatre 0.034 Revue d'Histoire Ecclesiastique 0.034 Revue d'Intelligence Artificielle 0.048 0.034 Revue du Marche Commun et de l'Union Europeenne 0.043 0.034 Revue du MAUSS 0.028 #N/A Revue du Nord 0.034 Revue du Podologue 0.034 Revue du Praticien - Gynecologie et Obstetrique 0.034 Revue du rhumatisme (English ed.) 0.023 0.034 Revue du Soignant en Sante Publique 0.034 Revue Economique 0.123 0.035 Revue Forestiere Francaise 0.034 0.034 Revue Francaise d'Allergologie et d'Immunologie Clinique 0.110 0.040 Revue Francaise de Gestion 0.048 0.034 Revue Francaise de Pedagogie 0.283 0.036 Revue Francaise de Photogrammetrie et de Teledetection 0.034 Revue Francaise de Psychanalyse 0.032 0.034 Revue Francaise de Psychosomatique 0.288 0.034 Revue Francaise de Science Politique 0.131 0.034 Revue Francaise de Sociologie 0.255 0.035 Revue Francaise d'Etudes Americaines 0.038 0.034 Revue Francophone des Laboratoires 0.026 0.040 Revue Francophone d'Orthoptie 0.034 Revue Historique 0.034 Revue Historique de Droit Francais et Etranger 0.034 Revue Internationale de Droit Economique 0.034 Revue Internationale de Droit Penal 0.034 Revue Internationale de Philosophie 0.121 0.034 Revue Internationale de Politique Comparee14365 0.086 #N/A Revue Internationale de Psychologie Sociale 0.113 0.048 Revue Internationale et Strategique 0.014 0.034 Revue Medicale de Bruxelles 0.023 0.038 Revue mdicale de Lige 0.091 0.046 Revue Medicale Suisse 0.032 0.040 Revue Neurologique 0.136 0.068 Revue Numismatique 0.000 0.034 Revue Philosophique de la France et de La Etranger 0.034 Revue Philosophique de Louvain 0.000 0.034 Revue Romane 0.034 Revue Roumaine de Chimie 0.074 0.040 Revue Sage - Femme 0.003 0.035 Revue scientifique et technique (International Office of Epizootics) 0.367 0.105 Revue Suisse d'Agriculture 0.034 Revue Suisse de Zoologie 0.226 0.040 Revue Theologique de Louvain 0.034 Rheedea 0.034 Rheinisches Museum fur Philologie 0.034 Rheologica Acta 0.852 0.103 Rhetoric Review 0.169 0.034 Rhetorica - Journal of the History of Rhetoric 0.000 0.034 Rheumatic Disease Clinics of North America 0.754 0.290 Rheumatology 0.954 0.372 Rheumatology 0.016 0.035 Rheumatology International 0.384 0.142 Rhinology 0.695 0.133 Rhinology. Supplement. 6.604 1.333 Rhodora 0.072 0.038 RIAI - Revista Iberoamericana de Automatica e Informatica Industrial 0.064 0.036 Rice Science 0.140 0.038 Ricerca e Pratica 0.000 0.034 Ricerca in Psicoterapia 0.034 Ricerche di Matematica 0.116 0.037 Rigakuryoho Kagaku 0.046 0.033 Rinascimento (Florence, Italy) 0.034 Ringing and Migration 0.182 0.074 Rinsan Shikenj Oha/Journal of the Hokkaido Forest Products Research Institute 0.034 Rinsh Biseibutsu Jinsoku Shindan Kenkykai shi = JARMAM : Journal of the Association for Rapid Method and Automation in Microbiology 0.093 0.051 Rinsho byori. The Japanese journal of clinical pathology 0.031 0.040 Risk Analysis 0.874 0.104 Risk Management and Insurance Review 0.092 0.036 River Research and Applications 0.693 0.069 Rivista del Medico Pratico 0.034 Rivista del Nuovo Cimento della Societa Italiana di Fisica 0.894 0.121 Rivista di Biologia - Biology Forum 0.083 0.067 Rivista di Filologia Classica 0.034 Rivista di Filosofia Neo-Scolastica 0.034 Rivista di Letterature Moderne e Comparate 0.034 Rivista di Psichiatria 0.038 0.034 Rivista di Storia della Filosofia 0.034 Rivista di Storia e Letteratura Religiosa 0.034 Rivista Giurdica dell'Ambiente 0.176 0.034 Rivista Italiana della Medicina di Laboratorio 0.016 0.034 Rivista Italiana della Saldatura 0.034 Rivista Italiana delle Sostanze Grasse 0.130 0.041 Rivista Italiana di Chirurgia Plastica 0.000 0.034 Rivista Italiana di Medicina dell'Adolescenza 0.034 Rivista Italiana di Neurobiologia 0.044 0.040 Rivista Italiana di Paleontologia e Stratigrafia 0.229 0.039 Rivista Medica 0.008 0.034 Rivista storica italiana 0.034 RLC - Revue de Litterature Comparee 0.034 RN 0.028 0.034 RNA 0.873 2.040 RNA biology 0.385 1.168 Road and Transport Research 0.086 0.036 Road Materials and Pavement Design 0.372 0.038 Robotica 0.803 0.047 Robotics and Autonomous Systems 0.991 0.058 Robotics and Computer-Integrated Manufacturing 1.371 0.072 Rock Mechanics and Rock Engineering 0.901 0.050 Rocky Mountain Geology 0.034 Rocky Mountain Journal of Mathematics 0.245 0.040 Roczniki Pastwowego Zakadu Higieny 0.037 0.038 RoFo Fortschritte auf dem Gebiet der Rontgenstrahlen und der Bildgebenden Verfahren 0.231 0.102 Romance Quarterly 0.034 Romanian Agricultural Research 0.034 Romanian Journal in Physics 0.034 0.037 Romanian Journal of Economic Forecasting 0.340 0.037 Romanian journal of internal medicine = Revue roumaine de mdecine interne 0.049 0.047 Romanian Journal of Legal Medicine 0.015 0.034 Romanian journal of morphology and embryology = Revue roumaine de morphologie et embryologie 0.050 0.040 Romanian Reports on Physics 0.173 0.036 Romanic Review 0.034 Romanische Forschungen 0.192 0.034 Romanticism 0.091 0.035 Romanticism on the Net 0.034 Romantische Zeitschrift fur Literaturgeschichte - Cahiers de Histoire des Litteratures Romanes 0.034 Romantisme 0.034 Romische Quartalschrift fur Christliche Altertumskunde und Kirchengeschichte 0.034 Rntgenpraxis; Zeitschrift fr radiologische Technik 0.024 0.036 Rossiiskii fiziologicheskii zhurnal imeni I.M. Sechenova / Rossiiskaia akademiia nauk 0.163 0.000 Roumanian archives of microbiology and immunology 0.017 0.042 Round Table 0.121 0.037 Royal Society of Edinburgh - Proceedings A 0.890 0.052 Rozhledy v chirurgii : mescnk Ceskoslovensk chirurgick spolecnosti 0.045 0.040 Ruan Jian Xue Bao/Journal of Software 0.702 0.052 Rubber Chemistry and Technology 0.631 0.066 Rubber World 0.122 0.038 Rudarsko Geolosko Naftni Zbornik 0.108 0.035 Rural and remote health [electronic resource]. 0.310 0.066 Rural History 0.137 0.034 Rural policy brief / RUPRI Rural Health Panel 0.309 0.051 Rural Sociology 0.985 0.042 Russell - Journal of the Bertrand Russell Studies 0.034 Russian Aeronautics 0.006 0.033 Russian Chemical Bulletin 0.095 0.047 Russian Chemical Reviews 0.331 0.093 Russian Education and Society 0.079 0.034 Russian Electrical Engineering 0.000 0.033 Russian Engineering Research 0.012 0.034 Russian Geology and Geophysics 0.330 0.044 Russian History 0.259 0.034 Russian Journal of Applied Chemistry 0.033 0.037 Russian Journal of Bioorganic Chemistry 0.101 0.047 Russian Journal of Coordination Chemistry/Koordinatsionnaya Khimiya 0.083 0.041 Russian Journal of Developmental Biology 0.050 0.038 Russian Journal of Ecology 0.091 0.041 Russian Journal of Electrochemistry 0.080 0.050 Russian Journal of General Chemistry 0.052 0.040 Russian Journal of Genetics 0.107 0.044 Russian Journal of Inorganic Chemistry 0.060 0.038 Russian Journal of Marine Biology 0.054 0.040 Russian Journal of Mathematical Physics 0.449 0.061 Russian Journal of Nematology 0.344 0.041 Russian Journal of Nondestructive Testing 0.137 0.036 Russian Journal of Numerical Analysis and Mathematical Modelling 0.520 0.041 Russian Journal of Organic Chemistry 0.069 0.046 Russian Journal of Pacific Geology 0.008 0.034 Russian Journal of Physical Chemistry A 0.055 0.040 Russian Journal of Plant Physiology 0.249 0.055 Russian Linguistics 0.123 0.034 Russian Literature 0.000 0.034 Russian Mathematical Surveys 0.160 0.037 Russian Metallurgy (Metally) 0.021 0.034 Russian Meteorology and Hydrology 0.010 0.034 Russian Microelectronics 0.046 0.035 Russian Physics Journal 0.048 0.036 Russian Politics and Law 0.015 0.034 Russian Review 0.182 0.034 Russian Studies in Literature 0.034 Russian Studies in Philosophy 0.034 Rutgers Law Review 0.016 0.034 S.T.P. Pharma Pratiques 0.077 0.044 SAAD digest 0.198 0.042 Sacred Music 0.296 0.034 Sacris Erudiri 0.034 Sadhana - Academy Proceedings in Engineering Sciences 0.262 0.040 SADJ : journal of the South African Dental Association = tydskrif van die Suid-Afrikaanse Tandheelkundige Vereniging 0.027 0.035 Saeculum 0.034 SAFE Journal 0.395 0.036 Safety Science 1.008 0.052 SAGGI - Child Development and Disabilities 0.034 SAHARA J : journal of Social Aspects of HIV/AIDS Research Alliance / SAHARA / Human Sciences Research Council 0.269 0.075 Sains Malaysiana 0.184 0.036 Salamandra 0.156 0.035 Sales and Marketing Management 0.000 0.034 Saline systems 0.236 0.078 Salmagundi 0.034 Salud (i) Sciencia 0.019 0.035 Salud Colectiva 0.034 Salud Mental 0.112 0.039 Salud Publica de Mexico 0.163 0.054 Saludarte 0.034 Salus 0.111 0.034 SAMPE Journal 0.148 0.044 Sampling Theory in Signal and Image Processing 0.672 0.062 Samuel Beckett Today - Aujourd hui 0.034 Sang Thrombose Vaisseaux 0.014 0.035 Sangy eiseigaku zasshi = Journal of occupational health 0.103 0.046 Sankhya: The Indian Journal of Statistics 0.227 0.036 Sant mentale au Qubec 0.063 0.039 Sante Publique 0.261 0.042 So Paulo medical journal = Revista paulista de medicina 0.325 0.092 Sapporo Medical Journal 0.112 0.039 SAR and QSAR in Environmental Research 0.450 0.109 Sarcoidosis Vasculitis and Diffuse Lung Disease 0.302 0.126 Sarcoma 0.126 0.070 Saudi Journal of Gastroenterology 0.042 0.037 Saudi journal of kidney diseases and transplantation : an official publication of the Saudi Center for Organ Transplantation, Saudi Arabia 0.085 0.051 Saudi Medical Journal 0.131 0.056 Saudi Pharmaceutical Journal 0.055 0.044 Savings and Development 0.019 0.034 Savoirs et Clinique 0.034 SBMO/IEEE MTT-S International Microwave and Optoelectronics Conference Proceedings 0.036 0.036 Sbornik Ceske Geograficke Spolecnosti 0.163 0.041 Sbornik Mathematics 0.203 0.037 Scandinavian Cardiovascular Journal 0.262 0.111 Scandinavian Cardiovascular Journal, Supplement 0.035 Scandinavian Economic History Review 0.091 0.034 Scandinavian Journal of Caring Sciences 0.415 0.053 Scandinavian Journal of Clinical and Laboratory Investigation 0.349 0.122 Scandinavian Journal of Clinical and Laboratory Investigation, Supplement 0.000 0.039 Scandinavian Journal of Economics 0.713 0.047 Scandinavian Journal of Educational Research 0.444 0.039 Scandinavian Journal of Food and Nutrition 0.069 0.045 Scandinavian Journal of Forest Research 0.498 0.056 Scandinavian Journal of Gastroenterology 0.493 0.219 Scandinavian Journal of Gastroenterology, Supplement 0.145 0.069 Scandinavian Journal of History 0.233 0.034 Scandinavian Journal of Hospitality and Tourism 0.233 0.038 Scandinavian Journal of Immunology 0.465 0.278 Scandinavian Journal of Infectious Diseases 0.335 0.145 Scandinavian Journal of Laboratory Animal Science 0.194 0.049 Scandinavian Journal of Management 0.518 0.044 Scandinavian Journal of Medicine and Science in Sports 0.860 0.147 Scandinavian Journal of Occupational Therapy 0.595 0.056 Scandinavian Journal of Plastic and Reconstructive Surgery and Hand Surgery 0.408 0.066 Scandinavian Journal of Primary Health Care 0.954 0.148 Scandinavian Journal of Psychology 0.411 0.066 Scandinavian Journal of Public Health 0.792 0.163 Scandinavian Journal of Public Health, Supplement 0.000 0.039 Scandinavian Journal of Rheumatology 0.530 0.187 Scandinavian Journal of Rheumatology, Supplement 0.000 0.035 Scandinavian Journal of Statistics 1.267 0.100 Scandinavian Journal of Surgery 0.311 0.123 Scandinavian Journal of Urology and Nephrology 0.291 0.164 Scandinavian Journal of Urology and Nephrology, Supplement 0.106 0.057 Scandinavian Journal of Work, Environment and Health 0.972 0.167 Scandinavian Journal of Work, Environment and Health, Supplement 0.109 0.067 Scandinavian Political Studies 1.204 0.048 Scandinavian Psychoanalytic Review 0.034 Scandinavian Studies 0.000 0.034 Scandinavica 0.034 Scanning 0.291 0.109 Schizophrenia Bulletin 1.318 0.501 Schizophrenia Research 0.948 0.336 Schmerz 0.312 0.067 School Effectiveness and School Improvement 0.526 0.038 School Leadership and Management 0.242 0.036 School Library Media Research 0.004 0.034 School nurse news 0.012 0.035 School Psychology International 0.436 0.043 School Psychology Quarterly 0.383 0.043 School Psychology Review 1.417 0.071 Schweizer Archiv fur Neurologie und Psychiatrie 0.162 0.044 Schweizer Archiv fur Tierheilkunde 0.352 0.056 Schweizer Monatsschrift fr Zahnmedizin = Revue mensuelle suisse d'odonto-stomatologie = Rivista mensile svizzera di odontologia e stomatologia / SSO 0.110 0.050 Schweizerische Rundschau fur Medizin/Praxis 0.041 0.040 Schweizerische Zeitschrift fur GanzheitsMedizin 0.054 0.036 Schweizerische Zeitschrift fur Sportmedizin und Sporttraumatologie 0.000 0.034 Schweizerisches Archiv fur Volkskunde 0.034 Science 3.934 4.828 Science and Education 0.489 0.038 Science and Engineering Ethics 0.236 0.063 Science and Engineering of Composite Materials 0.133 0.037 Science and Justice - Journal of the Forensic Science Society 0.142 0.086 Science and Public Policy 0.284 0.047 Science and Society 0.396 0.037 Science and Sports 0.152 0.038 Science and Technology Libraries 0.150 0.037 Science and Technology of Advanced Materials 0.528 0.123 Science and Technology of Energetic Materials 0.060 0.037 Science and Technology of Welding and Joining 0.578 0.088 Science Communication 0.300 0.041 Science Education 1.305 0.092 Science et Motricite 0.034 Science for Conservation 0.276 0.045 Science in China, Series A: Mathematics, Physics, Astronomy 0.596 0.045 Science in China, Series B: Chemistry 0.220 0.056 Science in China, Series C: Life Sciences 0.214 0.089 Science in China, Series D: Earth Sciences 0.278 0.057 Science in China, Series E: Technological Sciences 0.352 0.047 Science in China, Series F: Information Sciences 0.504 0.049 Science in China, Series G: Physics Astronomy 0.504 0.058 Science in Context 0.152 0.035 Science of Computer Programming 0.778 0.064 Science of the Total Environment 1.116 0.149 Science of Tsunami Hazards 0.445 0.381 Science Progress 0.231 0.200 Science Reports of the Kyushu University, Department of Earth and Planetary Sciences 0.036 Science signaling 0.631 1.138 Science Technology and Human Values 1.101 0.112 Science, Technology and Society 0.148 0.038 ScienceAsia 0.074 0.040 Science-Fiction Studies 0.000 0.034 Sciences des Aliments 0.027 0.038 Sciences Sociales et Sante 0.023 0.034 Scientia Agricola 0.265 0.049 Scientia canadensis 0.034 Scientia Forestalis/Forest Sciences 0.159 0.039 Scientia Geologica Sinica 0.669 0.043 Scientia Horticulturae 0.787 0.065 Scientia Iranica 0.072 0.037 Scientia Marina 0.471 0.058 Scientia Pharmaceutica 0.043 0.036 Scientific American 0.230 0.158 Scientific Online Letters on the Atmosphere 0.282 0.104 Scientific Programming 0.325 0.041 Scientific Review of Alternative Medicine 0.000 0.034 Scientific Studies of Reading 1.206 0.085 Scientist 0.057 0.069 Scientometrics 0.984 0.099 Scoliosis 0.347 0.113 Scottisch Journal of Theology 0.000 0.034 Scottish Gaelic Studies 0.034 Scottish Geographical Journal 0.142 0.038 Scottish Journal of Geology 0.102 0.037 Scottish Journal of Political Economy 0.657 0.043 Scottish Medical Journal 0.078 0.047 Scottish Studies Review 0.034 Screen 0.071 #N/A Scripta Botanica Belgica 0.000 0.035 Scripta Geologica 0.417 0.121 Scripta Materialia 1.373 0.224 Scripta Medica Facultatis Medicae Universitatis Brunensis Masarykianae 0.011 0.034 Scripta Nova 0.024 0.034 Scriptorium 0.000 0.034 SDHM Structural Durability and Health Monitoring 0.496 0.050 Se pu = Chinese journal of chromatography / Zhongguo hua xue hui 0.066 0.050 SEAISI Quarterly (South East Asia Iron and Steel Institute) 0.044 0.035 SEB experimental biology series 0.075 0.228 Second Language Research 0.777 0.045 Security and Human Rights 0.034 Security Dialogue 0.494 0.038 Security Journal 0.000 Security Studies 0.328 0.036 Sedimentary Geology 0.838 0.077 Sedimentology 0.886 0.085 Seed Science and Technology 0.425 0.050 Seed Science Research 0.671 0.088 Sefarad 0.034 SEG Technical Program Expanded Abstracts 0.022 0.035 SEI Technical Review 0.146 0.043 Seibutsu-kogaku Kaishi 0.172 0.038 Seikagaku 0.014 0.036 Seishin shinkeigaku zasshi = Psychiatria et neurologia Japonica 0.014 0.036 Seismological Research Letters 0.411 0.060 Seizure 0.863 0.169 Seksuologia Polska 0.034 SEL - Studies in English Literature 0.000 0.034 Seleccion 0.056 0.038 Selecta Mathematica, New Series 0.621 0.044 Selezione Tessile 0.034 Self and Identity 0.599 0.063 Selvedge 0.033 Semeia 0.034 Semergen 0.001 0.034 Semiconductor Science and Technology 0.596 0.107 Semiconductors 0.177 0.050 Semiconductors and Semimetals 0.042 0.040 Semigroup Forum 0.616 0.044 Seminar - A Journal of Germanic Studies 0.251 0.034 Seminarios de la Fundacion Espanola de Reumatologia 0.020 0.037 Seminars in Arthritis and Rheumatism 1.202 0.413 Seminars in Arthroplasty 0.057 0.039 Seminars in Breast Disease 0.021 0.043 Seminars in Cancer Biology 1.516 1.846 Seminars in Cardiothoracic and Vascular Anesthesia 0.333 0.109 Seminars in Cell and Developmental Biology 1.009 1.466 Seminars in Colon and Rectal Surgery 0.013 0.039 Seminars in Cutaneous Medicine and Surgery 0.528 0.139 Seminars in Diagnostic Pathology 0.698 0.333 Seminars in Dialysis 0.610 0.280 Seminars in Fetal and Neonatal Medicine 0.942 0.284 Seminars in Hearing 0.102 0.053 Seminars in Hematology 0.573 0.352 Seminars in Immunology 1.421 2.151 Seminars in Immunopathology 0.770 0.950 Seminars in Interventional Radiology 0.042 0.042 Seminars in Liver Disease 1.017 0.549 Seminars in Musculoskeletal Radiology 0.549 0.092 Seminars in Nephrology 0.672 0.356 Seminars in Neurology 0.535 0.260 Seminars in nuclear medicine 0.814 0.367 Seminars in oncology 0.771 0.468 Seminars in oncology nursing 0.367 0.130 Seminars in Ophthalmology 0.322 0.132 Seminars in orthodontics 0.187 0.041 Seminars in Pediatric Neurology 0.699 0.395 Seminars in Pediatric Surgery 0.774 0.173 Seminars in Perinatology 1.049 0.361 Seminars in Preventive and Alternative Medicine 0.034 Seminars in Radiation Oncology 1.066 0.551 Seminars in Reproductive Medicine 0.659 0.352 Seminars in Respiratory and Critical Care Medicine 0.646 0.308 Seminars in Roentgenology 0.211 0.085 Seminars in Speech and Language 0.209 0.054 Seminars in Spine Surgery 0.020 0.036 Seminars in thoracic and cardiovascular surgery 0.393 0.150 Seminars in thoracic and cardiovascular surgery. Pediatric cardiac surgery annual 0.323 0.107 Seminars in Thrombosis and Hemostasis 0.675 0.318 Seminars in Ultrasound CT and MRI 0.359 0.116 Seminars in Vascular Surgery 0.515 0.171 Semiotica 0.123 0.035 Sen 0.034 Senckenbergiana Biologica 0.077 0.036 Senckenbergiana Lethaea 0.104 0.040 Senckenbergiana Maritima 0.168 0.044 SENDROM 0.033 Sen'i Gakkaishi 0.174 0.038 Sensing and Imaging 0.568 0.069 Sensing and Instrumentation for Food Quality and Safety 0.036 Sensor Letters 0.154 0.070 Sensor Review 0.371 0.049 Sensors 0.382 0.110 Sensors and Actuators, A: Physical 0.888 0.135 Sensors and Actuators, B: Chemical 0.960 0.227 Sensors and Materials 0.158 0.054 Sentinel event alert / Joint Commission on Accreditation of Healthcare Organizations 0.034 Separation and Purification Reviews 0.731 0.227 Separation and Purification Technology 1.100 0.157 Separation Science and Technology 0.342 0.077 Sequential Analysis 0.252 0.039 Serbian Astronomical Journal 0.056 0.041 Serbian Journal of Experimental and Clinical Research 0.034 Serials 0.123 0.043 Serials Librarian 0.056 0.040 Serials Review 0.109 0.045 Service Business 0.103 0.038 Service Industries Journal 0.216 0.036 Service Oriented Computing and Applications 0.190 0.045 Services Marketing Quarterly 0.204 0.038 Servir (Lisbon, Portugal) 0.034 Seton Hall law review 0.152 0.040 Set-Valued Analysis 0.243 0.037 Sewanee Review 0.034 Sex Education 0.154 0.041 Sex Roles 0.541 0.050 Sexologies 0.017 0.036 Sexual Abuse: Journal of Research and Treatment 1.023 0.080 Sexual Addiction and Compulsivity 0.250 0.051 Sexual and Relationship Therapy 0.143 0.049 Sexual Development 0.452 0.357 Sexual Health 0.396 0.149 Sexual Plant Reproduction 0.510 0.403 Sexualities 0.324 0.046 Sexuality and Culture 0.108 0.049 Sexuality and Disability 0.519 0.047 Sexuality, Reproduction and Menopause 0.013 0.038 Sexually Transmitted Diseases 0.884 0.262 Sexually Transmitted Infections 0.975 0.303 Sexuologie 0.000 0.034 Shakespeare Quarterly 0.034 Shakespeare Studies 0.034 Shanghai Jiaotong Daxue Xuebao/Journal of Shanghai Jiaotong University 0.212 0.041 Shanghai Ligong Daxue Xuebao/Journal of University of Shanghai for Science and Technology 0.049 0.035 Shapu Giho/Sharp Technical Journal 0.000 0.034 Shenandoah 0.034 Sheng wu gong cheng xue bao = Chinese journal of biotechnology 0.092 0.040 Sheng wu yi xue gong cheng xue za zhi = Journal of biomedical engineering = Shengwu yixue gongchengxue zazhi 0.064 0.036 Shengxue Xuebao/Acta Acustica 0.243 0.041 Shenyang Jianzhu Daxue Xuebao (Ziran Kexue Ban)/Journal of Shenyang Jianzhu University (Natural Science) 0.049 0.035 Shenzhen Daxue Xuebao (Ligong Ban)/Journal of Shenzhen University Science and Engineering 0.172 0.038 Shinku/Journal of the Vacuum Society of Japan 0.110 0.040 Shinrigaku Kenkyu 0.024 0.043 Shiyan Liuti Lixue/Journal of Experiments in Fluid Mechanics 0.237 0.039 Shiyou Diqiu Wuli Kantan/Oil Geophysical Prospecting 0.056 0.034 Shiyou Huagong Gaodeng Xuexiao Xuebao/Journal of Petrochemical Universities 0.045 0.036 Shiyou Huagong Shebei/ Petro-Chemical Equipment 0.019 0.034 Shiyou Kantan Yu Kaifa/Petroleum Exploration and Development 1.086 0.058 Shiyou Xuebao/Acta Petrolei Sinica 1.032 0.045 Shock 0.729 0.328 Shock and Vibration 0.352 0.041 Shock and Vibration Digest 4.677 0.162 Shock Waves 0.405 0.054 Shu Ju Cai Ji Yu Chu Li/Journal of Data Acquisition and Processing 0.116 0.036 Shuidonglixue Yanjiu yu Jinzhan/Chinese Journal of Hydrodynamics Ser. A 0.353 0.041 Shuikexue Jinzhan/Advances in Water Science 0.534 0.044 Shuili Fadian Xuebao/Journal of Hydroelectric Engineering 0.379 0.040 Shuili Xuebao/Journal of Hydraulic Engineering 0.364 0.042 SIAM Journal of Scientific Computing 1.136 0.097 SIAM Journal on Applied Dynamical Systems 0.943 0.092 SIAM Journal on Applied Mathematics 0.945 0.082 SIAM Journal on Computing 1.125 0.105 SIAM Journal on Control and Optimization 1.146 0.071 SIAM Journal on Discrete Mathematics 1.000 0.067 SIAM Journal on Mathematical Analysis 1.077 0.069 SIAM Journal on Matrix Analysis and Applications 0.932 0.074 SIAM Journal on Numerical Analysis 1.363 0.084 SIAM Journal on Optimization 1.706 0.074 SIAM Review 1.330 0.192 Siberian Advances in Mathematics 0.085 0.035 Siberian Mathematical Journal 0.400 0.040 Sichuan Daxue Xuebao (Gongcheng Kexue Ban)/Journal of Sichuan University (Engineering Science Edition) 0.232 0.039 SID Conference Record of the International Display Research Conference 0.020 0.034 Sight and Sound 0.009 0.033 SIGMOD Record (ACM Special Interest Group on Management of Data) 0.435 0.043 Sign Language and Linguistics (Online) 0.000 0.034 Sign Language Studies 0.034 Signal 0.034 Signal Processing 0.820 0.069 Signal Processing: Image Communication 0.799 0.059 Signal Transduction 0.134 0.158 Signal, Image and Video Processing 0.300 0.043 Significance 0.150 0.045 Signs 0.290 0.036 SIGPLAN Notices (ACM Special Interest Group on Programming Languages) 0.336 0.044 Silicates Industriels 0.046 0.036 Silicon Chemistry 0.305 0.056 Silva Fennica 0.486 0.066 Silva Fennica Monographs 3.778 0.077 Silvae Genetica 0.174 0.047 SIMILE 0.445 0.037 Simiolus 0.296 0.034 Simulation 0.208 0.043 Simulation and Gaming 0.236 0.036 Simulation in healthcare : journal of the Society for Simulation in Healthcare 0.300 0.066 Simulation Modelling Practice and Theory 0.677 0.051 Sinapse 0.034 Singapore dental journal 0.139 0.034 Singapore Economic Review 0.052 0.035 Singapore General Hospital Proceedings 0.044 0.034 Singapore Journal of Tropical Geography 0.459 0.051 Singapore Management Review 0.211 0.038 Singapore medical journal 0.317 0.075 Sinn und Form 0.034 Skeletal Radiology 0.637 0.107 Skin Pharmacology and Physiology 0.764 0.214 Skin Research 0.003 0.034 Skin Research and Technology 0.529 0.124 Skin therapy letter 0.348 0.102 Skinmed 0.127 0.069 Skull Base 0.324 0.069 Slavic and East European Information Resources 0.000 0.034 Slavic Review 0.336 0.034 Slavonic and East European Review 0.186 0.034 Sleep 1.249 0.525 Sleep and Biological Rhythms 0.236 0.074 Sleep and Breathing 0.473 0.174 Sleep and Hypnosis 0.164 0.040 Sleep Medicine 0.831 0.288 Sleep Medicine Clinics 0.120 0.059 Sleep Medicine Reviews 1.378 0.665 Slovenian Veterinary Research 0.034 Slovo a Slovesnost 0.232 0.034 Small 1.276 0.624 Small Business Economics 0.879 0.048 Small Group Research 0.694 0.045 Small Ruminant Research 0.713 0.069 Small-scale Forestry 0.139 0.038 Smart Materials and Structures 0.902 0.105 Smart Structures and Systems 0.656 0.051 Smith College Studies in Social Work 0.049 0.034 Smithsonian 0.002 0.034 SMT Surface Mount Technology Magazine 0.007 0.034 SMU law review : a publication of Southern Methodist University School of Law 0.000 0.036 Sobornost Incorporating Eastern Churches Review 0.034 Social Analysis 0.309 0.034 Social and Cultural Geography 0.334 0.041 Social and Legal Studies 0.628 0.043 Social Anthropology 0.460 0.035 Social Behavior and Personality 0.216 0.040 Social Choice and Welfare 0.855 0.051 Social Cognition 0.519 0.085 Social Cognitive and Affective Neuroscience 0.710 0.438 Social Compass 0.177 0.036 Social Development 0.736 0.079 Social Dynamics 0.119 0.034 Social Epistemology 0.271 0.036 Social Forces 0.858 0.049 Social History 0.303 0.034 Social History of Medicine 0.218 0.036 Social Identities 0.285 0.035 Social Indicators Research 0.604 0.052 Social Influence 0.145 0.040 Social Justice Research 0.528 0.073 Social Networks 0.817 0.082 Social neuroscience 0.692 0.317 Social Philosophy and Policy 0.162 0.039 Social Policy and Administration 0.745 0.051 Social Politics 0.518 0.039 Social Problems 0.966 0.051 Social Psychiatry and Psychiatric Epidemiology 0.818 0.112 Social Psychology of Education 0.312 0.042 Social Psychology Quarterly 0.369 0.047 Social Research 0.197 0.041 Social Science and Medicine 1.322 0.171 Social Science Computer Review 0.436 0.043 Social Science History 0.053 0.036 Social Science Information 0.187 0.059 Social Science Japan Journal 0.411 0.035 Social Science Journal 0.196 0.036 Social Science Quarterly 0.673 0.045 Social Science Research 0.883 0.065 Social Security Bulletin 0.423 0.051 Social Service Review 0.534 0.049 Social Studies of Science 0.732 0.068 Social Work 0.537 0.049 Social Work and Sciences Review 0.034 Social Work Education 0.229 #N/A Social Work in Health Care 0.159 0.045 Social Work in Mental Health 0.088 0.039 Social work in public health 0.126 0.042 Social Work Research 0.377 0.041 Social Work with Groups 0.164 0.037 Socialism and Democracy 0.068 0.034 Societes 0.034 Societies without Borders 0.323 0.035 Society 0.073 0.034 Society and Animals 0.261 0.038 Society and Economy 0.034 Society and Natural Resources 0.705 0.053 Society of Reproduction and Fertility supplement 0.650 0.209 Society of Tribologists and Lubrication Engineers - 62nd Annual Meeting of the Society of Tribologists and Lubrication Engineers 2007 0.034 Socijalna Ekologija 0.034 Socijalna Psihijatrija 0.091 0.034 Sociobiology 0.214 0.043 Socio-Economic Planning Sciences 1.558 0.052 Socio-Economic Review 0.696 0.043 Sociologia 0.198 0.035 Sociologia 0.034 Sociologia Ruralis 0.969 0.051 Sociologias 0.073 0.034 Sociological Forum 0.424 0.039 Sociological Inquiry 0.374 0.041 Sociological Methodology 0.906 0.113 Sociological Methods and Research 0.939 0.070 Sociological Perspectives 0.386 0.044 Sociological Quarterly 0.654 0.093 Sociological Research Online 0.209 0.040 Sociological Review 0.497 0.040 Sociological Spectrum 0.302 0.038 Sociological Theory 0.978 0.042 Sociological Theory and Methods 0.034 Sociologick casopis 0.243 0.035 Sociologie du Travail 0.381 0.035 Sociologisk Forskning 0.000 0.034 Sociology 0.960 0.051 Sociology of Education 1.163 0.054 Sociology of Health and Illness 1.044 0.105 Sociology of Religion: A Quarterly Review 0.608 0.039 Sociology of Sport Journal 0.787 0.043 Soft Computing 0.675 0.055 Soft Materials 0.311 0.079 Soft Matter 1.111 0.423 Software - Practice and Experience 0.472 0.045 Software and Systems Modeling 1.056 0.073 Software Engineering Education Conference, Proceedings 0.077 0.034 Software Process Improvement and Practice 0.493 0.046 Software Quality Journal 0.660 0.050 Software Testing Verification and Reliability 0.895 0.042 Soil and Sediment Contamination 0.376 0.054 Soil and Tillage Research 1.297 0.098 Soil and Water Research 0.109 0.036 Soil Biology and Biochemistry 1.302 0.154 Soil Dynamics and Earthquake Engineering 1.590 0.067 Soil Mechanics and Foundation Engineering 0.034 Soil Science 0.521 0.059 Soil Science and Plant Nutrition 0.524 0.071 Soil Science Society of America Journal 1.205 0.104 Soil Use and Management 0.810 0.080 Soils and Rocks 0.051 0.035 Soins Aides - Soignantes 0.339 0.034 Soins Cadres de Sante 0.087 0.034 Soins. Grontologie 0.034 0.034 Soins. Pdiatrie, puriculture 0.034 Soins. Psychiatrie 0.034 Soins; la revue de rfrence infirmire 0.000 0.033 Sojourn (Singapore) 0.313 0.035 Solar Energy 1.467 0.106 Solar Energy Materials and Solar Cells 1.315 0.257 Solar Physics 0.852 0.296 Solar System Research 0.127 0.054 Soldagem e Inspecao 0.158 0.039 Soldering and Surface Mount Technology 0.283 0.055 Solid Fuel Chemistry 0.009 0.034 Solid State Communications 0.818 0.160 Solid State Ionics 1.072 0.234 Solid State Nuclear Magnetic Resonance 0.417 0.118 Solid State Sciences 0.713 0.125 Solid-State Electronics 0.778 0.134 Solvent Extraction and Ion Exchange 0.614 0.069 Solvent Extraction Research and Development 0.127 0.046 Somatosensory and Motor Research 0.337 0.187 Somnologie 0.124 0.044 Songklanakarin Journal of Science and Technology 0.048 0.037 SORT 0.105 0.040 Sotsiologicheskie Issledovaniya 0.000 0.033 Soudn lkarstv / casopis Sekce soudnho lkarstvi Cs. lkarsk spolecnosti J. Ev. Purkyne 0.203 0.034 Soundings 0.034 Source Code for Biology and Medicine 0.295 0.130 South African Archaeological Bulletin 0.335 0.042 South African Family Practice 0.051 0.037 South African Geographical Journal 0.135 0.035 South African Historical Journal 0.321 0.034 South African Journal for Research in Sport, Physical Education and Recreation 0.034 South African Journal of Animal Sciences 0.132 0.039 South African Journal of Botany 0.462 0.056 South African Journal of Business Management 0.034 South African Journal of Chemistry 0.188 0.054 South African Journal of Clinical Nutrition 0.025 0.047 South African Journal of Economic and Management Sciences 0.097 0.034 South African Journal of Economics 0.201 0.037 South African Journal of Enology and Viticulture 0.068 0.046 South African Journal of Geology 0.425 0.067 South African Journal of Marine Science 0.339 0.062 South African Journal of Obstetrics and Gynaecology 0.034 South African Journal of Philosophy 0.133 0.035 South African Journal of Plant and Soil 0.146 0.052 South African Journal of Psychiatry 0.013 0.036 South African Journal of Psychology 0.094 0.040 South African Journal of Science 0.179 0.049 South African Journal of Surgery 0.257 0.070 South African Journal of Wildlife Research 0.176 0.043 South African medical journal = Suid-Afrikaanse tydskrif vir geneeskunde 0.187 0.090 South African Statistical Journal 0.309 0.036 South Asia 0.051 0.034 South Asia Economic Journal 0.034 South Asia Research 0.034 South Asian Survey 0.034 South Australian Geographical Journal 0.000 0.034 South Carolina nurse (Columbia, S.C. : 1994) 0.034 South Dakota journal of medicine 0.021 0.035 South Dakota Review 0.034 South East Asia Research 0.286 0.036 South European Society and Politics 0.460 0.038 Southeast Asian Journal of Tropical Medicine and Public Health 0.175 0.066 Southeast Asian Studies 0.071 0.035 Southeastern Archaeology 0.195 0.037 Southeastern Geographer 0.031 0.035 Southeastern Geology 0.057 0.035 Southeastern Naturalist 0.154 0.044 Southern African Journal of Critical Care 0.052 0.037 Southern African Journal of HIV Medicine 0.094 0.055 Southern African Linguistics and Applied Language Studies 0.326 0.034 Southern California Law Review 0.066 0.034 Southern Cultures 0.014 0.034 Southern Economic Journal 0.821 0.046 Southern Forests 0.153 0.043 Southern Humanities Review 0.000 0.034 Southern Journal of Applied Forestry 0.286 0.040 Southern Journal of Philosophy 0.064 0.034 Southern Literary Journal 0.034 Southern Medical Journal 0.271 0.088 Southwestern Entomologist 0.204 0.039 Southwestern Historical Quarterly 0.034 Southwestern Naturalist 0.230 0.043 Soziale Welt 0.108 0.034 Space and Culture 0.064 0.034 Space and Polity 0.352 0.040 Space Communications 0.000 0.034 Space Policy 0.339 0.039 Space Research Today 0.005 0.035 Space Science Reviews 0.809 0.377 Space Technology 0.000 0.034 Space Weather 0.211 0.113 Spanish Economic Review 0.266 0.038 Spanish in Context 0.418 #N/A Spanish Journal of Agricultural Research 0.176 0.045 Spanish Journal of Psychology 0.268 0.047 Spatial Economic Analysis 0.261 0.038 Spatial Vision 0.384 0.111 SPE - Asia Pacific Oil & Gas Conference 0.000 0.034 SPE - DOE Improved Oil Recovery Symposium Proceedings 0.034 SPE Drilling and Completion 0.069 0.034 SPE Hydrocarbon Economics and Evaluation Symposium 0.034 SPE International Formation Damage Control Symposium Proceedings 0.034 SPE Journal 0.572 0.051 SPE Latin American and Carribean Petroleum Engineering Conference Proceedings 0.000 0.033 SPE Production and Operations 0.125 0.036 SPE Reprint Series 0.000 0.034 SPE Reservoir Evaluation and Engineering 0.357 0.041 SPE/IADC Drilling Conference, Proceedings 0.029 0.034 Special care in dentistry : official publication of the American Association of Hospital Dentists, the Academy of Dentistry for the Handicapped, and the American Society for Geriatric Dentistry 0.265 0.057 Special Paper - Geological Survey of Finland 0.084 0.051 Special Report - National Research Council, Transportation Research Board 0.034 Speciality Petrochemicals 0.045 0.035 Specialty Fabrics Review 0.011 0.033 Specialty law digest. Health care law 0.059 0.041 Spectrochimica Acta - Part A: Molecular and Biomolecular Spectroscopy 0.626 0.097 Spectrochimica Acta - Part B Atomic Spectroscopy 0.842 0.183 Spectroscopy 0.160 0.062 Spectroscopy Europe 0.299 0.060 Spectroscopy Letters 0.219 0.067 Speculum 0.427 0.034 Speech Communication 0.664 0.059 Speech, Language and the Law 0.170 #N/A Spektrum der Augenheilkunde 0.112 0.038 Spenser Studies 0.000 0.034 Spiegel der Letteren 0.192 0.035 Spinal Cord 0.854 0.152 Spine 1.168 0.204 Spine Journal 0.775 0.154 Spirale 0.030 0.034 Spore 0.039 Sport en Geneeskunde 0.000 0.034 Sport in Society 0.146 0.035 Sport Management Review 0.366 0.036 Sport Orthopadie Traumatologie 0.014 0.034 Sport Psychologist 0.840 0.062 Sport Sciences for Health 0.154 0.047 Sport, Education and Society 0.454 0.043 Sports biomechanics / International Society of Biomechanics in Sports 0.387 0.062 Sports Medicine 1.342 0.200 Sports Medicine and Arthroscopy Review 0.567 0.125 Sports Medicine Standards and Malpractice Reporter 0.049 0.046 Sportverletzung-Sportschaden 0.106 0.043 Sprache Stimme Gehor 0.159 0.036 Sprachwissenschaft 0.034 Springer Series in Chemical Physics 0.016 0.035 Springer Tracts in Advanced Robotics 0.049 0.035 Srpski arhiv za celokupno lekarstvo 0.034 0.038 Stand 0.035 Standardization News 0.034 Standort 0.034 Stanford Journal of International Law 0.216 0.036 Stanford Law Review 0.390 0.037 Stapp car crash journal 0.874 0.088 Staps 0.092 0.034 Starch/Staerke 0.703 0.079 Stata Journal 0.672 0.184 State legislatures 0.034 State Politics and Policy Quarterly 0.510 0.044 Statistica Neerlandica 0.469 0.052 Statistica Sinica 0.556 0.079 Statistical Applications in Genetics and Molecular Biology 0.440 0.324 Statistical Inference for Stochastic Processes 0.696 0.045 Statistical Journal of the IAOS 0.158 0.036 Statistical Methodology 0.299 0.053 Statistical Methods and Applications 0.323 0.043 Statistical Methods in Medical Research 0.740 0.223 Statistical Modelling 0.368 0.052 Statistical Papers 0.643 0.045 Statistical Science 1.347 0.144 Statistics 0.486 0.045 Statistics and Computing 0.814 0.129 Statistics and Probability Letters 0.493 0.044 Statistics in Medicine 0.673 0.261 Statute Law Review 0.034 Steel and Composite Structures 0.462 0.046 Steel in Translation 0.006 0.034 Steel Research International 0.253 0.047 Stem Cell Research 0.292 0.529 Stem Cells 1.278 1.366 Stem Cells and Development 0.616 0.475 Stereotactic and Functional Neurosurgery 0.330 0.120 Steroids 0.683 0.285 Stewart Postharvest Review 0.123 0.043 Stochastic Analysis and Applications 0.589 0.046 Stochastic Environmental Research and Risk Assessment 0.529 0.062 Stochastic Models 0.665 0.052 Stochastic Processes and their Applications 1.130 0.062 Stochastics 0.550 0.043 Stochastics and Dynamics 0.494 0.048 Stomatologiia 0.003 0.034 Stomatologija / issued by public institution "Odontologijos studija" ... [et al.]. 0.064 0.045 Strabismus 0.320 0.071 Strad 0.033 Strahlentherapie und Onkologie 0.624 0.217 Strain 0.789 0.079 Strategic Direction 0.013 0.034 Strategic Management Journal 2.093 0.065 Strategic Organization 0.256 0.042 Strategies in Trauma and Limb Reconstruction 0.054 0.038 Strategy and Leadership 0.201 0.036 Stratigraphy 0.251 0.049 Stratigraphy and Geological Correlation 0.334 0.042 Strength and Conditioning Journal 0.273 0.039 Strength of Materials 0.323 0.039 Strength, Fracture and Complexity 0.000 0.034 Stress 0.694 0.280 Stress and Health 0.471 0.056 Strojarstvo 0.000 0.034 Strojniski Vestnik/Journal of Mechanical Engineering 0.217 0.041 Stroke 1.866 0.701 Structural and Multidisciplinary Optimization 1.185 0.063 Structural Change and Economic Dynamics 0.370 0.040 Structural Chemistry 0.337 0.070 Structural Concrete 0.219 0.046 Structural Control and Health Monitoring 0.560 0.055 Structural Design of Tall and Special Buildings 0.412 0.041 Structural Engineer 0.079 0.035 Structural Engineering and Mechanics 0.355 0.041 Structural Engineering International: Journal of the International Association for Bridge and Structural Engineering (IABSE) 0.160 0.036 Structural Engineering Report 0.034 Structural Engineering/Earthquake Engineering 0.205 0.040 Structural Equation Modeling 1.371 0.114 Structural Health Monitoring 1.266 0.074 Structural Safety 2.098 0.094 Structural Survey 0.086 0.037 Structure 1.203 1.733 Structure and Bonding 1.119 0.332 Structure and Infrastructure Engineering 0.398 0.046 Strumenti Critici 0.034 Studi e Problemi di Critica Testuale 0.034 Studi emigrazione. Etudes migrations 0.021 0.034 Studi Francesi 0.034 Studi Medievali 0.034 Studi Musicali 0.034 Studi Piemontesi 0.034 Studi Secenteschi 0.102 0.034 Studi storici 0.034 Studia Celtica 0.034 Studia Geologica Polonica 0.084 0.034 Studia Geophysica et Geodaetica 0.615 0.071 Studia islamica 0.000 0.034 Studia Linguistica 0.345 0.035 Studia Liturgica 0.034 Studia Logica 0.330 0.047 Studia Mathematica 0.768 0.049 Studia Musicologica Academiae Scientiarum Hungaricae 0.034 Studia Neophilologica 0.030 0.034 Studia Phaenomenologica 0.000 0.034 Studia Pneumologica et Phtiseologica 0.033 0.036 Studia Psychologica 0.254 0.037 Studia Quaternaria 0.601 0.056 Studia Rosenthaliana 0.034 Studia Scientiarum Mathematicarum Hungarica 0.364 0.041 Studia Slavica Academiae Scientiarum Hungaricae 0.113 0.034 Studies in American Fiction 0.000 0.034 Studies in American Indian Literatures 0.027 0.034 Studies in American Political Development 0.271 0.037 Studies in Applied Mathematics 0.794 0.063 Studies in Avian Biology 0.265 0.049 Studies in Canadian Literature - Etudes en Litterature Canadienne 0.034 Studies in Comparative International Development 0.559 0.042 Studies in Computational Intelligence 0.057 0.036 Studies in Conflict and Terrorism 0.372 0.038 Studies in Conservation 0.308 0.051 Studies in Continuing Education 0.191 0.036 Studies in East European Thought 0.215 0.034 Studies in Economics and Finance 0.034 Studies in Educational Evaluation 0.375 0.040 Studies in eighteenth-century culture 0.034 Studies in Family Planning 0.629 0.093 Studies in Fuzziness and Soft Computing 0.033 0.035 Studies in Gender and Sexuality 0.034 Studies in health technology and informatics 0.087 0.050 Studies in Higher Education 1.405 0.054 Studies in History 0.047 0.034 Studies in History and Philosophy of Science Part A 0.277 #N/A Studies in History and Philosophy of Science Part B - Studies in History and Philosophy of Modern Physics 0.552 0.046 Studies in History and Philosophy of Science Part C 0.102 0.050 Studies in Iconography 0.034 Studies in Language 0.298 #N/A Studies in Latin American Popular Culture 0.000 0.034 Studies in Law Politics and Society 0.066 0.034 Studies in Mycology 1.492 0.313 Studies in Nonlinear Dynamics and Econometrics 0.650 0.045 Studies in Philology 0.000 0.034 Studies in Philosophy and Education 0.220 0.035 Studies in Political Economy 0.132 0.035 Studies in Qualitative Methodology 0.000 0.034 Studies in Religion-Sciences Religieuses 0.000 0.034 Studies in Romanticism 0.000 0.034 Studies in Second Language Acquisition 0.909 0.055 Studies in Surface Science and Catalysis 0.105 0.048 Studies in Symbolic Interaction 0.090 0.034 Studies in the Decorative Arts 0.034 Studies in the History of Art 0.034 Studies in the History of Gardens and Designed Landscapes 0.263 0.034 Studies in the Novel 0.034 Studies on Neotropical Fauna and Environment 0.138 0.038 Studies on Russian Economic Development 0.134 0.033 Studies on Voltaire and the Eighteenth Century 0.034 Style 0.000 0.034 Sub-cellular biochemistry 0.447 0.420 Sub-Stance 0.000 0.034 Substance Abuse 0.244 0.070 Substance Abuse: Treatment, Prevention, and Policy 0.327 0.077 Substance Use and Misuse 0.364 0.064 Sucht 0.115 0.038 Suchtmedizin in Forschung und Praxis 0.069 0.034 Sudebno-meditsinskaia ekspertiza 0.004 0.034 Sudhoffs Archiv 0.132 0.034 Sudhoffs Archiv; Zeitschrift fr Wissenschaftsgeschichte. Beihefte 0.036 Sugar Tech 0.077 0.037 Suicide and Life-Threatening Behavior 0.595 0.094 Sumarski List 0.048 0.035 Summa Phytopathologica 0.027 0.034 Suo 0.176 0.041 Superconductor Science and Technology 0.760 0.114 Superlattices and Microstructures 0.452 0.092 Supply Chain Management 0.563 0.050 Support for Learning 0.034 0.034 Supportive Cancer Therapy 0.173 0.106 Supportive Care in Cancer 0.820 0.216 Supramolecular Chemistry 0.351 0.140 Supreme Court Review 0.000 0.034 Surface and Coatings Technology 1.114 0.143 Surface and Interface Analysis 0.452 0.116 Surface Engineering 0.190 0.051 Surface Engineering and Applied Electrochemistry 0.040 0.035 Surface Investigation X-Ray, Synchrotron and Neutron Techniques 0.083 0.036 Surface Review and Letters 0.099 0.050 Surface Science 0.774 0.147 Surface Science Reports 4.253 0.909 Surgeon 0.321 0.095 Surgery 0.913 0.325 Surgery 0.070 0.039 Surgery for Obesity and Related Diseases 0.530 0.400 Surgery Today 0.471 0.118 Surgical and Radiologic Anatomy 0.644 0.080 Surgical Chronicles 0.034 Surgical Clinics of North America 0.501 0.191 Surgical Endoscopy 0.936 0.319 Surgical infections 0.414 0.168 Surgical Innovation 0.420 0.182 Surgical Laparoscopy, Endoscopy and Percutaneous Techniques 0.346 0.097 Surgical Neurology 0.560 0.121 Surgical Oncology 0.459 0.170 Surgical Oncology Clinics of North America 0.376 0.185 Surgical Pathology Clinics 0.034 Surgical Practice 0.196 0.042 Surgical Products 0.039 Survey of Ophthalmology 0.815 0.239 Survey Review 0.147 0.038 Surveying and Land Information Science 0.020 0.034 Surveys in Geophysics 0.898 0.211 Survival 0.489 0.058 Sustainability Science 0.255 0.055 Sustainable Development 0.551 0.047 Suvremena Psihologija 0.034 Suxing Gongcheng Xuebao/Journal of Plasticity Engineering 0.119 0.037 Svensk Botanisk Tidskrift 0.116 0.040 Svensk medicinhistorisk tidskrift 0.034 Swarm Intelligence 0.577 0.089 SWE Magazine 0.034 Swedish Dental Journal 0.315 0.060 Swedish dental journal. Supplement 0.086 0.042 Swedish Economic Policy Review 0.222 0.034 Swiss Journal of Geosciences 0.309 0.049 Swiss Journal of Psychology 0.645 0.051 Swiss Medical Weekly 0.460 0.131 Swiss Political Science Review 0.272 0.037 SWS - Rundschau 0.374 0.034 Sydowia 0.121 0.040 Symbiosis 0.260 0.161 Symbolic Interaction 0.706 0.045 Symmetry, Integrability and Geometry: Methods and Applications 0.168 0.040 Symposium - Quarterly Journal in Modern Literatures 0.034 Synapse 0.657 0.318 Synlett 0.431 0.179 Syntax 0.853 0.039 Synth Philos 0.000 0.034 Synthese 0.420 0.051 Synthesis and Reactivity in Inorganic, Metal-Organic and Nano- Metal Chemistry 0.216 0.055 Synthetic Communications 0.187 0.077 Synthetic Metals 0.624 0.165 System 0.538 0.037 System Dynamics Review 0.308 0.040 Systematic and Applied Microbiology 0.865 0.184 Systematic Biology 2.085 0.993 Systematic Botany 0.599 0.130 Systematic Entomology 0.734 0.114 Systematic Parasitology 0.501 0.060 Systematics and Biodiversity 0.569 0.115 Systematics and Geography of Plants 0.484 0.099 Systemic Practice and Action Research 0.240 0.037 Systems and Computers in Japan 0.115 0.038 Systems and Control Letters 1.275 0.080 Systems and Synthetic Biology 0.311 0.425 Systems biology in reproductive medicine 0.273 0.099 Systems Engineering 0.689 0.044 Systems Research and Behavioral Science 0.380 0.039 Systems Science 0.023 0.034 T and D 0.018 0.034 Tagliche Praxis 0.000 0.033 Tailoring Biotechnologies 0.335 0.034 Taiwan Journal of Forest Science 0.154 0.037 Taiwan Journal of Public Health 0.021 0.035 Taiwan Pharmaceutical Journal 0.003 0.039 Taiwan Review 0.000 0.033 Taiwanese Journal of Agricultural Chemistry and Food Science 0.008 0.034 Taiwanese Journal of Mathematics 0.293 0.045 Taiwanese Journal of Obstetrics and Gynecology 0.162 0.084 Taiwania 0.245 0.036 Taiyangneng Xuebao/Acta Energiae Solaris Sinica 0.153 0.039 Talanta 0.946 0.223 Tamkang Journal of International Affairs 0.034 Tamkang Journal of Mathematics 0.022 0.035 Tamkang Journal of Science and Engineering 0.087 0.035 Tamkang Review 0.034 Tanpakushitsu kakusan koso. Protein, nucleic acid, enzyme 0.005 0.038 Tanzania journal of health research 0.169 0.074 TAPPI European PLACE Conference 0.034 Tar heel nurse 0.000 0.034 Target 0.519 0.034 Targeted Oncology 0.077 0.076 Tarsadalom as Gazdasag 0.034 Tarsadalomkutatas 0.034 Taxon 0.719 0.144 TDR - The Drama Review - A Journal of Performance Studies 0.035 #N/A Teacher Development 0.070 0.034 Teachers and Teaching: Theory and Practice 0.884 0.044 Teachers College Record 0.665 0.040 Teaching and Learning in Medicine 0.359 0.077 Teaching and Learning in Nursing 0.159 0.038 Teaching and Teacher Education 0.870 0.044 Teaching Education 0.236 0.037 Teaching in Higher Education 0.629 0.042 Teaching Mathematics and its Applications 0.456 0.038 Teaching of Psychology 0.317 0.042 Teaching Sociology 0.558 0.036 Team Performance Management 0.133 0.037 Tecbahia Revista Baiana De Tecnologia 0.034 Technical Communication 0.697 0.037 Technical Digest - International Electron Devices Meeting 0.267 0.092 Technical Physics 0.053 0.040 Technical Physics Letters 0.088 0.043 Technical Report - University of Texas at Austin, Center for Research in Water Resources 0.034 Technical Services Quarterly 0.593 0.042 Techniques in Coloproctology 0.454 0.108 Techniques in Foot and Ankle Surgery 0.168 0.044 Techniques in Gastrointestinal Endoscopy 0.047 0.056 Techniques in Hand and Upper Extremity Surgery 0.333 0.045 Techniques in Knee Surgery 0.076 0.042 Techniques in Ophthalmology 0.063 0.042 Techniques in Orthopaedics 0.041 0.034 Techniques in Regional Anesthesia and Pain Management 0.112 0.041 Techniques in Shoulder and Elbow Surgery 0.097 0.042 Techniques in Vascular and Interventional Radiology 0.368 0.116 Techniques, Sciences, Methodes 0.018 0.034 Technische Akademie Esslingen International Tribology Colloquium Proceedings 0.033 Technische Uberwachung 0.094 0.036 Technisches Messen 0.178 0.039 Technol Eval Cent Asses Program Exec Summ 0.034 Technologia del Agua 0.008 0.034 Technological and Economic Development of Economy 0.087 0.038 Technological Forecasting and Social Change 0.805 0.075 Technology Analysis and Strategic Management 0.551 0.049 Technology and Culture 0.273 0.035 Technology and Disability 0.170 0.040 Technology and Health Care 0.325 0.066 Technology in Cancer Research and Treatment 0.356 0.186 Technology in Society 0.450 0.061 Technology Reports of Kansai University 0.051 0.038 Technology Review 0.000 0.034 Technology, Pedagogy and Education 0.163 0.035 Technometrics 1.180 0.094 Technovation 1.042 0.056 TechTrends 0.121 0.036 Tecniche Chirurgiche in Ortopedia e Traumatologia 0.034 Tectonics 0.775 0.154 Tectonophysics 0.736 0.132 Tehnicki Vjesnik 0.034 Teikyo Medical Journal 0.007 0.035 Teknik Dergi/Technical Journal of Turkish Chamber of Civil Engineers 0.023 0.035 Tekstil 0.011 0.035 Tekstilna Industrija 0.034 Telecommunication Systems 0.292 0.041 Telecommunications and Radio Engineering (English translation of Elektrosvyaz and Radiotekhnika) 0.053 0.035 Telecommunications Policy 0.804 0.047 Telematics and Informatics 0.567 0.045 Telemedicine Journal and e-Health 0.374 0.106 Television Quarterly 0.034 Tellus, Series A: Dynamic Meteorology and Oceanography 0.609 0.125 Tellus, Series B: Chemical and Physical Meteorology 0.746 0.338 Telma 0.000 0.034 Telopea 0.061 0.061 Temenos 0.547 0.034 Temple Law Review 0.028 0.034 Tempo 0.000 0.034 Tempo Medico 0.034 Tempo Medico Cuore 0.034 Temps des Medias 0.034 Tennessee medicine : journal of the Tennessee Medical Association 0.015 0.040 Tennessee nurse / Tennessee Nurses Association 0.103 0.036 Tenside, Surfactants, Detergents 0.171 0.046 Teologia y Vida 0.099 0.034 Terapevticheskii arkhiv 0.015 0.037 Terapia Psicologica 0.034 Terra 0.032 0.034 Terra Antarctica 0.109 0.038 Terra Antartica Reports 0.040 0.036 Terra Nova 0.654 0.077 Terrestrial, Atmospheric and Oceanic Sciences 0.347 0.047 Territoire en Mouvement 0.034 Terrorism and Political Violence 0.559 0.039 Tertiary Education and Management 0.200 0.037 TESOL Quarterly 1.097 0.043 Test 0.657 0.059 Tetrahedron 0.650 0.215 Tetrahedron Asymmetry 0.468 0.171 Tetrahedron Letters 0.538 0.183 Tetsu-To-Hagane/Journal of the Iron and Steel Institute of Japan 0.245 0.044 Texas dental journal 0.014 0.036 Texas Heart Institute Journal 0.344 0.102 Texas Journal of Science 0.058 0.036 Texas Law Review 0.249 0.035 Texas medicine 0.026 0.036 Texas nursing 0.111 0.066 Texas Studies in Literature and Language 0.093 0.034 Texas Transportation Researcher 0.034 Text and Talk 0.449 0.038 Texte 0.034 Textile History 0.197 0.034 Textile Network 0.033 Textile Outlook International 0.034 Textile Research Journal 0.740 0.056 Textile View2 Magazine 0.034 Textile: The Journal of Cloth and Culture 0.296 0.034 Textiles South East Asia 0.033 Textual Practice 0.000 0.034 Texture, Stress, and Microstructure 0.021 0.048 Tezhong Zhuzao Ji Youse Hejin/Special Casting and Nonferrous Alloys 0.064 0.035 Thai Journal of Veterinary Medicine 0.021 0.034 Thalamus and Related Systems 0.310 0.210 The ABNF journal : official journal of the Association of Black Nursing Faculty in Higher Education, Inc 0.106 0.059 The Alabama nurse 0.000 0.034 The Alpha omegan 0.013 0.035 The American heart hospital journal 0.076 0.046 The American historical review 0.167 0.036 The American journal of bioethics : AJOB 0.367 0.120 The American journal of forensic medicine and pathology : official publication of the National Association of Medical Examiners 0.428 0.079 The American journal of hospice & palliative care 0.240 0.070 The American journal of psychiatry 2.228 0.818 The American nurse 0.033 0.035 The American Sociologist 0.103 0.036 The American University law review 0.216 0.042 The Annals of otology, rhinology & laryngology. Supplement 0.536 0.259 The Australian journal of advanced nursing : a quarterly publication of the Royal Australian Nursing Federation 0.359 0.048 The Australian journal of rural health 0.352 0.060 The Biochemical journal 1.015 1.044 The Brazilian journal of infectious diseases : an official publication of the Brazilian Society of Infectious Diseases 0.174 0.071 The British journal of educational psychology 1.074 0.065 The British journal of oral & maxillofacial surgery 0.417 0.085 The Bulletin of Tokyo Dental College 0.113 0.050 The Canadian journal of cardiology 0.318 0.135 The Canadian journal of infection control : the official journal of the Community & Hospital Infection Control Association-Canada = Revue canadienne de prvention des infections / Association pour la prvention des infections a l'hpital et dans la communaute-Canada ; CHICA-CANADA 0.034 The Canadian journal of nursing research = Revue canadienne de recherche en sciences infirmires 0.229 0.068 The Canadian journal of urology 0.228 0.113 The Canadian nurse 0.121 0.045 The Canadian veterinary journal. La revue vtrinaire canadienne 0.296 0.053 The Catholic historical review 0.012 0.034 The Ceylon medical journal 0.054 0.044 The Diabetes educator 0.385 0.129 The Egyptian journal of immunology / Egyptian Association of Immunologists 0.058 0.051 The English historical review 0.142 #N/A The European journal of prosthodontics and restorative dentistry 0.242 0.047 The Florida nurse 0.034 The Functional orthodontist 0.000 0.034 The Futurist 0.040 0.034 The Gerontologist 1.284 0.130 The Hague Journal of Diplomacy 0.132 0.035 The Harvard mental health letter / from Harvard Medical School 0.002 0.034 The Hastings Center report 0.222 0.100 The health care manager 0.122 0.057 The Health service journal 0.003 0.034 The heart surgery forum 0.121 0.060 The HIM journal 0.046 0.038 The Hispanic American historical review 0.172 0.035 The Hokkaido journal of medical science 0.000 0.034 The Hopkins HIV report : a bimonthly newsletter for healthcare providers / Johns Hopkins University AIDS Service 0.000 0.034 The Indian journal of chest diseases & allied sciences 0.133 0.051 The Indian Journal of Pediatrics 0.000 0.034 The Indian journal of tuberculosis 0.141 0.061 The International and comparative law quarterly 0.230 0.034 The International history review 0.108 0.034 The International journal of African historical studies 0.053 0.035 The International journal of developmental biology 0.456 0.464 The international journal of medical robotics + computer assisted surgery : MRCAS 0.344 0.137 The International journal of orofacial myology : official publication of the International Association of Orofacial Myology 0.000 0.034 The international journal of psychiatric nursing research 0.224 0.055 The International journal of psycho-analysis 0.444 0.041 The Iowa orthopaedic journal 0.288 0.075 The Japanese journal of clinical hematology 0.037 0.045 The Japanese journal of veterinary research 0.161 0.069 The Johns Hopkins medical letter health after 50 0.033 The Journal of adolescent health : official publication of the Society for Adolescent Medicine 1.176 0.198 The Journal of arthroplasty 0.878 0.134 The Journal of cardiovascular nursing 0.407 0.096 The Journal of clinical ethics 0.097 0.059 The Journal of clinical investigation 2.394 3.047 The Journal of contemporary health law and policy 0.042 0.036 The Journal of continuing education in the health professions 0.751 0.104 The journal of ethics. 0.224 0.035 The journal of family health care 0.028 0.041 The Journal of family practice 0.142 0.065 The journal of gene medicine 0.575 0.490 The Journal of hand surgery, European volume 0.325 0.043 The Journal of health administration education 0.067 0.039 The Journal of infection 0.555 0.222 The journal of knee surgery 0.300 0.074 The Journal of neuroscience nursing : journal of the American Association of Neuroscience Nurses 0.229 0.062 The Journal of nursing administration 0.690 0.090 The journal of nursing research : JNR 0.255 0.059 The journal of nutrition, health & aging. 0.411 0.132 The Journal of oral implantology 0.257 0.062 The journal of pastoral care & counseling : JPCC 0.024 0.036 The Journal of pathology 1.161 0.929 The Journal of practical nursing 0.007 0.035 The Journal of school nursing : the official publication of the National Association of School Nurses 0.214 0.066 The Journal of social, political, and economic studies 0.053 0.037 The Journal of southern history 0.456 0.034 The journal of spinal cord medicine 0.492 0.112 The journal of supportive oncology. 0.242 0.138 The Journal of the American Academy of Orthopaedic Surgeons 0.727 0.109 The journal of the American Academy of Psychoanalysis and Dynamic Psychiatry 0.343 0.043 The Journal of the American College of Dentists 0.084 0.038 The Journal of the American Osteopathic Association 0.178 0.065 The Journal of the Arkansas Medical Society 0.018 0.035 The Journal of the Association of Nurses in AIDS Care : JANAC 0.222 0.051 The Journal of the Association of Physicians of India 0.136 0.054 The Journal of the Egyptian Public Health Association 0.069 0.041 The Journal of the Kentucky Medical Association 0.074 0.047 The Journal of the Louisiana State Medical Society : official organ of the Louisiana State Medical Society 0.046 0.039 The Journal of the Michigan Dental Association 0.000 0.033 The Journal of the New York State Nurses' Association 0.077 0.045 The Journal of the Oklahoma State Medical Association 0.043 0.043 The journal of the Royal College of Physicians of Edinburgh 0.009 0.037 The Journal of the Tennessee Dental Association 0.055 0.040 The Journal of the Western Society of Periodontology/Periodontal abstracts 0.000 0.034 The Journal of trauma 0.605 0.159 The Kansas nurse 0.020 0.035 The Kaohsiung journal of medical sciences 0.174 0.052 The Kobe journal of medical sciences 0.273 0.104 The Korean journal of gastroenterology = Taehan Sohwagi Hakhoe chi 0.085 0.054 The Korean journal of hepatology 0.065 0.050 The Korean journal of internal medicine 0.161 0.085 The Korean journal of parasitology 0.232 0.076 The Malaysian journal of pathology 0.073 0.047 The Mariner's mirror 0.259 0.034 The Mathematical Intelligencer 0.071 0.035 The Medical journal of Australia 0.735 0.176 The Medico-legal journal 0.000 0.034 The Mendel newsletter; archival resources for the history of genetics & allied sciences 0.035 The Michigan nurse 0.034 The Mount Sinai journal of medicine, New York 0.413 0.158 The New York review of books 0.074 0.103 The New York state dental journal 0.100 0.042 The New York times 0.032 0.037 The New Zealand dental journal 0.133 0.039 The New Zealand journal of history 0.000 #N/A The New Zealand medical journal 0.221 0.063 The Nigerian postgraduate medical journal 0.127 0.044 The Nurse practitioner 0.065 0.037 The Nursing clinics of North America 0.234 0.061 The Nursing journal of India 0.000 0.034 The Oklahoma nurse 0.026 0.040 The Oregon nurse 0.034 The Penn dental journal 0.034 The Pennsylvania nurse 0.013 0.034 The Pharos of Alpha Omega Alpha-Honor Medical Society. Alpha Omega Alpha 0.008 0.034 The Physiologist 0.000 0.034 The practising midwife 0.003 0.034 The Practitioner 0.000 0.033 The Prairie rose 0.019 0.035 The Queensland nurse 0.034 The Scottish historical review 0.034 The Seventeenth century 0.034 The Sixteenth century journal 0.000 0.034 The South African journal of communication disorders. Die Suid- Afrikaanse tydskrif vir Kommunikasieafwykings 0.000 0.034 The South Atlantic quarterly 0.141 0.034 The Thoracic and cardiovascular surgeon 0.274 0.108 The Veterinary clinics of North America. Equine practice 0.335 0.049 The veterinary clinics of North America. Exotic animal practice 0.266 0.057 The Veterinary clinics of North America. Food animal practice 0.429 0.063 The Veterinary record 0.431 0.079 The West Indian medical journal 0.100 0.045 The West Virginia medical journal 0.056 0.045 The Western historical quarterly. 0.335 0.034 The William and Mary quarterly 0.105 #N/A The world journal of biological psychiatry : the official journal of the World Federation of Societies of Biological Psychiatry 0.604 0.225 The Yale University library gazette. Yale University. Library 0.034 Theater 0.034 Theaterheute 0.034 Theatre Journal 0.190 0.035 Theatre Notebook 0.034 Theatre Research International 0.034 Theological studies 0.000 0.034 Theologische Literaturzeitung 0.034 Theologische Rundschau 0.034 Theology Today 0.055 0.034 Theoretical and Applied Climatology 0.847 0.085 Theoretical and Applied Fracture Mechanics 0.910 0.071 Theoretical and Applied Genetics 1.289 0.341 Theoretical and Computational Chemistry 0.041 0.037 Theoretical and Computational Fluid Dynamics 1.463 0.114 Theoretical and Experimental Chemistry 0.076 0.042 Theoretical and Mathematical Physics 0.285 0.046 Theoretical Biology and Medical Modelling 0.387 0.206 Theoretical Chemistry Accounts 0.722 0.171 Theoretical Computer Science 0.812 0.070 Theoretical Criminology 0.950 0.049 Theoretical Ecology 0.575 0.172 Theoretical Economics 1.294 0.108 Theoretical Foundations of Chemical Engineering 0.053 0.036 Theoretical Informatics and Applications 0.433 0.048 Theoretical Inquiries in Law 0.048 0.034 Theoretical Linguistics 0.454 0.049 Theoretical Medicine and Bioethics 0.442 0.092 Theoretical Population Biology 0.634 0.235 Theoria-Revista De Teoria Historia Y Fundamentos De La Ciencia 0.357 0.037 Theory and Applications of Categories 0.510 0.041 Theory and Decision 0.359 0.051 Theory and Practice of Logic Programming 0.669 0.053 Theory and Psychology 0.134 0.043 Theory and Science 0.000 0.034 Theory and Society 1.014 0.043 Theory in Biosciences 0.266 0.119 Theory in biosciences = Theorie in den Biowissenschaften 0.036 Theory into Practice 0.347 0.037 Theory of Computing Systems 0.453 0.054 Theory of Probability and its Applications 0.383 0.041 Theory, Culture and Society 0.265 0.037 Therap Apher Dial 0.361 0.109 Therapeutic Advances in Cardiovascular Disease 0.186 0.135 Therapeutic Advances in Respiratory Disease 0.386 0.192 Therapeutic Communities: the International Journal for Therapeutic and Supportive Organizations 0.102 0.036 Therapeutic Drug Monitoring 0.581 0.227 Therapeutic Research 0.005 0.034 Therapeutics and Clinical Risk Management 0.155 0.097 Therapeutische Umschau 0.088 0.041 Therapie 0.075 0.051 Therapie Familiale 0.337 0.035 Therapy 0.072 0.052 Theriaca 0.035 Theriogenology 1.046 0.135 Thermal Science 0.194 0.046 Thermochimica Acta 0.949 0.113 Thermology International 0.060 0.043 Thermophysics and Aeromechanics 0.270 0.041 TheScientificWorldJournal [electronic resource] 0.282 0.136 Thesis Eleven 0.058 0.034 Thin Solid Films 0.919 0.146 Thinking and Reasoning 0.610 0.155 Thinking Skills and Creativity 0.302 0.041 Thin-Walled Structures 0.959 0.054 Third Text 0.034 0.033 Third World Quarterly 0.532 0.040 Thomas Wolfe Review 0.034 Thomist 0.000 0.034 Thoracic and Cardiovascular Surgeon, Supplement 0.889 0.165 Thoracic Surgery Clinics 0.391 0.160 Thorax 1.332 0.661 Thrombosis and Haemostasis 0.941 0.520 Thrombosis Journal 0.361 0.152 Thrombosis Research 0.619 0.304 Thunderbird International Business Review 0.154 0.036 Thyroid 0.638 0.290 ThyssenKrupp techforum 0.000 0.034 Tianjin Daxue Xuebao (Ziran Kexue yu Gongcheng Jishu Ban)/Journal of Tianjin University Science and Technology 0.147 0.040 Tianranqi Gongye/Natural Gas Industry 0.026 0.034 TIDEE (Teri Information Digest on Energy and Environment) 0.000 0.034 Tidsskrift for den Norske Laegeforening 0.068 0.047 Tidsskrift for Samfunnsforskning 0.134 0.034 Tiedao Xuebao/Journal of the China Railway Society 0.463 0.044 Tien Tzu Hsueh Pao/Acta Electronica Sinica 0.461 0.047 Tierarztliche Praxis Ausgabe G: Grosstiere - Nutztiere 0.169 0.039 Tierarztliche Praxis Ausgabe K: Kleintiere - Heimtiere 0.099 0.037 Tierarztliche Praxis, Supplement 0.124 0.062 Tierarztliche Umschau 0.036 0.036 Tijdschrift van de Koninklijke Vereniging voor Nederlandse Muziekgeschiedenis 0.034 Tijdschrift voor diergeneeskunde 0.044 0.039 Tijdschrift voor Economische en Sociale Geografie 0.391 0.041 Tijdschrift voor Filosofie 0.034 Tijdschrift voor Geneeskunde 0.027 0.035 Tijdschrift voor gerontologie en geriatrie 0.117 0.046 Tijdschrift Voor Geschiedenis 0.028 0.034 Tijdschrift voor Kindergeneeskunde 0.005 0.034 Tijdschrift voor Milieu Aansprakelijkheid 0.103 0.034 Tijdschrift Voor Nederlandse Taal-en Letterkunde 0.034 Tijdschrift voor Psychiatrie 0.143 0.042 Tijdschrift voor Rechtsgeschiedenis 0.000 0.034 Tijdschrift voor Sociale en Economische Geschiedenis 0.122 0.035 Tijdschrift voor Verpleeghuisgeneeskunde 0.020 0.037 Time 0.079 0.041 Time and Society 0.538 0.044 Timely topics in medicine. Cardiovascular diseases [electronic resource]. 0.076 0.043 Tire Science and Technology 0.323 0.040 Tissue and Cell 0.320 0.103 Tissue Antigens 0.413 0.189 Tissue Engineering - Part A. 0.451 0.191 Tissue Engineering - Part B: Reviews 0.678 0.415 Tissue Engineering - Part C: Methods 0.333 0.164 TLS - The Times Literary Supplement 0.034 TMS Annual Meeting 0.008 0.034 TMS Light Metals 0.346 0.036 Tobacco control 1.220 0.246 Today's FDA : official monthly journal of the Florida Dental Association 0.000 0.034 Tohoku Journal of Experimental Medicine 0.410 0.137 Tohoku Mathematical Journal 0.750 0.050 Tokai Journal of Experimental and Clinical Medicine 0.023 0.045 Tokyo Jikeikai Medical Journal 0.034 Tongji Daxue Xuebao/Journal of Tongji University 0.208 0.038 Tongxin Xuebao/Journal on Communication 0.120 0.040 Top 0.282 0.041 Top HIV Med 0.752 0.399 Topics in Advanced Practice Nursing 0.094 0.035 Topics in Catalysis 0.744 0.194 Topics in Clinical Nutrition 0.066 0.037 Topics in Companion Animal Medicine 0.292 0.047 Topics in Current Chemistry 0.979 0.409 Topics in Current Genetics 0.120 0.178 Topics in Early Childhood Special Education 0.534 0.053 Topics in Geriatric Rehabilitation 0.438 0.050 Topics in Language Disorders 0.210 0.042 Topics in Magnetic Resonance Imaging 0.539 0.175 Topics in Organometallic Chemistry 0.586 0.289 Topics in Spinal Cord Injury Rehabilitation 0.157 0.046 Topics in Stroke Rehabilitation 0.279 0.090 Topique 0.000 0.034 Topoi 0.208 0.051 Topology 0.952 0.043 Topology and its Applications 0.775 0.044 Toraibarojisuto/Journal of Japanese Society of Tribologists 0.014 0.034 Tort Trial Insur Pract Law J 0.000 0.034 Torture : quarterly journal on rehabilitation of torture victims and prevention of torture 0.071 0.039 Total Quality Management and Business Excellence 0.333 0.040 Total Telecom 0.034 T'oung Pao 0.034 Tourism 0.154 0.034 Tourism Economics 0.366 0.038 Tourism Geographies 0.254 0.039 Tourism in Marine Environments 0.215 0.038 Tourism Management 1.193 0.053 Tourism, Culture and Communication 0.060 0.035 Tourist Studies 0.233 0.037 Town Planning and Architecture 0.065 0.034 Town Planning Review 0.242 0.036 Toxicologic Pathology 0.484 0.205 Toxicological and Environmental Chemistry 0.182 0.047 Toxicological Sciences 1.126 0.404 Toxicology 0.871 0.244 Toxicology and Applied Pharmacology 0.793 0.286 Toxicology and Industrial Health 0.221 0.067 Toxicology in Vitro 0.619 0.165 Toxicology International 0.037 0.037 Toxicology Letters 0.886 0.260 Toxicology Mechanisms and Methods 0.131 0.069 Toxicon 0.773 0.174 Toxin Reviews 0.293 0.064 TQM Journal 0.522 0.048 TR News 0.037 0.034 Trabajos de Prehistoria 0.152 0.035 TrAC - Trends in Analytical Chemistry 1.264 0.460 Trace Elements and Electrocytes 0.060 0.042 Traditio 0.356 0.034 Tradition (Rabbinical Council of America). 0.000 0.034 Traffic 1.018 1.858 Traffic Engineering and Control 0.017 0.034 Traffic Injury Prevention 0.514 0.079 Training and Education in Psychology 0.484 0.081 Transactions - Geothermal Resources Council 0.101 0.036 Transactions - Institution of Mining and Metallurgy, Section B 0.072 0.180 Transactions - Japanese Geomorphological Union 0.089 0.036 Transactions - Society of Naval Architects and Marine Engineers 0.056 0.035 Transactions Hong Kong Institution of Engineers 0.073 0.035 Transactions in GIS 0.464 0.045 Transactions of Famena 0.395 0.038 Transactions of Nanjing University of Aeronautics and Astronautics 0.171 0.037 Transactions of Nonferrous Metals Society of China (English Edition) 0.414 0.060 Transactions of the American Clinical and Climatological Association 0.145 0.194 Transactions of the American Entomological Society 0.217 0.037 Transactions of the American Fisheries Society 0.422 0.058 Transactions of the American Ophthalmological Society 0.341 0.110 Transactions of the American Philological Association 0.071 0.034 Transactions of the American Philosophical Society 0.000 0.034 Transactions of the American Society of Agricultural Engineers 0.479 0.056 Transactions of the Ancient Monuments Society 0.034 Transactions of the Atomic Energy Society of Japan 0.113 0.039 Transactions of the Canadian Society for Mechanical Engineering 0.114 0.035 Transactions of the Charles S Peirce Society 0.141 0.034 Transactions of the Indian Institute of Metals 0.060 0.039 Transactions of the Institute of British Geographers 1.078 0.059 Transactions of the Institute of Indian Geographers 0.034 Transactions of the Institute of Measurement and Control 0.278 0.046 Transactions of the Institute of Metal Finishing 0.232 0.073 Transactions of the Institutions of Mining and Metallurgy, Section C: Mineral Processing and Extractive Metallurgy 0.216 0.043 Transactions of the Japanese Society for Artificial Intelligence 0.035 0.035 Transactions of the Korean Institute of Electrical Engineers 0.023 0.034 Transactions of the Korean Society of Mechanical Engineers, A 0.174 0.037 Transactions of the Korean Society of Mechanical Engineers, B 0.102 0.035 Transactions of the North American Manufacturing Research Institute of SME 0.221 0.043 Transactions of the Philological Society 0.093 0.041 Transactions of the Royal Historical Society 0.431 0.034 Transactions of the Royal Institution of Naval Architects Part A: International Journal of Maritime Engineering 0.145 0.035 Transactions of the Royal Institution of Naval Architects Part B: International Journal of Small Craft Technology 0.034 Transactions of the Royal Society of South Australia 0.127 0.040 Transactions of the Royal Society of Tropical Medicine and Hygiene 0.561 0.212 Transactions of Tianjin University 0.121 0.038 Transactions on Applied Perception 0.188 0.084 Transactions on Architecture and Code Optimization 0.226 0.038 Transactions on Embedded Computing Systems 0.547 0.057 Transboundary and Emerging Diseases 0.498 0.079 Transcultural Psychiatry 0.409 0.062 Transformation Groups 0.555 0.042 Transformations in Business and Economics 0.299 0.038 Transfusion 0.849 0.274 Transfusion Alternatives in Transfusion Medicine 0.169 0.036 Transfusion and Apheresis Science 0.307 0.097 Transfusion Clinique et Biologique 0.314 0.220 Transfusion medicine (Oxford, England) 0.570 0.198 Transfusion Medicine and Hemotherapy 0.094 0.053 Transfusion Medicine Reviews 0.881 0.279 Transfuze a Hematologie Dnes 0.053 0.035 Transgenic Research 0.588 0.255 Transition Metal Chemistry 0.463 0.070 Transition Studies Review 0.200 0.036 Translational Research 0.561 0.252 Transnational Corporations 0.117 0.036 Transplant Immunology 0.562 0.322 Transplant Infectious Disease 0.425 0.196 Transplant International 0.755 0.309 Transplant Nurses Journal 0.034 Transplantation 0.884 0.431 Transplantation Proceedings 0.282 0.116 Transplantation Reviews 0.324 0.165 Transplantationsmedizin: Organ der Deutschen Transplantationsgesellschaft 0.012 0.034 Transport 0.106 0.036 Transport and Telecommunication 0.034 Transport in Porous Media 0.840 0.066 Transport Policy 0.308 0.054 Transport Reviews 0.604 0.046 Transport Theory and Statistical Physics 0.135 0.039 Transportation 0.693 0.059 Transportation Journal 0.597 0.040 Transportation Planning and Technology 0.284 0.044 Transportation Quarterly 0.000 0.034 Transportation Research Part A: Policy and Practice 0.796 0.062 Transportation Research Part B: Methodological 1.342 0.077 Transportation Research Part C: Emerging Technologies 1.134 0.067 Transportation Research Part D: Transport and Environment 0.539 0.065 Transportation Research Part E: Logistics and Transportation Review 0.981 0.055 Transportation Research Part F: Traffic Psychology and Behaviour 1.084 0.072 Transportation Research Record 0.110 0.037 Transportation Science 2.125 0.067 Transportmetrica 0.700 0.072 Trastornos Adictivos 0.155 0.041 Trauma 0.070 0.041 Trauma und Berufskrankheit 0.076 0.037 Trauma, violence & abuse 0.731 0.141 Traumatology 0.155 0.034 Travail et Emploi 0.245 #N/A Travail Humain 0.514 0.037 Travail, Genre et Societe 0.231 0.034 Travailler 0.094 0.036 Travaux de Litterature 0.034 Travel Medicine and Infectious Disease 0.301 0.108 Treatment guidelines from the Medical Letter 0.169 0.074 TreatmentUpdate 0.034 Tree Genetics and Genomes 0.410 0.134 Tree Physiology 0.711 0.125 Tree-Ring Research 0.120 0.048 Trees - Structure and Function 0.575 0.089 Trends in Amplification 0.546 0.076 Trends in Biochemical Sciences 2.582 4.201 Trends in Biomaterials and Artificial Organs 0.195 0.049 Trends in Biotechnology 1.766 0.860 Trends in Cardiovascular Medicine 0.684 0.603 Trends in Cell Biology 2.520 4.624 Trends in Cognitive Sciences 3.671 1.963 Trends in Ecology and Evolution 3.495 1.566 Trends in Endocrinology and Metabolism 1.553 0.970 Trends in Food Science and Technology 1.749 0.213 Trends in Genetics 2.010 3.475 Trends in Glycoscience and Glycotechnology 0.046 0.039 Trends in Immunology 1.528 1.771 Trends in Medicine 0.015 0.034 Trends in Microbiology 1.695 1.301 Trends in Molecular Medicine 1.908 2.236 Trends in Neurosciences 2.621 2.378 Trends in Parasitology 1.296 0.539 Trends in Pharmacological Sciences 1.888 1.558 Trends in Plant Science 2.206 2.110 Trials 0.390 0.199 Tribologia 0.261 0.040 Tribologie und Schmierungstechnik 0.097 0.035 Tribology - Materials, Surfaces and Interfaces 0.056 0.044 Tribology and Interface Engineering Series 0.026 0.034 Tribology in Industry 0.034 Tribology International 1.186 0.103 Tribology Letters 0.790 0.113 Tribology Transactions 0.446 0.055 TriboTest 0.326 0.048 Tribunes de la Sante 0.034 Trimestre Economico 0.070 0.034 Triquarterly 0.137 0.034 Trivium 0.034 Tropical Animal Health and Production 0.501 0.051 Tropical doctor 0.192 0.064 Tropical Ecology 0.340 0.042 Tropical gastroenterology : official journal of the Digestive Diseases Foundation 0.137 0.062 Tropical Grasslands 0.284 0.041 Tropical Medicine and International Health 0.798 0.252 Tropical Plant Pathology 0.039 0.037 Tropical Science 0.103 0.035 Tropical Zoology 0.280 0.048 Trustee : the journal for hospital governing boards 0.007 0.034 Tsinghua Science and Technology 0.111 0.041 Tsitologiia 0.128 0.040 Tsitologiya i Genetika 0.030 0.036 TTR: Traduction, Terminologie et Redaction 0.034 Tuberculosis 0.502 0.319 Tuberculosis and Respiratory Diseases 0.051 0.036 Tuberkuloz ve toraks 0.201 0.050 Tuijin Jishu/Journal of Propulsion Technology 0.538 0.042 Tulsa Studies in Womens Literature 0.000 0.034 Tumor Biology 0.373 0.271 Tumor Diagnostik und Therapie 0.002 0.034 Tumor Research 0.034 Tumori 0.203 0.087 Tumu Gongcheng Xuebao/China Civil Engineering Journal 0.484 0.050 Tunnelling and Trenchless Construction 0.034 Tunnelling and Underground Space Technology 1.643 0.058 Turk Beyin Damar Hastaliklari Dergisi 0.003 0.034 Turk Geriatri Dergisi 0.004 0.034 Turk Kardiyoloji Dernegi Arsivi 0.058 0.037 Turk Pediatri Arsivi 0.034 Turk Psikoloji Dergisi 0.106 0.041 Turk Uroloji Dergisi 0.033 0.035 Turkderm Deri Hastaliklari ve Frengi Arsivi 0.011 0.034 Turkish Journal of Agriculture and Forestry 0.206 0.040 Turkish Journal of Biology 0.516 0.053 Turkish Journal of Botany 0.200 0.047 Turkish Journal of Cancer 0.008 0.034 Turkish Journal of Chemistry 0.320 0.066 Turkish Journal of Earth Sciences 0.468 0.060 Turkish Journal of Electrical Engineering and Computer Sciences 0.261 0.037 Turkish Journal of Engineering and Environmental Sciences 0.284 0.044 Turkish Journal of Gastroenterology 0.262 0.067 Turkish Journal of Haematology 0.161 0.072 Turkish Journal of Mathematics 0.093 0.036 Turkish Journal of Medical Sciences 0.082 0.042 Turkish Journal of Pediatrics 0.187 0.054 Turkish Journal of Pharmaceutical Sciences 0.005 0.037 Turkish Journal of Physics 0.114 0.039 Turkish Journal of Surgery 0.034 Turkish Journal of Veterinary and Animal Sciences 0.241 0.040 Turkish Journal of Zoology 0.197 0.038 Turkish Neurosurgery 0.064 0.041 Turkish Online Journal of Distance Education 0.090 0.035 Turkiye Klinikleri Journal of Medical Sciences 0.013 0.034 Trkiye parazitolojii dergisi / Trkiye Parazitoloji Dernei = Acta parasitologica Turcica / Turkish Society for Parasitology 0.158 0.042 Tutkimusraportti - Geologian Tutkimuskeskus 0.034 Twentieth Century Literature 0.000 0.034 Twenty-First Century Society 0.308 0.043 Twin Research and Human Genetics 0.566 0.345 Tzu Chi Medical Journal 0.019 0.034 U.S. news & world report 0.018 0.034 UCLA Law Review 0.278 0.048 Udar Mozgu - Problemy Interdyscyplinarne 0.263 0.034 Ugeskrift for laeger 0.033 0.040 UHOD - Uluslararasi Hematoloji-Onkoloji Dergisi 0.086 0.039 Ui sahak 0.042 0.035 Uirusu. Journal of virology 0.008 0.051 Ukrainian Journal of Physical Optics 0.506 0.038 Ukrainian Journal of Physics 0.080 0.035 Ukrainian Mathematical Journal 0.092 0.035 Ukrainskii Biokhimicheskii Zhurnal 0.031 0.036 Ulster Medical Journal 0.267 0.098 Ultimate Reality and Meaning 0.034 Ultramicroscopy 0.757 0.255 Ultraschall in der Medizin 0.279 0.087 Ultraschall in der Medizin, Supplement 0.610 0.135 Ultrasonic Imaging 0.570 0.100 Ultrasonics 0.718 0.087 Ultrasonics Sonochemistry 1.398 0.165 Ultrasound 0.164 0.049 Ultrasound Clinics 0.074 0.044 Ultrasound in Medicine and Biology 0.847 0.194 Ultrasound in obstetrics & gynecology : the official journal of the International Society of Ultrasound in Obstetrics and Gynecology 1.170 0.240 Ultrasound Quarterly 0.441 0.152 Ultrastructural Pathology 0.322 0.106 Ulusal travma dergisi = Turkish journal of trauma & emergency surgery : TJTES 0.143 0.048 Uluslararasi Iliskiler 0.127 0.035 Umeni 0.034 Umweltmedizin in Forschung und Praxis 0.065 0.038 Umweltwissenschaften und Schadstoff-Forschung 0.100 0.046 Unasylva 0.124 0.035 Undersea & hyperbaric medicine : journal of the Undersea and Hyperbaric Medical Society, Inc 0.379 0.135 Underwater Technology 0.220 0.037 Unfallchirurg 0.333 0.062 Universal Access in the Information Society 0.274 0.037 Universia Business Review 0.064 0.034 Universitas Psychologica 0.116 0.037 University of Baltimore Journal of Environmental Law 0.034 University of Chicago Law Review 0.350 0.042 University of Cincinnati Law Review 0.017 0.034 University of Illinois Law Review 0.116 0.035 University of Pennsylvania Journal of International Economic Law 0.202 0.035 University of Pennsylvania Law Review 0.500 0.038 University of Pittsburgh Law Review 0.029 0.034 University of Toronto Quarterly 0.000 0.034 Universum 0.034 UPB Scientific Bulletin, Series A: Applied Mathematics and Physics 0.034 UPB Scientific Bulletin, Series B: Chemistry and Materials Science 0.094 0.036 UPB Scientific Bulletin, Series C: Electrical Engineering 0.276 0.035 UPB Scientific Bulletin, Series D: Mechanical Engineering 0.034 Update in Anaesthesia 0.032 0.034 Update on Cancer Therapeutics 0.084 0.076 Upsala Journal of Medical Sciences 0.257 0.084 Urban Affairs Review 0.882 0.045 Urban Anthropology 0.083 0.034 Urban Design International 0.083 0.035 Urban Ecosystems 0.380 0.059 Urban Education 0.944 0.047 Urban Forestry and Urban Greening 0.649 0.056 Urban Geography 0.373 0.045 Urban History 0.241 0.034 Urban History Review/Revue d'Histoire Urbain 0.130 0.034 Urban Lawyer 0.130 0.034 Urban Morphology 0.447 0.036 Urban Review 0.314 0.037 Urban Studies 0.955 #N/A Urban Water Journal 0.259 0.047 URISA Journal 0.170 0.039 Urogynaecologia International Journal 0.000 0.033 Urologe - Ausgabe A 0.117 0.061 Urologia Internationalis 0.356 0.128 Urologic Clinics of North America 0.753 0.270 Urologic nursing : official journal of the American Urological Association Allied 0.139 0.062 Urologic Oncology 0.581 0.351 Urological Research 0.732 0.205 Urologiia (Moscow, Russia : 1999) 0.023 0.038 Urology 0.694 0.308 Urology journal 0.147 0.092 Ursus 0.457 0.060 USDA Forest Service - General Technical Report PNW 0.000 0.033 USDA Forest Service - General Technical Report RMRS-GTR 0.034 USDA Forest Service - Research Note PNW-RN 0.034 USDA Forest Service - Research Notes RMRS 0.034 USDA Forest Service - Research Papers PNW-RP 0.034 USDA Forest Service - Research Papers RMRS 0.034 USDA Forest Service - Resource Bulletin PNW-RB 0.034 User Modelling and User-Adapted Interaction 1.023 0.051 Uspekhi fiziologicheskikh nauk 0.099 0.039 Utilitas Mathematica 0.322 0.047 Utilities Policy 0.594 0.046 Vaccine 0.741 0.357 Vacunas 0.047 0.041 Vacuum 0.609 0.088 Vadose Zone Journal 0.752 0.081 Vakuum in Forschung und Praxis 0.080 0.039 Value in Health 0.834 0.228 Vanderbilt Law Review 0.235 0.041 Vasa - Journal of Vascular Diseases 0.263 0.075 Vascular 0.240 0.086 Vascular and Endovascular Surgery 0.481 0.129 Vascular Disease Management 0.038 0.041 Vascular Disease Prevention 0.041 0.059 Vascular health and risk management 0.296 0.143 Vascular Medicine 0.389 0.172 Vascular Pharmacology 0.584 0.254 Vasomed 0.028 0.035 VDE Fachberichte 0.034 VDI Berichte 0.009 0.034 VDI-Z Integrierte Produktion 0.033 Vector Borne Zoonotic Dis 0.615 0.181 Vegetation History and Archaeobotany 0.577 0.118 Vehicle System Dynamics 0.547 0.050 Veliger 0.277 0.051 Venture Capital 0.511 0.040 Verbum 0.034 Verdauungskrankheiten 0.007 0.038 Verhaltenstherapie 0.331 0.049 Verhandelingen - Koninklijke Academie voor Geneeskunde van Belgi 0.157 0.099 Verhandlungen der Deutschen Gesellschaft fr Pathologie 0.075 0.052 Verffentlichungen der Schweizerischen Gesellschaft fr Geschichte der Pharmazie / herausgegeben vom Vorstand der Schweizerischen Gesellschaft fr Geschichte der Pharmazie (SGGP) 0.034 Versicherungsmedizin / herausgegeben von Verband der Lebensversicherungs-Unternehmen e.V. und Verband der Privaten Krankenversicherung e.V 0.036 0.037 Verslagen en Mededelingen van de Koninklijke Academie voor Nederlandse Taal- en letterkunde 0.034 Vertex (Buenos Aires, Argentina) 0.020 0.036 Vertiflite 0.000 0.034 Vesalius : acta internationales historiae medicinae 0.034 Vestnik - Sankt-Peterburgskogo Universiteta, Seriya Geologiya i Geografiya 0.000 0.034 Vestnik khirurgii imeni I. I. Grekova 0.005 0.034 Vestnik Moskovskogo Universiteta, Seriya 5: Geografiya 0.005 0.034 Vestnik oftalmologii 0.011 0.038 Vestnik otorinolaringologii 0.004 0.034 Vestnik Rentgenologii i Radiologii 0.010 0.034 Vestnik Rossiiskoi akademii meditsinskikh nauk / Rossiiskaia akademiia meditsinskikh nauk 0.012 0.036 Veterinarija ir Zootechnika 0.034 Veterinarni Medicina 0.353 0.057 Veterinarski Arhiv 0.269 0.042 Veterinary anaesthesia and analgesia 0.977 0.068 Veterinary and Comparative Oncology 0.135 0.043 Veterinary and Comparative Orthopaedics and Traumatology 0.438 0.061 Veterinary Clinical Pathology 0.367 0.069 Veterinary Clinics of North America - Small Animal Practice 0.321 0.051 Veterinary Dermatology 0.623 0.079 Veterinary Economics 0.034 Veterinary heritage : bulletin of the American Veterinary History Society 0.034 Veterinary Immunology and Immunopathology 0.622 0.157 Veterinary journal (London, England : 1997) 0.734 0.124 Veterinary Medicine 0.136 0.037 Veterinary Microbiology 1.013 0.231 Veterinary Ophthalmology 0.333 0.063 Veterinary Parasitology 0.817 0.134 Veterinary Pathology 0.517 0.133 Veterinary Quarterly 0.798 0.103 Veterinary Radiology and Ultrasound 0.659 0.068 Veterinary Research 1.114 0.250 Veterinary Research Communications 0.244 0.062 Veterinary Surgery 0.956 0.086 Veterinary Technician 0.000 0.034 Veterinary Therapeutics 0.286 0.070 Vetus Testamentum 0.188 0.034 Viator - Medieval and Renaissance Studies 0.125 0.034 Vibrational Spectroscopy 0.705 0.138 Victims and Offenders 0.178 0.039 Victorian Literature and Culture 0.000 0.034 Victorian Poetry 0.034 Victorian studies 0.296 0.038 Vie et Milieu 0.194 0.052 Vienna Yearbook of Population Research 0.103 0.034 Vierteljahresschrift fur Sozial und Wirtschaftsgeschichte 0.000 0.034 Vierteljahrshefte fur Zeitgeschichte 0.000 0.034 Vigiliae Christianae 0.034 VINE 0.116 0.035 Violence Against Woman 0.652 0.072 Violence and Victims 0.574 0.066 Viral Immunology 0.345 0.275 Virchows Archiv 0.616 0.280 Virginia Law Review 0.248 0.035 Virginia Quarterly Review 0.006 0.034 Virologica Sinica 0.061 0.043 Virologie 0.007 0.034 Virology 0.725 0.537 Virology Journal 0.396 0.328 Virtual and Physical Prototyping 0.629 0.054 Virtual Reality 0.587 #N/A Virus Genes 0.450 0.209 Virus Research 0.724 0.416 Vision Research 0.893 0.214 Visual Cognition 0.678 0.122 Visual Communication 0.068 #N/A Visual Computer 0.683 0.058 Visual Geosciences 0.073 0.044 Visual Impairment Research 0.091 0.040 Visual Neuroscience 0.338 0.169 Viszeralchirurgie 0.033 0.035 Vital & health statistics. Series 3, Analytical and epidemiological studies / [U.S. Dept. of Health and Human Services, Public Health Service, National Center for Health Statistics] 0.889 0.162 Vital and health statistics. Ser. 1, Programs and collection procedures 0.453 0.308 Vital and health statistics. Series 10, Data from the National Health Survey 2.817 0.417 Vital and health statistics. Series 11, Data from the national health survey 10.628 1.526 Vital and health statistics. Series 13, Data from the National Health Survey 5.318 1.504 Vital and health statistics. Series 2, Data evaluation and methods research 0.679 0.147 Vital and health statistics. Series 23, Data from the National Survey of Family Growth 0.368 0.107 Vitamins and hormones 0.513 0.303 Vitis 0.275 0.065 Vivarium 0.254 0.034 Vlaams Diergeneeskundig Tijdschrift 0.094 0.041 Vlakna a Textil 0.227 0.036 VLDB Journal 1.468 0.068 VLSI Design 0.132 0.042 Vnitrni Lekarstvi 0.082 0.042 Vodohospodarsky Casopis/Journal of Hydrology and Hydromechanics 0.657 0.050 Voenno-meditsinskii zhurnal 0.003 0.035 Vogelwarte 0.146 0.038 Voix et Images 0.074 0.034 Vojnosanitetski pregled. Military-medical and pharmaceutical review 0.074 0.041 Volta Review 0.186 0.041 Voluntas 0.839 0.038 Voprosy kurortologii, fizioterapii, i lechebnoi fizicheskoi kultury 0.017 0.034 Voprosy onkologii 0.007 0.036 Voprosy Pitaniia 0.012 0.035 Voprosy Psikhologii 0.021 0.034 Voprosy Virusologii 0.054 0.044 Vox Sanguinis 0.682 0.210 VTT Publications 0.000 0.034 VTT Symposium (Valtion Teknillinen Tutkimuskeskus) 0.033 VTT Tiedotteita - Valtion Teknillinen Tutkimuskeskus 0.034 Vulnerable Children and Youth Studies 0.081 0.038 Waffen-und Kostumkunde 0.034 Wallace Stevens Journal 0.034 War in History 0.032 0.034 Washington Law Review 0.024 0.034 Washington post (Washington, D.C. : 1974) 0.034 Washington Quarterly 0.445 0.041 Wasser und Abfall 0.038 0.034 Wasser Wirtschaft 0.036 0.034 Waste Management 1.588 0.103 Waste Management and Research 0.875 0.067 Water 0.077 0.038 Water and Energy International 0.069 0.034 Water and Environment Journal 0.358 0.049 Water Environment Research 0.300 0.067 Water International 0.202 0.040 Water Management 0.299 0.049 Water Policy 0.427 0.059 Water Quality Research Journal of Canada 0.275 0.051 Water Research 1.805 0.221 Water Resources 0.039 0.035 Water Resources Management 1.099 0.066 Water Resources Research 0.958 0.104 Water Resources Research Institute News of the University of North Carolina 0.034 Water SA 0.370 0.055 Water Science and Technology 0.344 0.071 Water Science and Technology: Water Supply 0.081 0.041 Water, Air, and Soil Pollution 0.611 0.074 Water, Air, and Soil Pollution: Focus 0.377 0.054 Waterbirds 0.240 0.047 Waterlines 0.088 0.034 Wave Motion 1.044 0.073 Waves in Random and Complex Media 0.218 0.051 Wear 1.318 0.115 Weather and Forecasting 0.651 0.090 Web Ecology 0.292 0.051 Web Intelligence and Agent Systems 0.502 0.047 Web Semantics 1.062 0.177 Webology 0.227 0.042 Weed Biology and Management 0.514 0.052 Weed Research 0.964 0.092 Weed Science 0.676 0.087 Weed Technology 0.488 0.056 Wei sheng wu xue bao = Acta microbiologica Sinica 0.093 0.052 Weimarer Beitrage 0.102 0.034 Weixi Jiagong Jishu/Microfabrication Technology 0.025 0.035 Welding Design and Fabrication 0.000 0.033 Welding in the World, Le Soudage Dans Le Monde 0.103 0.037 Welding International 0.032 0.034 Welding Journal (Miami, Fla) 0.300 0.047 Well Testing 0.012 0.034 Welsh History Review 0.309 0.034 Welt der Slaven-Halbjahresschrift fur Slavistik 0.034 Welt des Islams 0.032 0.034 West African journal of medicine 0.120 0.046 West European Politics 0.808 0.046 Westerly 0.034 Western American Literature 0.034 Western Criminology Review 0.302 0.037 Western Folklore 0.034 Western Humanities Review 0.145 0.034 Western Journal of Applied Forestry 0.299 0.053 Western Journal of Communication 0.186 0.036 Western Journal of Nursing Research 0.643 0.080 Western North American Naturalist 0.208 0.046 Wetland Science 0.098 0.035 Wetlands 0.622 0.065 Wetlands Ecology and Management 0.634 0.069 WHO Drug Information 0.010 0.035 Wiadomoci lekarskie (Warsaw, Poland : 1960) 0.081 0.042 Wiadomoci parazytologiczne 0.058 0.038 Wiadomosci Psychiatryczne 0.034 Wiener klinische Wochenschrift 0.191 0.073 Wiener Klinische Wochenschrift, Supplement 0.227 0.067 Wiener Medizinische Wochenschrift 0.122 0.065 Wiener medizinische Wochenschrift. Supplement 0.000 0.035 Wiener Studien 0.034 Wiener Tierarztliche Monatsschrift 0.164 0.038 Wilderness and Environmental Medicine 0.362 0.073 Wildfowl 0.257 0.041 Wildland Firefighter 0.034 Wildlife Biology 0.455 0.064 Wildlife Monographs 1.297 0.143 Wildlife Research 0.485 0.066 Wilson Journal of Ornithology 0.169 0.043 Wind and Structures, An International Journal 0.572 0.045 Wind Energy 0.702 0.059 Wind Engineering 0.217 0.045 Winterthur Portfolio 0.000 0.034 Wireless Communications and Mobile Computing 0.542 0.049 Wireless Networks 0.954 0.057 Wireless Personal Communications 0.192 0.043 Wirtschaftsdienst 0.035 0.034 Wirtschaftsinformatik 0.241 0.037 Wisconsin Law Review 0.026 0.034 Wisconsin medical journal 0.112 0.055 WIT Transactions on Ecology and the Environment 0.011 0.034 WIT Transactions on Information and Communication Technologies 0.021 0.034 WIT Transactions on Modelling and Simulation 0.016 0.034 WIT Transactions on the Built Environment 0.010 0.034 Woman's Art Journal 0.034 Women alive (Los Angeles, Calif.) 0.036 Women and Birth 0.171 0.056 Women and Criminal Justice 0.433 0.035 Women and Health 0.333 0.073 Women and Therapy 0.152 0.039 Women's Health 0.064 0.048 Women's health (London, England) 0.036 Women's Health Issues 0.516 0.138 Women's History Review 0.152 0.034 Women's Studies International Forum 0.213 0.036 Wood and Fiber Science 0.385 0.049 Wood Material Science and Engineering 0.190 0.042 Wood Research 0.218 0.039 Wood Science and Technology 0.931 0.091 Wool Textile Journal 0.001 0.034 Word and Image 0.000 0.034 Wordsworth Circle 0.034 Work 0.432 0.055 Work and Occupations 0.714 0.045 Work and Stress 0.828 0.067 Work Based Learning in Primary Care 0.113 0.041 Work, Employment and Society 0.744 0.047 Working Paper - Centre for Social and Economic Research on the Global Environment 0.034 Working Paper - Chr. Michelsen Institute 0.034 Working Paper - Helen Kellogg Institute for International Studies 0.034 World Affairs 0.109 0.034 World Archaeology 0.312 0.059 World Bank Country Study 0.039 World Bank Economic Review 1.663 0.085 World Bank Research Observer 0.867 0.050 World Bank Working Paper 0.000 0.034 World Chinese Journal of Digestology 0.011 0.035 World Development 1.119 0.070 World Economy 0.715 0.042 World Englishes 0.641 0.037 World health & population 0.034 0.041 World Health Organization technical report series 0.000 0.034 World hospitals and health services : the official journal of the International Hospital Federation 0.033 0.040 World Information on Earthquake Engineering 0.078 0.036 World Journal of Emergency Surgery 0.397 0.077 World Journal of Gastroenterology 0.474 0.208 World Journal of Microbiology and Biotechnology 0.378 0.069 World journal of orthodontics 0.141 0.040 World Journal of Pediatrics 0.052 0.041 World Journal of Surgery 0.880 0.245 World Journal of Surgical Oncology 0.352 0.109 World Journal of Urology 0.732 0.321 World Literature Today 0.000 0.034 World of Metallurgy - ERZMETALL 0.196 0.039 World of Mining - Surface and Underground 0.077 0.036 World of Music 0.000 0.034 World Patent Information 0.412 0.041 World Policy Journal 0.053 0.034 World Politics 1.394 0.047 World Psychiatry 0.387 0.237 World Rabbit Science 0.408 0.045 World Review of Entrepreneurship, Management and Sustainable Development 0.218 0.035 World Review of Intermodal Transportation Research 0.034 World review of nutrition and dietetics 0.115 0.057 World Review of Science, Technology and Sustainable Development 0.066 0.035 World Tunneling 0.034 World Wide Web 0.346 0.051 World's Poultry Science Journal 0.550 0.073 Worldviews on evidence-based nursing / Sigma Theta Tau International, Honor Society of Nursing 0.488 0.061 Worldviews: Environment, Culture, Religion 0.093 0.036 Worldwatch Paper 0.035 WormBook : the online review of C. elegans biology 0.221 0.513 Wound Repair and Regeneration 0.785 0.282 Wounds 0.215 0.057 Wounds UK 0.121 0.038 Written Communication 0.753 0.047 WSEAS Transactions and Communications 0.093 0.041 WSEAS Transactions on Circuits and Systems 0.098 0.038 WSEAS Transactions on Computer Research 0.034 WSEAS Transactions on Computers 0.135 0.040 WSEAS Transactions on Electronics 0.145 0.038 WSEAS Transactions on Environment and Development 0.314 0.044 WSEAS Transactions on Information Science and Applications 0.162 0.038 WSEAS Transactions on Mathematics 0.215 0.040 WSEAS Transactions on Signal Processing 0.168 0.040 WSEAS Transactions on Systems 0.205 0.041 WSEAS Transactions on Systems and Control 0.711 0.047 Wspolczesna Onkologia 0.053 0.035 Wuhan Daxue Xuebao (Xinxi Kexue Ban)/Geomatics and Information Science of Wuhan University 0.138 0.036 Wuhan Gongye Daxue Xuebao/Journal of Wuhan University of Technology 0.051 0.035 Wuhan University Journal of Natural Sciences 0.049 0.036 Wuji Cailiao Xuebao/Journal of Inorganic Materials 0.212 0.050 Wulfenia 0.077 0.041 Wuli Xuebao/Acta Physica Sinica 0.669 0.063 Wrzburger medizinhistorische Mitteilungen / im Auftrage der Wrzburger medizinhistorischen Gesellschaft und in Verbindung mit dem Institut fr Geschichte der Medizin der Universitt Wrzburg 0.018 0.035 Wutan Huatan Jisuan Jishu 0.038 0.034 Xenobiotica 0.470 0.215 Xenotransplantation 0.469 0.225 Xi bao yu fen zi mian yi xue za zhi = Chinese journal of cellular and molecular immunology 0.050 0.044 Xi Tong Gong Cheng Yu Dian Zi Ji Shu/Systems Engineering and Electronics 0.258 0.038 Xi'an Dianzi Keji Daxue Xuebao/Journal of Xidian University 0.363 0.049 Xi'an Jianzhu Keji Daxue Xuebao/Journal of Xi'an University of Architecture and Technology 0.451 0.044 Xi'an Shiyou Daxue Xuebao (Ziran Kexue Ban)/Journal of Xi'an Shiyou University, Natural Sciences Edition 0.042 0.037 Xiandai Huagong/Modern Chemical Industry 0.056 0.037 Xibei Gongye Daxue Xuebao/Journal of Northwestern Polytechnical University 0.134 0.046 Xinan Jiaotong Daxue Xuebao/Journal of Southwest Jiaotong University 0.276 0.041 Xinan Shiyou Xueyuan Xuebao/Journal of Southwestern Petroleum Institute 0.058 0.034 Xinxing Tan Cailiao/New Carbon Materials 0.240 0.063 Xitong Fangzhen Xuebao/Acta Simulata Systematica Sinica 0.388 0.041 Xitong Gongcheng Lilun yu Shijian/System Engineering Theory and Practice 0.238 0.039 Xiyou Jinshu / Chinese Journal of Rare Metals 0.034 Xiyou Jinshu Cailiao Yu Gongcheng/Rare Metal Materials and Engineering 0.220 0.045 X-Ray Spectrometry 0.239 0.083 Yadian Yu Shengguang/Piezoelectrics and Acoustooptics 0.057 0.036 Yakhteh 0.021 0.035 Yakugaku Zasshi 0.197 0.065 Yakushigaku zasshi. The Journal of Japanese history of pharmacy 0.000 0.034 Yale J Health Policy Law Ethics 0.096 0.062 Yale Journal of Biology and Medicine 0.189 0.102 Yale Law Journal 0.109 0.034 Yanshilixue Yu Gongcheng Xuebao/Chinese Journal of Rock Mechanics and Engineering 0.817 0.053 Yantu Gongcheng Xuebao/Chinese Journal of Geotechnical Engineering 0.772 0.056 Yantu Lixue/Rock and Soil Mechanics 0.635 0.047 Yaoxue Xuebao 0.163 0.053 YC Young Children 0.168 0.036 Yearbook of medical informatics 0.209 0.128 Yearbook of Physical Anthropology 1.135 0.133 Yeast 0.503 0.500 Yejin Fenxi/Metallurgical Analysis 0.025 0.034 Yeni Symposium 0.033 0.035 Yerbilimleri 0.058 0.036 Yi chuan = Hereditas / Zhongguo yi chuan xue hui bian ji 0.064 0.041 Yi Qi Yi Biao Xue Bao/Chinese Journal of Scientific Instrument 0.196 0.044 Ying Yong Li Xue Xue Bao/Chinese Journal of Applied Mechanics 0.154 0.039 Yingxiang Kexue yu Guanghuaxue/Imaging Science and Photochemistry 0.196 0.042 Yingyong Jichu yu Gongcheng Kexue Xuebao/Journal of Basic Science and Engineering 0.091 0.038 Ymer 0.034 Yokohama Medical Journal 0.034 Yonago Acta Medica 0.087 0.045 Yonsei Medical Journal 0.295 0.094 Yosetsu Gakkai Shi/Journal of the Japan Welding Society 0.000 0.033 Young 0.167 #N/A Youth and Society 0.545 0.049 Youth Justice 0.626 0.038 Youth Studies Australia 0.034 Youth Violence and Juvenile Justice 0.929 0.047 Yuanzineng Kexue Jishu/Atomic Energy Science and Technology 0.099 0.038 Yuhang Xuebao/Journal of Astronautics 0.240 0.044 Yuki Gosei Kagaku Kyokaishi/Journal of Synthetic Organic Chemistry 0.142 0.070 Z Zagadnien Nauk Sadowych 0.008 0.035 Zairyo to Kankyo/ Corrosion Engineering 0.147 0.042 Zairyo/Journal of the Society of Materials Science, Japan 0.201 0.042 ZAMM Zeitschrift fur Angewandte Mathematik und Mechanik 0.689 0.054 Zbornik Instituta za Pedagoska Istrazivanja 0.034 Zbornik Pravnog Fakulteta u Zagrebu 0.034 Zebrafish 0.432 0.187 Zeitgeschichte 0.034 Zeitschrift der Deutschen Morgenlandischen Gesellschaft 0.229 0.034 Zeitschrift der Savigny-Stiftung fur Rechtsgeschichte 0.034 Zeitschrift fur Agyptische Sprache und Altertumskunde 0.034 Zeitschrift fur Allgemeinmedizin 0.044 0.039 Zeitschrift fur Analysis und ihre Anwendung 0.460 0.047 Zeitschrift fur Angewandte Mathematik und Physik 0.834 0.060 Zeitschrift fur Anglistik und Amerikanistik 0.033 0.034 Zeitschrift fur Anorganische und Allgemeine Chemie 0.267 0.077 Zeitschrift fur Antikes Christentum 0.034 Zeitschrift fur Arbeits- und Organisationspsychologie 0.218 0.045 Zeitschrift fur Archaologie des Mittelalters 0.034 Zeitschrift fur Arznei- und Gewurzpflanzen 0.041 0.036 Zeitschrift fur Assyriologie und Vorderasiastische Archaeologie 0.034 Zeitschrift fur Bibliothekswesen und Bibliographie 0.028 0.035 Zeitschrift fur Deutsche Philologie 0.000 0.034 Zeitschrift fur Deutsches Altertum und Deutsche Literatur 0.097 0.034 Zeitschrift fur Dialektologie und Linguistik 0.000 0.034 Zeitschrift fur die Alttestamentliche Wissenschaft 0.171 0.034 Zeitschrift fur die Neutestamentliche Wissenschaft und die Kunde der Alteren Kirche 0.034 Zeitschrift fur Entwicklungspsychologie und Padagogische Psychologie 0.490 0.041 Zeitschrift fur Epileptologie 0.062 0.035 Zeitschrift fur Ethnologie 0.034 Zeitschrift fur Evaluation 0.034 Zeitschrift fur Evangelische Ethik 0.000 0.034 Zeitschrift fur Evidenz, Fortbildung und Qualitat im Gesundheitswesen 0.115 0.043 Zeitschrift fur Feldherpetologie 0.034 Zeitschrift fur Franzosische Sprache und Literatur 0.034 Zeitschrift fur Gastroenterologie 0.306 0.131 Zeitschrift fur Geburtshilfe und Neonatologie 0.198 0.052 Zeitschrift fur Gefassmedizin 0.059 0.036 Zeitschrift fur Geomorphologie 0.195 0.041 Zeitschrift Fur Germanistik 0.034 Zeitschrift fur Germanistische Linguistik 0.070 0.034 Zeitschrift fur Gerontologie und Geriatrie 0.214 0.065 Zeitschrift fur Gerontopsychologie und -psychiatrie 0.000 0.034 Zeitschrift fr Geschichtswissenschaft 0.048 0.034 Zeitschrift fur Gesundheitspsychologie 0.075 0.035 Zeitschrift fur Gesundheitswissenschaften 0.345 0.064 Zeitschrift fur Herz-, Thorax- und Gefasschirurgie 0.025 0.037 Zeitschrift fr historische Forschung 0.034 Zeitschrift fur Kinder- und Jugendpsychiatrie und Psychotherapie 0.239 0.054 Zeitschrift fur Kirchengeschichte 0.274 0.034 Zeitschrift fur Klinische Psychologie und Psychotherapie 0.366 0.046 Zeitschrift fur Kristallographie 0.384 0.159 Zeitschrift fur Kristallographie - New Crystal Structures 0.084 0.045 Zeitschrift fur Kristallographie, Supplement 0.133 0.060 Zeitschrift fr Kunstgeschichte 0.034 Zeitschrift fur Medizinische Physik 0.178 0.089 Zeitschrift fur Naturforschung - Section A Journal of Physical Sciences 0.349 0.060 Zeitschrift fur Naturforschung - Section B Journal of Chemical Sciences 0.262 0.066 Zeitschrift fur Naturforschung Section C - Journal of Biosciences 0.180 0.061 Zeitschrift fur Orthopadie und Unfallchirurgie 0.132 0.043 Zeitschrift fur Padagogik 0.174 0.036 Zeitschrift fur Padagogische Psychologie 0.334 0.038 Zeitschrift fr Papyrologie und Epigraphik 0.000 0.033 Zeitschrift fur Personalforschung 0.034 Zeitschrift fur Personalpsychologie 0.124 0.046 Zeitschrift fur Philosophische Forschung 0.034 Zeitschrift fur Physikalische Chemie 0.386 0.110 Zeitschrift fur Phytotherapie 0.042 0.037 Zeitschrift fur Planung und Unternehmenssteuerung 0.147 0.035 Zeitschrift fur Psychiatrie, Psychologie und Psychotherapie 0.255 0.042 Zeitschrift fur Psychosomatische Medizin und Psychotherapie 0.110 0.039 Zeitschrift fur Religions- und Geistesgeschichte 0.034 Zeitschrift fr Rheumatologie 0.086 0.048 Zeitschrift fur Romanische Philologie 0.048 0.034 Zeitschrift fur Semiotik 0.034 Zeitschrift fur Sexualforschung 0.160 #N/A Zeitschrift fur Slavische Philologie 0.034 Zeitschrift fur Slawistik 0.034 Zeitschrift fur Sozialpsychologie 0.254 0.046 Zeitschrift fur Soziologie 0.468 0.039 Zeitschrift fur Soziologie der Erziehung und Sozialisation 0.228 0.035 Zeitschrift fur Theologie und Kirche 0.034 Zeitschrift fur Volkskunde 0.034 Zeitschrift fur Wirtschaftsgeographie 0.121 0.036 Zeitschrift fur Wundheilung 0.018 0.035 Zentralblatt fur Arbeitsmedizin, Arbeitsschutz und Ergonomie 0.323 0.043 Zentralblatt fur Chirurgie 0.276 0.072 Zentralblatt fur Chirurgie, Supplement 0.269 0.064 Zentralblatt fur Neurochirurgie 0.308 0.083 Zentralsterilisation - Central Service 0.000 0.034 Zeventiende Eeuw 0.034 ZFV - Zeitschrift fur Geodasie, Geoinformation und Landmanagement 0.065 0.035 Zhejiang da xue xue bao. Yi xue ban = Journal of Zhejiang University. Medical sciences 0.036 0.044 Zhejiang Daxue Xuebao (Gongxue Ban)/Journal of Zhejiang University (Engineering Science) 0.275 0.042 Zhen ci yan jiu = Acupuncture research / [Zhongguo yi xue ke xue yuan Yi xue qing bao yan jiu suo bian ji] 0.061 0.041 Zhendong Ceshi Yu Zhenduan/Journal of Vibration, Measurement and Diagnosis 0.119 0.037 Zhendong Gongcheng Xuebao/Journal of Vibration Engineering 0.290 0.042 Zhenkong Kexue yu Jishu Xuebao/Vacuum Science and Technology 0.050 0.036 Zhi wu sheng li yu fen zi sheng wu xue xue bao = Journal of plant physiology and molecular biology 0.216 0.057 Zhong nan da xue xue bao. Yi xue ban = Journal of Central South University. Medical sciences. 0.052 0.040 Zhong xi yi jie he xue bao = Journal of Chinese integrative medicine 0.065 0.047 Zhong yao cai = Zhongyaocai = Journal of Chinese medicinal materials 0.066 0.041 Zhongbei Daxue Xuebao (Ziran Kexue Ban)/Journal of North University of China (Natural Science Edition) 0.075 0.036 Zhonggua Xitu Xuabao / Journal of the Chinese Rare Earth Society 0.034 Zhongguo Dianji Gongcheng Xuebao/Proceedings of the Chinese Society of Electrical Engineering 1.161 0.060 Zhongguo Gonglu Xuebao/China Journal of Highway and Transport 0.628 0.060 Zhongguo gu shang = China journal of orthopaedics and traumatology 0.008 0.034 Zhongguo Huanjing Kexue/China Environmental Science 0.176 0.039 Zhongguo ji sheng chong xue yu ji sheng chong bing za zhi = Chinese journal of parasitology & parasitic diseases 0.042 0.049 Zhongguo Jiguang/Chinese Journal of Lasers 0.967 0.090 Zhongguo Jixie Gongcheng/China Mechanical Engineering 0.170 0.039 Zhongguo Kuangye Daxue Xuebao/Journal of China University of Mining and Technology 0.698 0.047 Zhongguo shi yan xue ye xue za zhi / Zhongguo bing li sheng li xue hui = Journal of experimental hematology / Chinese Association of Pathophysiology 0.042 0.050 Zhongguo Shiyou Daxue Xuebao (Ziran Kexue Ban)/Journal of China University of Petroleum (Edition of Natural Science) 0.373 0.041 Zhongguo Tiedao Kexue/China Railway Science 0.404 0.047 Zhongguo wei zhong bing ji jiu yi xue = Chinese critical care medicine = Zhongguo weizhongbing jijiuyixue 0.015 0.037 Zhongguo xiu fu chong jian wai ke za zhi = Zhongguo xiufu chongjian waike zazhi = Chinese journal of reparative and reconstructive surgery 0.076 0.039 Zhongguo yi xue ke xue yuan xue bao. Acta Academiae Medicinae Sinicae 0.062 0.048 Zhongguo Youse Jinshu Xuebao/Chinese Journal of Nonferrous Metals 0.323 0.046 Zhongguo Zaozhi Xuebao/Transactions of China Pulp and Paper 0.009 0.035 Zhongguo zhen jiu = Chinese acupuncture & moxibustion 0.034 0.038 Zhongguo Zhong xi yi jie he za zhi Zhongguo Zhongxiyi jiehe zazhi = Chinese journal of integrated traditional and Western medicine / Zhongguo Zhong xi yi jie he xue hui, Zhongguo Zhong yi yan jiu yuan zhu ban 0.054 0.038 Zhongguo Zhong yao za zhi = Zhongguo zhongyao zazhi = China journal of Chinese materia medica 0.068 0.040 Zhonghua bing li xue za zhi Chinese journal of pathology 0.019 0.037 Zhonghua fu chan ke za zhi 0.030 0.041 Zhonghua jie he he hu xi za zhi = Zhonghua jiehe he huxi zazhi = Chinese journal of tuberculosis and respiratory diseases 0.013 0.035 Zhonghua nan ke xue = National journal of andrology 0.032 0.039 Zhonghua nei ke za zhi [Chinese journal of internal medicine] 0.041 0.048 Zhonghua shao shang za zhi = Zhonghua shaoshang zazhi = Chinese journal of burns 0.021 0.036 Zhonghua shi yan he lin chuang bing du xue za zhi = Zhonghua shiyan he linchuang bingduxue zazhi = Chinese journal of experimental and clinical virology 0.041 0.042 Zhonghua wai ke za zhi [Chinese journal of surgery] 0.038 0.039 Zhonghua xue ye xue za zhi = Zhonghua xueyexue zazhi 0.065 0.056 Zhonghua yi shi za zhi (Beijing, China : 1980) 0.000 0.034 Zhonghua yi xue yi chuan xue za zhi = Zhonghua yixue yichuanxue zazhi = Chinese journal of medical genetics 0.061 0.047 Zhonghua yi xue za zhi 0.049 0.045 Zhonghua yu fang yi xue za zhi [Chinese journal of preventive medicine] 0.070 0.040 Zhongnan Daxue Xuebao (Ziran Kexue Ban)/Journal of Central South University (Science and Technology) 0.414 0.046 Zhongshan Daxue Xuebao/Acta Scientiarum Natralium Universitatis Sunyatseni 0.047 0.035 Zhurnal evoliutsionnoi biokhimii i fiziologii 0.114 0.037 Zhurnal mikrobiologii, epidemiologii, i immunobiologii 0.016 0.037 Zhurnal Nevropatolgii i Psikhiatrii im. S S Korsakova 0.012 0.034 Zhurnal Obshchei Biologii 0.060 0.038 Zhurnal voprosy neirokhirurgii imeni N. N. Burdenko 0.000 0.034 Zhurnal Vysshei Nervnoi Deyatelnosti Imeni I.P. Pavlova 0.199 0.040 Zhuzao/Foundry 0.098 0.036 Zidonghua Xuebao/Acta Automatica Sinica 0.476 0.048 Zitteliana Reihe B: Abhandlungen der Bayerischen Staatssammlung fur Palaontologie und Geologie 0.034 Zoo Biology 0.393 0.057 Zoologica Scripta 0.921 0.145 Zoological Journal of the Linnean Society 0.736 0.095 Zoological Science 0.305 0.111 Zoological Studies 0.406 0.068 Zoologische Garten 0.041 0.040 Zoologischer Anzeiger 0.692 0.085 Zoology 0.565 0.147 Zoology in the Middle East 0.094 0.036 Zoomorphology 0.624 0.119 Zoonoses and Public Health 0.661 0.116 Zoos' Print Journal 0.052 0.034 Zoosystema 0.546 0.049 Zootaxa 0.325 0.050 Zootecnia Tropical 0.024 0.034 Zuchtungskunde 0.191 0.039 Zuckerindustrie 0.303 0.041 ZWF Zeitschrift fuer Wirtschaftlichen Fabrikbetrieb 0.091 0.035 Zygon 0.110 0.037 Zygote 0.364 0.122
ChatGPT Side Hustles 2024 - Unlock the Digital Goldmine and Get AI Working for You Fast with More Than 85 Side Hustle Ideas to Boost Passive Income, Create New Cash Flow, and Get Ahead of the Curve
ChatGPT Money Machine 2024 - The Ultimate Chatbot Cheat Sheet to Go From Clueless Noob to Prompt Prodigy Fast! Complete AI Beginner’s Course to Catch the GPT Gold Rush Before It Leaves You Behind
ChatGPT Millionaire 2024 - Bot-Driven Side Hustles, Prompt Engineering Shortcut Secrets, and Automated Income Streams that Print Money While You Sleep. The Ultimate Beginner’s Guide for AI Business