You are on page 1of 12

Aula 06 Linguagem VHDL

Lgica Reconfigurvel
Prof Clovis
Prof.

Sumrio

Conceito de HDL
Histrico
Caractersticas
Vantagens e desvantagens
Aplicaes
Estrutura da VHDL

Conceito de HDL

No campo da lgica reconfigurvel, h linguagens que


destinam-se configurao de um determinado circuito
digital sobre um FPD. Estas linguagens so
denominadas Linguagens de Descrio de Hardware
(HDL Hardware Description Language).
Language)
A HDL uma forma de se descrever, atravs de um
programa, o comportamento de um circuito ou
componente
t digital.
di it l
Dentre as linguagens de descrio de hardware, duas
so p
padronizadas p
pelo IEEE e so as mais utilizadas: a
VHDL e a Verilog.
VHDL (Very high speed integrated circuit Hardware
Description Language).
Language) uma Linguagem de Descrio
de Hardware com nfase em Circuitos Integrados de
Altssima Velocidade).

Histrico

1968 primeiras HDLs (incompatveis);


1973 primeiro esforos de padronizao (CONLAN) incio do primeiro
projeto patrocinado pelo DoD (Depart-ment of Defence);
1983 final do CONLAN ((ADA)) e DoD inicia o p
projeto
j
VHSIC com um
consrcio de empresas privadas (IBM, Intermetrics e Texas Instruments);
1986 primeiros compilador e simulador e criao de um grupo de
padronizao do IEEE para VHDL;
1987 foi
f i adotada
d t d como um padro
d pelo
l IEEE (IEEE 1076).
1076)
1988 primeiros softwares so comercializados;
1991 novo processo de padronizao;
1993 um novo padro publicado, chamado VHDL93, padronizado IEEE
Std 1164-1993;
1997 foi publicado o manual de referncia da lingua-gem VHDL;
2002 Lanado
L
d o VHDLVHDL AMS (VHDL para Analog
A l and
d Mixed
Mi d Signals);
Si
l )
2003 publicado o novo padro chamado VHDL03, padronizado IEEE Std
1164-2003.

Caractersticas do VHDL

Modular;
Paralelismo entre instrues (comandos);
Fcil de documentar
documentar.

Vantagens x Desvantagens

Vantagens:

Intercmbio de projetos entre grupos de pesquisa sem a


necessidade de alterao;
Garantia da preciso e portabilidade de um projeto
projeto.
A linguagem independe da tecnologia atual, ou seja, podese desenvolver um sistema hoje
j e implement-lo
p
depois;
p ;
Os projetos so fceis de serem modificados;
Reduz o custo de produo de um circuito dedicado,
usando VHDL e Dispositivos Programveis.
Reduz consideravelmente o tempo de projeto e
implementao.
implementao

Desvantagens:

VHDL no
gera um h
hardware
d
otimizado.
ti i d

Aplicaes do VHDL

Documentao e modelagem de um projeto


Controle de Processos;
Instrumentao;
Drivers de Barramentos;
Conversores de Interface;
Novas aplicaes;
Processamento Digital de Sinais:

Processamento de udio;
Processamento de Vdeo;
Multiprocessamento de sinais de controle (Controle
M lti i l)
Multivarivel).

Prototipao de circuitos.

Estrutura da VHDL

A estrutura de um programa VHDL, baseia-se em 3 estruturas


bsicas e 1 sub-estrutura:

Package;
Entity;
Architecture & Process.

ENTIDADE DE PROJETO = PACKAGE + ENTITY + ARCHITECTURE +


PROCESS

PACKAGE (Pacote): so declaradas as bibliotecas, constantes,


tipos de dados, sinais, subprogramas, etc.;
ENTITY (Entidade): interface, declarao dos pinos de entrada e
sada;
ARCHITECTURE (Arquitetura): corpo, define as implementaes
d projeto,
do
j t a l
lgica
i propriamente
i
t dita.
dit P
Pode
d conter
t outras
t
entidades de projeto;
PROCESS (Processo): define as lgicas sncronas. So
declarados dentro da architecture;

entity XOR_3 is
Port ( SW0 : in STD_LOGIC;
SW1 : in STD_LOGIC;
STD LOGIC;
SW2 : in STD_LOGIC;
LED0 : out STD_LOGIC);
end XOR_3;

ENTIT
TY

EExemplo
l d
de uma entidade
tid d de
d projeto,
j t
implementao de uma porta XOR
com 3 entradas

library IEEE;
use IEEE.STD_LOGIC_1164.ALL;
IEEE STD LOGIC 1164 ALL
--use IEEE.NUMERIC_STD.ALL;
--library UNISIM;
--use UNISIM.VComponents.all;

PACKAGEE

Implementao

Esquemtico

VHDL

begin
LED0 <= SW0 XOR SW1 XOR SW2;
end Teste_XOR;

Entidade de projeto

ARCHITEC
CTURE

architecture Teste_XOR of XOR_3 is

Entidade de Projeto
j
Declarao da
entidade

Interface com o exterior

Entityy ABC is
-A h
Architecture
X off ABC
----

Arquitetura
da
entidade

Entidade de
P j t
Projeto

Relao entre as portas

Exerccio 5

Faa a implementao do exemplo com a porta


XOR de 3 entradas. Observar:

Esquema tecnolgico;
Comparar o cdigo VHDL com cdigo VHDL gerado a
partir do esquema.

Fim

Anotaes

You might also like