You are on page 1of 11

INSTITUTO TECNOLOGICO DE LOS MOCHIS

Diseo Digital Con VHDL


"Practica 1:
Decodificador De Display 7 Segmentos"
Integrantes:
Ayala Lima Alejandra
Castro Garcia Antonio
Castro Prez Juan Carlos
Irazoqui Chaparro Viviana

12440285
13440015
13441565
13440013

Prof.: Robles Acosta Juan Carlos

Los Mochis Sinaloa a 25 De Septiembre Del


2015.

Contenido
Marco Terico...................................................................................................... 3

Materiales:........................................................................................................... 5

Cdigo en VHDL................................................................................................... 6

Mapa Chip Report............................................................................................... 7

Fotografas........................................................................................................... 8

Conclusiones...................................................................................................... 10

Marco Terico
Los estudios para la creacin del lenguaje VHDL (VHSIC HDL) comenzaron
en el ao 1981, bajo la cobertura de un programa para el desarrollo de
Circuitos Integrados de Muy Alta Velocidad (VHSIC), del Departamento de
Defensa de los Estados Unidos. En 1983 las compaas Intermetrics, IBM y
Texas Instruments obtuvieron la concesin de un proyecto para la
realizacin del lenguaje y de un conjunto de herramientas auxiliares para
su aplicacin. Finalmente, en el ao 1987, el lenguaje VHDL se convierte
en la norma IEEE-1076 como todas las normas IEEE, se somete a revisin
peridica, por lo que en 1993 sufri algunas leves modificaciones.
El lenguaje VHDL fue creado con el propsito de especificar y documentar
circuitos y sistemas digitales utilizando un lenguaje formal. En la prctica
se ha convertido, en un gran nmero de entornos de CAD, en el HDL de
referencia para realizar modelos sintetizables automticamente. Las
principales caractersticas del lenguaje VHDL se explican en los siguientes
puntos:
Descripcin textual normalizada: El lenguaje VHDL es un lenguaje de
descripcin que especifica los circuitos electrnicos en un formato
adecuado para ser interpretado tanto por mquinas como por personas.
Se trata adems de un lenguaje formal, es decir, no resulta ambiguo a la
hora de expresar el comportamiento o representar la estructura de un
circuito.
Amplio rango de capacidad descriptiva: El lenguaje VHDL posibilita la
descripcin del hardware con distintos niveles de abstraccin, pudiendo
adaptarse a distintos propsitos y utilizarse en las sucesivas fases que se
dan en el desarrollo de los diseos. Adems es un lenguaje adaptable a
distintas metodologas de diseo y es independiente de la tecnologa, lo
que permite, en el primer caso, cubrir el tipo de necesidades de los
distintos gneros de instituciones, compaas y organizaciones
relacionadas con el mundo de la electrnica digital; y, en el segundo,
facilita la actualizacin y adaptacin de los diseos a los avances de la
tecnologa en cada momento.
Otras ventajas: Adems de las ventajas ya reseadas tambin es
destacable la capacidad del lenguaje para el manejo de proyectos de
grandes dimensiones, las garantas que comporta su uso cuando, durante
el ciclo de mantenimiento del proyecto, hay que sustituir componentes o
realizar modificaciones en los circuitos, y el hecho de que, para muchas
organizaciones contratantes, sea parte indispensable de la documentacin
de los sistemas.

A continuacin una pequea definicin de que es un Codificador y un


decodificador:
Codificador, dispositivo para la conversin de datos o seales empleando
un determinado cdigo. Normalmente se usa con cuatro propsitos
claramente diferenciados: 1) Eliminar la redundancia o todo aquello que
no vaya a ser percibido por el destinatario de la informacin o bien quede
ms all de los objetivos de calidad de la seal recibida, en cuyo caso se
habla decodificador de fuente; 2) Aumentar la redundancia de modo que
el decodificador pueda eventualmente detectar y corregir errores que se
hayan producido en la recepcin de seales o smbolos, hablndose en
este caso de codificador de canal. 3) Para hacer que los datos codificados
sean ilegibles salvo que se conozca el cdigo, mediante encriptado res o
cifra dores. 4) Permitir la transmisin de datos sobre un canal con unos
determinados recursos y limitaciones, que correspondera en el modelo
empleado en la TMC al transmisor-codificador y que (especialmente en
telecomunicaciones) es denominado modulador.
El decodificador sera el dispositivo que realiza la operacin conversa, sea
cual sea el propsito del cdigo: el decodificador de fuente tratara de
devolver la redundancia eliminada; el de canal retirara la introducida por
el codificador correspondiente, corrigiendo los errores que fuera capaz de
detectar; el descifrador hara que los datos resultaran legibles; y el
demodulador (o receptor-decodificador) identificara el smbolo transmitido
por el canal normalmente bajo un criterio de mxima verosimilitud- y re
expresando los datos con la forma que tenan antes del modulador.

With-Select-When
Es similar a las sentencias CASE o SWITCH de C. La asignacin se hace
segn el contenido de un objeto o resultado de cierta expresin.

WITH <seal1> SELECT


<seal2> <= <asignacin1> WHEN <estado_seal1>,
<asignacin2> WHEN <estado_seal2>,
...
<asignacinN> WHEN OTHERS;
La clusula WHEN OTHERS especifica todos los dems valores que no han
sido contemplados. Tambin es posible utilizar la opcin que se contempl
en el caso anterior (UNAFFECTED).

La estructura with-select-when se utiliza para asignar un valor (de varios


posibles) a una seal o grupo de seales con base a los diferentes valores
de otra seal o grupo de seales previamente seleccionada(o).

Materiales:

1 PROTOBOARD
1 DISPLAY 7 SEGMENTOS CATODO COMUN
10 PUSH BUTTONS NORMALMENTE ABIERTOS
10 RESISTENCIAS DE 220
1 GAL22V10D PREVIAMENTE PROGRAMADO CON EL CODIGO
CABLES PARA PUENTES
1 FUENTE DE 5VCC
CODIGO EN VHDL

Cdigo en VHDL
library ieee;
use ieee.std_logic_1164.all;
entity prac1 is port(
ent:in std_logic_vector (9 downto 0);
sal:out std_logic_vector (6 downto 0));
attribute LOC: string;
attribute LOC of sal: signal is "P23 P22 P21 P20 P19 P18 P17";
attribute LOC of ent: signal is "P10 P9 P8 P7 P6 P5 P4 P3 P2 P1";
end prac1;
architecture COD of prac1 is
begin
with ent select
sal<= "1111110" when "0000000001",
"0110000" when "0000000010",
"1101101" when "0000000100",
"1111001" when "0000001000",
"0110011" when "0000010000",
"1011011" when "0000100000",
"1011111" when "0001000000",
"1110000" when "0010000000",
"1111111" when "0100000000",
"1110011" when "1000000000",
"1001111" when others;

end COD;

Mapa Chip Report

Fotografas

Conclusiones
En la prctica realizada se program una Gal22V10D para que esta
funcionara como un decodificador, mediante push buttons, los cuales
nosotros utilizamos normalmente abiertos y un display de nodo comn,
con esta primera practica comenzamos el camino hacia el dominio del
lenguaje de VHDL, en la prctica realizamos un arreglo de resistencias
conectadas a la tierra y puenteadas a la GAL, forzando un estado de 0
desde el principio en la GAL y no un estado 1, como por default tiene la
GAL y se mostraba en el display desde el comienzo.
Programamos el PLD (GAL22V10D) por medio de la generacin de un
archivo .JED a travs del software proporcionado (Superpro 3000U).
Para poder realizar esta prctica nos juntamos en equipo solo fue
necesario tener el programa instalado en una sola computadora y el
apoyo de nuestro equipo, por medio de un programa que nos proporcion
nuestro profesor para trabajar el ISPLever para poder programar, primero
analizamos y opinamos de como podamos realizar el programa como
empezar o cual sera un mtodo practico y eficiente de hacerlo.
Comenzamos declarando la librera y los elementos bsicos del programa
despus utilizamos, declaramos variables y asignamos valores a las
entradas y salidas del programa, para que marcara un error si en caso que
si ingresas algn valor no deseado te marque un error.
Su funcin consiste en que al momento que tu presiones un botn se
aparezca el nmero 1 y si presionas el siguiente se muestre el dos y as
sucesivamente hasta llegar al nmero nueve, y si por presionas dos
botones ala ves te marque un error.
Costo algo de trabajo poder realizar esta prctica de alguna manera
porque jams habamos hecho una as, aunque ya habamos programado
anteriormente en otros lenguajes, tuvimos un pequeo error en una parte
del cdigo pero gracias al profesor y ayuda en equipo encontramos el error
y si compilo muy bien en la simulacin.
Un decodificador es un circuito combinacional el cual acta de forma tal
que puede convertir un cdigo de entrada de la cantidad que queramos de
bits siendo la codificacin de entrada binaria y va a activar una salida. A
las salidas ir el cdigo y las mismas se activaran cuando sea
correspondiente el cdigo que se le est enviando.
Los PLD facilitan el ruteado de las placas de crculo impreso debido a la
libertad de asignacin de patillas que proporcionan. Permiten realizar
modificaciones posteriores del diseo y en ocasiones hacen posible la

reutilizacin de circuitos impresos con algunos fallos, mediante una


reasignacin de los PLD.

You might also like