You are on page 1of 6

TECNOLGICO NACIONAL DE MXICO

Instituto Tecnolgico de Aguascalientes


(DEPARTAMENTO DE INGENIERA
ELCTRICA Y ELECTRNICA.)

INGENIERA
ELECTRNICA

DISEO DIGITAL EN

VHDL

REPORTE DEL CPU


Alumno: Andrs Alejandro Ruvalcaba Adauto
Profesor: M.C. Gustavo Adolfo Sosa Serna

Mircoles 2 de diciembre del 2015

Introduccin
El CPU o Central Processing Unit (Unidad de Procesamiento Central) es la parte
central de toda computadora ya que es la que cumple la tarea de procesamiento
de todas las funciones as como tambin de almacenamiento de la informacin. Es
un circuito electrnico que ha existido desde siempre en las computadoras sin
importar su modelo y es por eso que es considerado uno de los elementos bsicos
de cualquier computador.
El CPU funciona en base a la operacin de programas previamente diseados y
establecidos. Estos programas son organizados por nmeros en serie y pueden
representar cuatro pasos bsicos:
1. Leer (juntar informacin o instrucciones sobre una operacin).
2. Decodificar (dividir esa informacin en partes entendibles y significativas
para el CPU).
3. Ejecutar (el momento ms importante ya que en l se lleva a cabo la
instruccin y se ponen a trabajar varias partes juntas del CPU).
4. Escribir (para dejar establecidos los resultados de la tarea realizada).
Los procesadores utilizan el sistema de numeracin decimal, representando casi
todos ellos los nmeros de forma binaria, es decir mediante la utilizacin de las
cifras cero y uno. Por otro lado, siempre recurren tambin a la seal de reloj que
significa que trabajan de manera sincrnica lo que hace luego ms fcil conectar
las diversas tareas y operaciones del CPU.
OBJETIVO
En el siguiente trabajo analizaremos cada uno de los pasos ya mencionados y
estableceremos un cdigo que nos permita interpretar dicho funcionamiento en
nuestra tarjeta CPLD 240T100C5N.

Desarrollo
Para explicar el funcionamiento de algunos comandos del CPU es necesario
generar una tabla que satisfaga las siguientes condiciones:

suma
resta
lgico
reset
load
increment
o
read
write

LOAD
(DIRECTO)

JUMP

CALL

ADD

JUMP IF
CARRY

1
1
0
1
0
1

1
1
1
1
0
1

1
1
1
1
0
1

0
1
1
1
0
1

1
1
1
1
0
1

0
1

0
1

0
0

0
1

0
1

Una vez establecida la lgica combinacional de cada funcin podemos asignar un


led a cada instruccin:

Read
Write
Suma
Resta

Incremen
Load

Lgico

Reset

Una vez asignados los leds usaremos un convertidor de bcd en este caso a 8
segmentos de led en vez del display y asociaremos una combinacin de 3 bits
para cada funcin.

CODIGO VHDL
Library ieee;use ieee.std_logic_1164.all;use
ieee.std_logic_unsigned.all;use ieee.std_logic_arith.all;
entity microprocessor is
port(
--suma: out std_logic;
sel: in std_logic_vector(2 downto 0);
buzz: out std_logic;
--read_type:out std_logic;
--write_type:out std_logic;
--logic_unit:out std_logic;
--resta:out std_logic;
--incremento:out std_logic;
--reset:out std_logic;
--lod:out std_logic
led: out std_logic_vector(7 downto 0));
end;
architecture vhdl_type of microprocessor is
begin
buzz<='1';
led<=
"01001011" when sel="000" else--load
"01001111" when sel="001" else--jump directo
"00001111" when sel="010" else--call
"01001110" when sel="011" else--add
"01001111" when sel="100" else--jump if carry=0
"01001100" when sel="101" else--jump if carry=1
"00000000";
end vhdl_type;

Teniendo nuestro cdigo pero por mera esttica apagaremos todos los dems
pines que no usemos, para esto iremos al men en la pestaa
assigments/settings/device:

seleccionamos

Ahora elegimos la pestaa all unused pins

Y
seleccionamos
esta opcin en
reserve all
unused pins:

Observaciones

BUENAS: se logr comprender fcilmente el cdigo mediante temas vistos


anteriormente y tambin gracias al software compilador del CPU se alcanz
a apreciar mejor el funcionamiento del mismo.

MALAS: Aunque facilitado el software de compilacin, fue difcil comprender


del todo el funcionamiento del mismo ya que algunos componentes como lo
son la unidad de control, el reloj, etc. No se llegaron a analizar del todo y
quedaron como incgnitas.

Conclusin
Este cdigo realizado, muy bsico como se puede apreciar, logro satisfacer y
determinar el proceso llevado a cabo por un CPU tambin debido a lo bsico que
es, no se considera un CPU en s, ms bien es solo una ejemplificacin de las
funciones y como se decodifican, ejecutan, leen y finalmente se interpretan por
medio de, en este caso leds.

You might also like