You are on page 1of 926

半導體製造技術

第1章
半導體工業簡介
目的
研讀本章內容後,你將可學習到:
1. 敘述目前半導體工業的經濟規模與工業基礎。
2. 說明IC,並且列出5個積體年代。
3. 討論晶圓及製造晶圓的5個主要階段。
4. 敘述與討論晶圓製造的改進技術之3項重要趨勢。
5. 說明臨界尺寸(CD)的定義,同時討論Moore’s定律
與未來晶圓發展的關係。
6. 從發明電晶體開始到現今晶圓製造,討論電子工
業的發展歷程。
7. 討論半導體工業中生涯發展的不同路線。

2
微處理晶片

微處理機晶片
(Photo courtesy of Advanced
Micro Devices)

微處理機晶片
(Photo courtesy of Intel Corporation)

3
工業發展
• 工業基礎 • 固態
– 真空管電子理論 – 固態物理
– 無線通訊學 – 第一個電晶體
– 機械式製表機 – 優點
– 發明者
– 缺點

4
真空管

5
半導體工業

基本設施 產品應用
工業協會
(SIA, SEMI, NIST, etc.)
消費者:
生產工具 y電腦
y汽車
實用品 y航空和宇宙航行空間
y醫學的
材料 & 化學 晶片製造者 y其他工業
度量衡學工具 顧客服務
分析研究所 原始的設備製程

技術能力 印刷電路板工業

學院 & 大學

圖 1.1 6
第一個電晶體
(由Bell Labs研究製造)

圖 1.3 7
第一平面式電晶體

圖 1.2 8
積體電路

• 積體電路 (IC)
– 微晶片, 晶片
– 發明者
– 積體電路的好處
• 積體年代
– 從 SSI 晶片到 ULSI 晶片
– 1960 - 2000

9
第一個積體電路
(由TI之Jack所製造)

10
晶圓晶片的俯視圖

一個單一的積體
電路,如晶粒、
晶片和微晶片

圖 1.3 11
半導體積體電路
積體電路 半導體工業的時間週期 每個晶片組成數
沒有整合 (離散組成) 1960年前 1

小尺寸整合 (SSI) 1960年早期 2−5

中尺寸整合 (MSI) 1960年到1970年早期 50 − 5,000

大尺寸整合 (LSI) 1970年早期到1970年晚期 5,000 − 100,000

非常大尺寸整合 (VLSI) 1970年晚期到1980年晚期 100,000 − 1,000,000

超大尺寸整合 (ULSI) 1990年至今 >1,000,000

表 1.1 12
ULSI 晶片

Photo courtesy of Intel Corporation, Pentium III


13
IC 製造
• 矽晶圓
– 晶圓
– 晶圓尺寸
– 元件與模層
• 晶圓廠
• IC製造的主要階段
– 晶圓準備
– 晶圓製造
– 晶圓測試/分類
– 裝配與封裝
– 最後測試

14
晶片尺寸的發展

2000

1992

1987
1981
1975

1965

50 mm 100 mm 125 mm 150 mm 200 mm 300 mm

圖 1.4 15
矽晶片的元件和膜層
頂部保護層 傳導層

金屬層
絕緣層 drain

傳導層的凹部
矽基板

矽基板

圖 1.5 16
IC 製造的主要階段
1. 晶圓準備 單晶矽 4. 裝配和封裝 切刻線
包括結晶、 沿切刻線切
長晶、圓柱 割 晶 圓 , 以 單一晶粒
矽棒切片
化、切片和 分隔每個晶
研磨。 粒。
2. 晶圓製造
包括清洗、 晶粒黏著於 裝配 封裝
加層、圖案 封裝體內,
化、蝕刻、 並進行金屬
打線。
摻雜。
3. 測試/分類 缺陷晶粒 5. 最後測試
包括探針、 確定IC通過
測試、分類 電子和環境
晶圓上的每 測試。
個晶粒。
17
矽晶片的製備
多晶矽 晶種結晶
坩鍋
6. 邊角磨光
1.長晶
加熱

7. 研磨
2.單晶錠

8. 晶圓蝕刻
3.端點移除和
直徑研磨
研漿 研磨頭
9. 拋光
4.主平面形成
研磨台

5.晶圓切片 10. 晶圓檢視

(注意:圖1.7的名詞於第4章解釋。) 18
圖 1.7
晶圓廠

Photo courtesy of Advanced Micro Devices-Dresden, © S. Doering


19
微晶片封裝

圖 1.8 20
半導體趨勢

• 增加晶片特性
– 臨界尺寸 (CD)
– 每一晶片上的組件數目
– Moore’s 定律
– 功率消耗
• 增加晶片的可靠度
• 降低晶片價錢

21
臨界尺寸

線寬 間隙
接觸洞

圖 1.9 22
對於臨尺寸過去和未來技術點
的比較

1988 1992 1995 1997 1999 2001 2002 2005

CD
1.0 0.5 0.35 0.25 0.18 0.15 0.13 0.10
(μ m)

表 1.2 23
晶片上電晶體數目之增加趨勢
1600

每一微處理器上之電晶體數目 百(萬
1400

1200

1000

800

600

400

200
)

1997 1999 2001 2003 2006 2009 2012



Redrawn from Semiconductor Industry Association, The National
Technology Roadmap for Semiconductors, 1997.
圖 1.10 24
微處理器之發展與Moore’s定
律之關係
100M

10M 500
Pentium Pro
Pentium
電晶體

每秒百萬條指令
1M 80486 25

80386

100K 80286 1.0

8086

10K .1
8080

4004 .01
1975 1980 1985 1990 1995 2000

Used with permission from Proceedings of the IEEE, January, 1998, © 1998 IEEE

圖 1.11 25
早期與現在半導體尺寸的對照

1990年的微晶片
(5 − 25百萬個電晶體)

1960年的電晶體

US硬幣 (10分)

圖 1.12 26
每年呈現下滑現象的
IC功率消耗
10

8
平均功率

4
μw (10−6W)

0
1997 1999 2001 2003 2006 2009 2012

Redrawn from Semiconductor Industry Association,
National Technology Roadmap, 1997
圖 1.13 27
晶片可靠度的增進
700

長期每百萬部分故障率目標
600

500

400

300

200
(PPM)

100

0
1972 1976 1980 1984 1988 1992 1996 2000

圖 1.14 28
半導體晶片價錢每年降低
104
真空管 半導體元件
標準管
元件尺寸 =
102 縮小管 價錢 =

BIT
1
積體電路
比較值

MSI
10-2 LSI
VLSI

10-4

10-6 ULSI

10-8

10-10
1930 1940 1950 1960 1970 1980 1990 2000

Redrawn from C. Chang & S. Sze, McGraw-Hill, ULSI Technology, (New York: McGraw-Hill, 1996), xxiii.
圖 1.15 29
電子發展的階段

1950年代: 電晶體技術
1960年代: 製造技術
1970年代: 競爭
1980年代: 自動化
1990年代: 大量生產

30
晶片費用每年持續增加
$100,000,000,000
實際費用
預測費用

$10,000,000,000
成本

$1,000,000,000

$100,000,000

$10,000,000
1970 1980 1990 2000 2010 2020

Used with permission from Proceedings of IEEE, January, 1998 © 1998 IEEE

圖 1.16 31
半導體工業的生涯發展路線
工廠領導者

維修領導者 生產領導者 工程師領導者 MS

維修管理者 製程工程師 BS
生產管理者
設備工程師 整合工程師
BEST*

設備技術人員 良率&錯誤分析技術人員 AS+

維修技術人員 製造技術人員 製程技術人員 研究技術人員 AS

晶製程造技術人員 HS+
*Bachelor of Science在電
子的技術 HS
生產者
教育

圖 1.17 32
晶圓廠的產量測量
微處理

光罩 離子植入 擴散
12
重作 廢棄
9 3 製程
6
製程設備 檢查 製程 設備
檢查 檢查
每個操作員 設備
週期時間
時間開始 時間到
啟始晶片
晶片移動 晶片出廠
11 22 33 44
55 66 77 88 99 10 11
10 11
11
12 13 14 15 16 17 18 22 33 44 55 66 77 88
12 13 14 15 16 17 18
19 99 10
10 11
11 12
12 13
13 14
14 15
15
19 20
20 21
21 22
22 23
23 24
24 25
25
26 16 17 18 19 20 21 22
16 17 18 19 20 21 22
26 27
27 28
28 29
29 30
30 31
31
23
23 24
24 25
25 26
26 27
27 28
28 29
製程 檢查 製程 檢查
29
製程 檢查 30
30 31
31
設備 設備
設備
蝕刻 薄膜 金屬化

工作時間=開始工作之時間-工作結束之時間
晶圓產量=啟始晶圓數量-出廠晶圓數量
操作員工作效率=理想的工作時間/實際工作時間
圖 1.18 33
晶圓廠的設備技術人員

Photograph courtesy of Advanced Micro Devices


34
晶圓廠的技術人員

Photo courtesy of Advanced Micro Devices


35
半導體製造技術

第2章

半導體材料特性
目的
研讀本章內容後,你將可學習到:
1. 描述原子,包含其價電殼、能帶理論與離子。
2. 解釋週期表,同時說明離子鍵與共價鍵如何形成。
3. 由電流之流動觀點說明材料之3大分類。
4. 解釋電阻率、電阻與電容,並能詳細敘述這些參數於晶圓
製造時之重要性。
5. 敘述純矽,同時說明為何其能成為最重要半導體材料之4
項原因。
6. 解釋摻雜並且說明為何加入3價與5價摻質可使得矽成為有
用之半導體材料。
7. 討論p型矽 (受體) 與n型矽 (施體),描述加入摻質如何改變
其電阻率並解釋pn接面。
8. 討論其他的半導體材料,描述砷化鎵之優缺點。 2
原子結構

• 物質 • 電子
• 元素 – 電子能量
– 核心 – 價電殼
• 質子
– 固態能帶理論
• 中子
– 離子
– 價電殼
• 電子
• 分子
• 化合物

3
碳原子的元素模式
質子
(正電荷) - 原子數
電子 (質子數)
(負電荷)
核心 (原子中心;包含
- 質子和中子)
軌道殼 C 6
- + + N -
N +N +
N N +
+ N - 價電子
中子
(中性)
- 價電殼
(原子外殼)
碳原子:原子核包含相同數
目的質子 (+) 和中子,6個電
子 (−) 繞原子核外圍軌道運
轉。

圖 2.1 4
氫原子模型的電子殼層
Q=2
P = 10
O = 32
N = 32
M = 18
L=8
K=2

圖 2.2 5
Na和Cl的電子軌跡模型
-
- - - - - -

- - - - - -

Na 11 - Cl 17 -

- - - -
- -

- - - - - -
-
鈉原子 氯原子

圖 2.3 6
能帶
電子能量 電子能量 電子能量

導電帶
導電帶
能隙

能隙
導電帶 重疊之能帶
⎯ 僅需一小
價電帶 能量便可傳導

價電帶
價電帶

絕緣體 導體 半導體

圖 2.4 7
NaCl
當一個原子失去一個電子成為 當一個原子得到一個電子成
正離子 為負離子
-
- - - - - -

- - -
- - -

Na 11 - Cl 17 -
- - - -
- -

- - - - - -
-
Na+ Cl−

圖 2.5 8
週期表
¾常用元素的特性
¾離子鍵
¾共價鍵

9
IA VIIIA
1 1.008

H
Hydrogen IIA
元素週期表 IIIA IVA VA VIA VIIA
2 4.0026
He
Helium

3 6.939 4 9.012 5 10.811 6 12.011 7 14.007 8 15.999 9 18.998 10 20.183


Li Be B C N O F Ne
Lithium Beryllium Boron Carbon Nitrogen Oxygen Florine Neon
Transition Metals
11 22.989 12 24.312 13 26.981 14 28.086 15 30.974 16 32.064 17 35.453 18 39.948
Na Mg Al Si P S Cl Ar
Sodium Magnesium
IIIB IVB VB VIB VIIB VIIIB IB IIB Aluminum Silicon Phosphorus Sulfur Chlorine Argon

19 39.102 20 40.08 21 44.956 22 47.90 23 50.942 24 51.996 25 54.938 26 55.847 27 58.933 28 58.71 29 63.5430 65.37 31 69.72 32 72.59 33 74.922 34 78.96 35 79.909 36 83.80

K Ca Sc Ti V Cr Mn Fe Co Ni Cu Zn Ga Ge As Se Br Kr
Potassium Calcium Scandium Titanium Vanadium Chromium Manganese Iron Cobalt Nickel Copper Zinc Gallium Germanium Arsenic Selenium Bromine Krypton
37 85.47 38 87.62 39 88.905 40 91.22 41 92.906 42 95.94 43 99 44 101.07 45 102.91 46 106.4 47 107.8748 112.40 49 114.82 50 118.69 51 121.75 52 127.60 53126.904 54 131.30

Rb Sr Y Zr Nb Mo Tc Ru Rh Pd Ag Cd In Sn Sb Te I Xe
Rubidium Strontium Yttrium Zirconium Niobium Molybde- TechnitiumRuthenium Rhodium Palladium Silver Cadmium Indium Tin Antimony Tellurium Iodine Xenon
num
55 132.90 561137.34 57 138.91 72 178.49 73 180.95 74 183.85 75 186.2 76 190.2 77 192.2 78 195.09 79196.96780 200.59 81 204.37 82 207.19 83 208.98 84 210 85 210 86 222

Cs Ba La Hf Ta W Re Os Ir Pt Au Hg Tl Pb Bi Po At Rn
Cesium Barium Lanthanum Hafnium Tantalum Tungsten Rhenium Osmium Iridium Platinum Gold Mercury Thallium Lead Bismuth Polonium Astatine Radon

87 223 88 226 89 227 104 105 106 107 108 109 110
Nonmetals
Fr Ra Ac Rf Ha Sg Uns Uno Une Uun
Francium Radium Actinium
Metalloids
(semimetals)
58 140.12 59 140.91 60 144.24 61 147 62 150.35 63 151.96 64 157.25 65 158.92 66 162.50 67 164.93 68 167.26 69 168.93 70 173.04 71 174.97

Lanthanides Ce Pr Nd Pm Sm Eu Gd Tb Dy Ho Er Tm Yb Lu
Praseodym- Neodym- Prome- Samarium Europium Gadolin- Dyspro- Holmium
Cerium ium ium thium ium Terbium Erbium Thulium Ytterbium Lutetium
sium
90 232.04 91 231 92 238.03 93 237 94 242 95 243 96 247 97 247 98 249 99 254 100 253 101 256 102 253 103 257
Actinides Th Pa U Np Pu Am Cm Bk Cf Es Fm Md No Lr
Procat- Califor- Einstein- Mendelev- Lawren-
Thorium inium Uranium Neptunium Plutonium Americium Curium Berkelium nium ium Fermium ium Nobelium cium

圖 2.6 10
週期表的元素方格

原子數 6 12.01115 原子量


2.0 陰電性
熔點 (℃)* 3570 C
沸點 (℃) 3470 s. †
酸鹼特性‡
原子半徑 (Å) 0.77

* 基於碳−12. () 表示大部分穩態或同位素。
† s.表示昇華。
‡氧化物之區分,若為紅色則為酸性,若為藍色則為鹼性,且顏色之
深淺代表酸鹼性之強弱。此外,若同時顯示兩色,則表示具備兩
種特性。

圖 2.7 11
常用於晶圓製造之族群化學元素特性
族群 特性
• 1 價電子;容易釋出電子;低陰電性
• 極不穩定
IA • 活性極強;爆裂的
• 離子鍵形式
• 基於污染考慮,建議不要使用此族之金屬
• 2 價電子
• 相當不穩定
IIA
• 活性相當強
• 儘量避免使用此族金屬
• 3 價電子
IIIA • 摻雜半導體材料之元素 (主要為 B)
• 常見之內連線導體材料 (如 Al)
• 4 價電子
IVA • 半導體材料
• 共價鍵形式

表 2.1 12
Continued on next slide
常用於晶圓製造之族群化學元素特性
(續)
族群 特性
• 5 價電子
VA
• 摻雜半導體材料之元素 (主要為 P 和 As)
VIA • 6 價電子
• 7 價電子;容易吸引電子;高陰電性
• 腐蝕性
VIIA • 活性極強
• 離子鍵形式
• 適合於半導體應用,如化合物之蝕刻及清洗
• 8 價電子
• 穩定;活性極弱
VIIIA
• 鈍氣
• 安全地用在半導體製造方面
• 最佳金屬導體
IB
• Cu 取代 Al 作為主要的內連接導體材料
• 常用於半導體製程之耐高溫金屬,可改善金屬化製程 (尤其是 Ti,
IVB – VIB W, Mo, Ta 和 Cr)
• 和矽反應穩定的化合物,具良好電性表 2.1 13
具離子鍵的NaCl結構

Cl-

Na+

圖 2.8 14
HCl的共價鍵

H + Cl → HCl -
-
- - -
- - -
氫原子 H 1 - Cl 17 - 氯原子
- - -

- - - -
2個原子共用一個電 -
子,形成共價鍵

圖 2.9 15
材料之分類
導體
絕緣體
半導體

16
電子電流
e-

銅線提供電子流通電徑,從負
端通過燈泡內的白熱絲且回到
電池正端。 e- e-

6 Volt
電池
e-

圖 2.10 17
銅 (Cu) 的自由電子流
價電殼之孤立電子
銅原子 -
-
-
每層電子 每層電子 - -
最大數目
-
殼層 實際數目 - - -
K 2 2
- - -
L 8 8 - -
M 18 18 -
1
Cu 29 -
N 32
總數 60 29 - - - - -
K
- - - -
L
- -
- M -
N

圖 2.11 18
線的尺寸如何影響電阻
低電阻 高電阻

ρL
R=
A

圖 2.12 19
晶圓加入雜質以增加其導電率
e-

e-

e-
+ -

e- 6 Volt
電池
Na+ Cl-
鹽於水中解離成
Na+ 和 Cl− 離 子 ,
提供一電流傳導 H2O
路徑。

圖 2.13 20
基本電容器結構
介電層
(玻璃)
導體
(金屬板) 電容公式
導體 KA
(金屬板) C =
S
導線
K = 介電常數
導線 (F/cm)
A = 導電板面積
(cm2)
S = 導電板間距離
(cm)
電容器的符號

圖 2.14 21
電池對電容器的充電
1.5 V 帶負電荷導電板
帶正電荷導電板

e-
e-
e-
開關
靜電場
e-

電池
1.5 V
e-

圖 2.15 22
電容儲存電荷

1.5 V 帶負荷平面

帶正荷平面

電場

圖 2.16 23
低k介電材料

金屬層2
電容 介電材料*
金屬層1

*低k介電材料降低兩金屬層間的等效電容

圖 2.17 24

• 純矽
• 為何採用矽?
• 具摻雜之矽
– 摻質之材料
– n型矽
– p型矽
– 摻雜矽之電阻率
• pn接面
25
4A族元素半導體
半導體

IVA族

C,碳 6

Si,矽 14

Ge,鍺 32

Sn,錫 50

Pb,鉛 82

圖 2.18 26
矽的共價鍵

Si Si Si Si Si

Si Si Si Si Si

Si Si Si Si Si

Si Si Si Si Si

Si Si Si Si Si

Si原子共用價電子,形成似絕緣體的鍵結

圖 2.19 27
矽晶片上的SiO2

二氧化矽 (SiO2)

矽晶片

圖 2.20 28
矽的摻雜
沈積步驟 驅入 & 擴散步驟

塗佈雜質

晶片基板 活化步驟
摻質層
摻質原子擴散通過矽
Si Si P
晶片 PP Si Si
Si Si P

圖 2.21 29
矽摻雜

受體雜質 半導體 施體雜質

Group III (p型) Group IV Group V (n-型)

硼 5 碳 6 氮 7

鋁 13 矽 14 磷 15

鎵 31 鍺 32 砷 33

銦 49 錫 50 銻 51

* 劃線元素使用於矽基板的IC製程。

圖 2.22 30
摻雜磷以形成n型矽

Si Si Si Si Si

Si Si Si P Si 多出的電子 (−)

Si P Si Si Si 磷原子當作n型
的摻質
Si Si Si P Si

Si Si Si Si Si

施體原子供應額外的電子形成n型矽

圖 2.23 31
自由電子流在n型矽
電源供應的正端

電源供應的負端

電子

自由電子往正端流

圖 2.24 32
摻雜硼以形成p型矽

Si Si Si Si Si

Si Si Si B Si 電洞

硼原子當作
Si B Si Si Si p型的摻質

Si Si Si B Si

Si Si Si Si Si

受體電子提供一個電子空位,形成
p型矽

圖 2.25 33
在p型矽的電洞流
電源供應的正端

電源供應的負端

電子

電洞

電子往正端流

電洞往負端流

圖 2.26 34
矽的電阻率與摻質濃度之關係
1021

1020

摻質濃度
1019

1018
(atoms/cm3)

1017
n-型 p-型
1016

1015

1014

1013
10-3 10-2 10-1 100 101 102 103
電阻率 (Ω-cm)
Redrawn from VLSI Fabrication Principles, Silicon and Gallium Arsenide, John Wiley & Sons, Inc.
圖 2.27 35
pn接面橫切面

p-型矽 n-型矽

圖 2.28 36
其他半導體材料

不同半導體材料的物理特性比較
性質 Si Ge GaAs SiO2
熔點 (℃) 1700
1412 937 1238
(approx.)
原子量 28.09 72.60 144.63 60.08
原子密度
4.99 x1022 4.42x1022 2.21x1022 2.3x1022
(atom/cm3)
能隙 (eV) 8
1.11 0.67 1.40
(approx.)

表 2.3 37
半導體製造技術

第3章
元件技術
目的
研讀完本章內容後,你將可學習到:
1. 區分類比與數位電路之差異及區分主動與被動元件。解釋
於被動元件中的寄生結構之效應。
2. 描述pn接面及說明其重要之理由。解釋反向與順向偏壓。
3. 敘述雙極性電晶體技術之特點,說明雙極性電晶體之動作
、偏壓、結構與應用。
4. 由場效電晶體、偏壓與CMOS之反相器等重要觀點,解釋
CMOS技術之基本特性。
5. 解釋MOSFETs中增強模式與空乏模式之差異。
6. 解釋寄生電晶體效應與CMOS閉鎖現象。
7. 舉例說明IC產品,並說明其應用範圍。

2
電路形式

• 類比電路
• 數位電路

3
PC母板的組件

4
被動元件結構
• IC 之電阻結構
– 寄生電阻結構
• IC 之電容器結構
– 寄生電容結構

5
在IC的電阻結構

金屬接觸
金屬接觸
薄膜型態的電阻

電阻
散 的
n- - 擴
基 SiO2, n- p

SiO2, 介電材料
介電材料

圖 3.1 6
在電晶體的寄生電阻橫切圖

基極 射極 集極 金屬接觸電極

RBC REC RCC

RBB REB RCB


n+
p-
n+
本體電阻
p-基板

圖 3.2 7
IC的電容器結構
第一多晶矽
金屬接觸 之金屬接觸

層 第二摻雜
電 多晶矽層



第一摻雜
多晶矽層
基板 基板
介電材料
(氧化物)

摻雜多晶矽層 第二
多晶矽層
擴散區的
金屬接觸 第一
層 多晶矽層

p- 擴
基板 基板
介電材料
(氧化物)
圖 3.3 8
存在於電晶體的寄生電容

G
E B C S D 摻雜多晶矽
n
n n n
p
n 氧化層
p−基板 p−基板
BJT FET

圖 3.4 9
主動元件結構

pn接面二極體
雙極性接面電晶體
Schottky二極體
雙極性 IC 技術
CMOS IC 技術
增強模式與空乏模式之MOSFET

10
pn接面二極體的基本符號和結構

pn接面二極體
p區的重摻雜
陰極 陽極 n區的重摻雜
金屬接觸

p−基板

圖 3.5 11
開路條件下的pn接面二極體
空乏區
p型 Si n型 Si

}
金屬接觸

陽極 陰極

位障

電位能 障壁
0 電壓

圖 3.6 12
反向偏壓之pn接面二極體
開路條件
(高電阻)
p n

3V 燈泡

圖 3.7 13
順向偏壓的pn接面二極體

p n

電流 電子流

3V
燈泡

圖 3.8 14
矽二極體順向和反向I-V曲線
+I

順向偏壓曲線

120 100 80 60 40 20 接面電壓


-V +V
漏電流 .4 .8 1.2 1.6
崩潰電壓

反向偏壓曲線

-I
圖 3.9 15
兩種類型的BJT
npn電晶體 集極 pnp電晶體 集極

物理結構 n 物理結構 p

基極 p 基極 n

n p

射極 射極
符號圖解 C 符號圖解 C

B B

E E
圖 3.10 16
npn電晶體基本電路
h+
C C

n n 燈泡
燈泡

電子流向
S1 S1
B B
p p

n 3 n 3
1.5 V
V 1.5 V V
E E
e- e-

非導通模式 導通模式

圖 3.11 17
pnp電晶體基本電路
e-
C C

p 燈泡 p 燈泡

電洞流向
S1 S1
B B
n n

p 3V p 3V
1.5 V 1.5 V
E E
h+ h+

非導通模式 導通模式

圖 3.12 18
npn BJT橫切面

C E B
金屬接觸

n+
p
n+
p−基板

圖 3.13 19
Schottky二極體的符號和結構橫切圖

陽極 陰極
Schottky 接觸 一般歐姆接觸

n-
n+

圖 3.14 20
雙極性邏輯的家族
表 3.1 雙極性邏輯的家族
雙極性邏輯群 縮寫
直接-耦合電晶體邏輯 DCTL**
電阻-電晶體邏輯 RTL**
電阻-電容-電晶體邏輯 RCTL**
二極體-電晶體邏輯 DTL**
電晶體-電晶體邏輯* TTL**
Schottky TTL 邏輯* STTL+
射極-耦合邏輯* ECL+
* Some forms of TTL, STTL and ECL still in use through were 2000.
** From G. Deboo and C. Burrous, Integrated Circuits and Semiconductor Devices:
Theory and Application, 2nd ed. (New York: McGraw-Hill, 1997), p. 192.

From A. Sedra and K. Smith, Microelectric Ciruits (Oxford: Oxford University Press,
1998), p. 1187, 1196. 表 3.1 21
CMOS IC 技術
• 場效電晶體
– MOSFET
• nMOSFET導通模式之偏壓
• pMOSFET導通模式之偏壓
– Biasing the nMOSFET
– Biasing the pMOSFET
• CMOS 技術
• BiCMOS 技術
• 增強模式與空乏模式之MOSFET
22
兩種類型的MOSFETs
pMOSFET nMOSFET
(p通道) (n通道)
閘極 閘極
源極 汲極 源極 汲極
p+ p+ n+ n+
n型矽基板 P型矽基板
n井 n井

汲極 汲極

閘極 閘極 基板
基板

源極 源極
圖 3.15 23
nMOS電晶體偏壓電路
S1
閘極開路 (沒有電荷)
VGG = + 0.7 V

閘極
源極 汲極
n+ n+

p型矽基板
燈泡
(沒有導通)

VDD = + 3.0 V

圖 3.16 24
nMOS電晶體導通模式
S1

VGG = + 0.7 V 正電荷


閘極
e- ++++++
源極 ++++++ 汲極 IDS
++++++
n+ n+
電洞 燈泡
p型矽基板

e- e-

VDD = + 3.0 V

圖 3.17 25
n通道MOSFET的特性曲線
600 線性區 飽和區
VGS = +5V
汲極電流 500

VGS = +4V
400

300 VGS = +3V


IDS (μA)

200
VGS = +2V
100 VGS = +1V

0
0 1 2 3 4 5 6
汲極-源極電壓,VDS (V)
圖 3.18 26
p通道MOSFET的偏壓電路
S1
閘極開路 (沒有電荷)
VGG = - 0.7 V
閘極
源極 汲極
p+ p+

n型矽基板
燈泡
(沒有導通)

VDD = -3.0 V

圖 3.19 27
pMOS電晶體導通模式
S1

VGG = - 0.7 V 負電荷

e- -閘極
-------
-------- IDS
源極 -------- 汲極
p+ p+
電子
n型矽基板 燈泡

e- e-
VDD = - 3.0 V

圖 3.20 28
CMOS反相器的符號
+ VDD

S
G
pMOSFET

輸入 輸出

nMOSFET
G
S

- VSS

圖 3.21 29
CMOS反相器俯視圖
n型矽基板

多晶矽

n井 p井

G G
-VSS S D D S +VDD
金屬
p+ p+ n+ n+

pMOSFET nMOSFET

圖 3.22 30
CMOS反相器橫切面

內層氧化層 金屬
pMOSFET nMOSFET
G G
-VSS S D D S +VDD

n+ p+ p+ n+ n+ p+
p井
n型矽基板
場氧化層

圖 3.23 31
BiCMOS於一簡單加熱控制系
統之應用

+ 48 VDC 製程反應室
設定 驅動訊號
輸出 BiCMOS 0-5 V
AMP
DAC
加熱裝置
CPU 數位 類比 溫度
感測器
輸入t BiCMOS 0-5 V AMP
ADC
迴授
mV測量訊號

圖 3.24 32
BiCOMOS反相器
CMOS區 雙極性區

Q1
Q3
輸入

輸出
Q2

Q4

Redrawn from H. Lin, J. Ho, R. Iyer, and K. Kwong, “Complementary MOS-Bipolar Transistor
Structure,” IEEE Transactions Electron Devices, ED-16, 11 Nov. 1969, p. 945 - 951.

圖 3.25 33
MOSFETs的增強模式和空乏模式
之比較
MOSFE 模式 通道狀態 VGS 開關 物理結構
T 形式 (無偏壓) 需求
閘極
源極 汲極
nMOS 增強 不存在 + n+ n+
p型矽基板
閘極
源極 汲極
nMOS 空乏 形成 - n+ n+
n型矽基板
閘極
源極 汲極
pMOS 增強 不存在 - p+ p+
n型矽基板
閘極
源極 汲極
pMOS 空乏 形成 + p+ p+
n型矽基板

圖 3.26 34
在CMOS結構的寄生接面電晶體

pMOSFET nMOSFET
G G
VSS S D D S VDD

n+ p+ p+ n+ n+ p+
RW

T1
T2
P井
RS

n型基板

圖 3.27 35
IC產品

• 線性 IC 產品 • 數位 IC 產品(續)
– 運算放大器 – 非揮發性記憶體
– 穩壓器 • ROM
• PROM
– 步進馬達驅動器
• EPROM
• EEPROM
• 數位 IC 產品 • ASIC
– 揮發性記憶體 • PLD
• RAM • PAL
• DRAM • PLA
• SRAM • MPGA
• MPU or CPU • FPGA

36
半導體製造技術

第4章
矽與晶圓準備
目的
研讀完本章內容後,你將可學習到:
1. 描述天然矽原料如何加工提煉成半導體級矽
(SGS)。
2. 解釋晶體結構與單晶矽的成長技術。
3. 討論矽晶體之主要缺陷。
4. 簡單敘述由矽晶錠加工成為矽晶圓的基本步驟

5. 說明與討論晶圓供應商所需進行之7項品質測量
項目。
6. 解釋何謂磊晶與其重要性。

2
半導體級矽

獲得半導體級矽 (SGS) 的步驟

步驟 製程描述 反應

將含碳的矽土加熱,可獲得
1 SiC (s) + SiO2 (s) Æ Si (l) + SiO(g) + CO (g)
冶金級的矽 (MGS)。

使用化學反應精鍊 MG 矽,
2 Si (s) + 3HCl (g) Æ SiHCl3 (g) + H2 (g) + 熱
生成含 SiHCl3 矽化合物。

使用 Siemens 反應裝置,
3 SiHCl3 和 H2 反應生成純半 2SiHCl3 (g) + 2H2 (g) Æ 2Si (s) + 6HCl (g)
導體級矽 (SGS)。

表 4.1 3
晶體結構

非晶材料
晶胞
多晶與單晶結構
晶體方向

4
用於SG矽的Siemens反應器

SiHCl3 多晶體矽柱

圖 4.1 5
晶體結構的原子排列

圖 4.2 6
非晶原子結構

圖 4.3 7
三維結構的單位晶胞

單位晶胞

圖 4.4 8
面心立方的單位晶胞

圖 4.5 9
矽單位晶胞:FCC鑽石結構

圖 4.6 10
多晶與單晶結構

多晶結構 單晶結構

圖 4.7 11
單位晶胞的軸方向

0 Y
1

1
X
圖 4.8 12
Miller指數的晶格平面

Z Z Z

Y Y Y

X X X
(100) (110) (111)

圖 4.9 13
單晶矽成長
• CZ 方法
– CZ 晶體拉升器
– 摻雜
– 雜質控制
• 浮動區域方法
• 發展大直徑晶錠的理由

14
CZ晶體拉升器

晶體拉升器
晶種

單晶矽 熔解的多
晶矽

石英坩鍋 加熱擋板

碳加熱電熱絲
水保護罩

圖 4.10 15
CZ方法的矽晶碇成長

Photograph courtesy of Kayex Corp., 300 mm Si ingot 16


CZ晶體拉升器

Photograph courtesy of Kayex Corp., 300 mm Si crystal puller 17


矽中摻雜濃度的命名法

濃度(Atoms/cm3)
< 1014
材料 1014 to 1016 1016 to 1019 >1019
摻質 (非常輕微地
類型 (輕摻雜) (摻雜) (重摻雜)
摻雜)
5 價的元素 n n- n- n n+
3 價的元素 p p- p- p p+

表 4.2 18
浮動區域晶體成長
氣體入口 (鈍氣)

平盤

多晶柱 (矽)
熔解區

活動式
RF RF線圈

晶種
平盤
鈍氣出口

圖 4.11 19
晶圓直徑的趨勢

300 mm

200 mm

150 mm
125 mm
100 mm

75 mm

3″ 4″ 5″ 6″ 8″ 12″

圖 4.12 20
晶圓直徑與屬性

直徑 厚度 面積 重量
25 塊晶圓重 (lbs)
(mm) (μm) (cm2) (grams/lbs)
150 675 ± 20 176.71 28 / 0.06 1.5
200 725 ± 20 314.16 53.08 / 0.12 3
300 775 ± 20 706.86 127.64 / 0.28 7
400 825 ± 20 1256.64 241.56 / 0.53 13

表 4.3 21
在較大晶圓直徑裡,晶粒數會增加

88個晶粒
8" 晶圓
232個晶粒
12" 晶圓
表 4.13 22
300mm晶圓直徑和方向需要的發展規格
參數 單位 一般 一些典型誤差值
直徑 mm 300.00 ± 0.20
厚度 (中心點) μm 775 ± 25
彎曲 μm 100
9 點厚度變化 μm 10
缺口深度 mm 1.00 + 0.25, -0.00
缺口角度 度 90 +5, -1
背部表面拋光 蝕刻/研磨
邊緣輪廓表面拋光 研磨
FQA (品質固定區域-晶圓
mm 147
表面可允許的半徑範圍)

From H. Huff, R. Foodall, R. Nilson, and S. Griffiths, “Thermal Processing Issues for 300-mm Silicon Wafers:
Challenges and Opportunities,” ULSI Science and Technology (New Jersey: The Electrochemical Society, 1997), p. 139.

表 4.4 23
矽之晶體缺陷

晶體缺陷 (微缺陷) 是指任何妨礙單位晶胞


重複性地出現於晶體

晶體缺陷依其形式可區分為3大類:
1.點缺陷:原子級的局部缺陷。
2.差排:單位晶胞錯置。
3.整體缺陷:晶體結構之缺陷。

24
晶圓的良率

66 (可正常動作之晶片數目)
良率 = = 75%
88 (總生產晶片之數目)

圖 4.14 25
點缺陷

(a)空缺缺陷

(b)間隙缺陷 (c) Frenkel缺陷

Redrawn from Sorab K. Ghandi, VLSI Fabrication Principles: Silicon and


Gallium Arsenide, 2nd edition, New York, Wiley, 1994, page 23

圖 4.15 26
差排

圖 4.16 27
晶格滑動

(a) (b) (c)

Redrawn from Sorab K. Ghandi, VLSI Fabrication Principles: Silicon and


Gallium Arsenide, 2nd edition, New York, Wiley, 1994, page 49

圖 4.17 28
晶格雙面

X X’

Redrawn from Sorab K. Ghandi, VLSI Fabrication Principles: Silicon and


Gallium Arsenide, 2nd edition, New York, Wiley, 1994, page 55

圖 4.18 29
晶圓準備的基本製程步驟

晶圓研磨和邊
晶圓研磨和邊
晶體成長
晶體成長 緣磨光
緣磨光 清潔
清潔

整形
整形 蝕刻
蝕刻 檢驗
檢驗

晶圓切片
晶圓切片 拋光
拋光 封裝
封裝

圖 4.19 30
晶錠之直徑研磨
準備直徑研磨之晶錠

直徑研磨

平面研磨

圖 4.20 31
晶圓標示平面

P型 (111) P型 (100)

N型 (111) N型 (100)

圖 4.21 32
晶圓缺口和「雷射」切割

1234567890

缺口 切割的識別數字

圖 4.22 33
內部直徑鋸

內部直徑晶圓鋸

圖 4.23 34
拋光後的晶圓邊緣

圖 4.24 35
晶圓的化學蝕刻

圖 4.25 36
雙面晶圓的拋光

上面拋光墊

晶圓

研漿
下面拋光墊

圖 4.26 37
品質測量

• 物理性尺寸
• 平坦度
• 粗糙度
• 含氧量
• 晶體缺陷
• 微粒
• 本體電阻值

38
改進矽晶圓的規格
年 (臨界尺寸)
1995 1998 2000 2004
(0.35 μm) (0.25 μm) (0.18 μm) (0.13 μm)
晶圓直徑(mm) 200 200 300 300
局部區域平坦度 A (μm) 0.23 0.17 0.12 0.08
局部區域尺寸(mm x mm) (22 x 22) (26 x 32) 26 x 32 26 x 36
正面的粗糙度 B (RMS)C (nm) 0.2 0.15 0.1 0.1
氧含量(ppm)D ≤ 24 ± 2 ≤ 23 ± 2 ≤ 23 ± 1.5 ≤ 22 ± 1.5
本體微缺陷 E (defects/cm2) ≤ 5000 ≤ 1000 ≤ 500 ≤ 100
每單位面積微粒(#/cm2) 0.17 0.13 0.075 0.055
磊晶 F 厚度(± % uniformity) (μm) 3.0 (± 5%) 2.0 (± 3%) 1.4 (± 2%) 1.0 (± 2%)
Adapted from K. M. Kim, “Bigger and Better CZ Silicon Crystals,” Solid State Technology
(November 1996), p. 71.
A:平坦度定義為晶圓或是晶圓上某一區域之線性厚度差異。
B:參考粗糙度之內容。
C:RMS:均方根值,為晶圓表面完成後最佳的測量方法。計算方式是取其測量之平方
,平均後再取平方根值。晶圓表面完成後之測量為晶圓表面之最高及最低點。
D:ppm為百萬分之一。
E:本體微缺陷代表1cm2之所有缺陷數量。
F:參考磊晶層之敘述。 39
晶圓變形

正偏向 晶圓 負偏向
參考平面
真空平盤

圖 4.27 40
晶圓正面的平坦度

矽晶圓
表面

圖 4.28 41
磊晶矽層的形成

磊晶層

矽晶圓

單晶層

圖 4.29 42
半導體製造技術

第5章
半導體製造之化學特性
目的

研讀完本章內容後,你將可學習到:
1. 辨別與討論物質的4種狀態。
2. 敘述半導體製造的重要化學特性。
3. 說明晶圓廠內,多種不同的化學製程如何分類
與應用。
4. 解釋酸、鹼與溶劑如何應用於製造晶片。
5. 區分本體氣體與特殊氣體,並說明如何於晶圓
廠運送與使用這些氣體。

2
物質的物理狀態
+V

-V
固態 液態 氣態 電漿

圖 5.1 3
材料特性
• 溫度
• 壓力與體積
• 凝結
• 蒸汽壓
• 昇華與沈積
• 密度
• 表面張力
• 熱膨脹
• 應力
4
材料特性
• 溫度
• 壓力與體積
• 凝結
• 蒸汽壓
• 昇華與沈積
• 密度
• 表面張力
• 熱膨脹
• 應力
5
溫度尺度
華氏系統 攝氏系統 卡式系統
oF oC K
水的沸點 212 100 373

室溫 77 25 298
水的冰點 32 0 273

絕對零度 -459 -273 0


圖 5.2 6
材料特性
• 溫度
• 壓力與體積
• 凝結
• 蒸汽壓
• 昇華與沈積
• 密度
• 表面張力
• 熱膨脹
• 應力
7
容器壁的壓力
高溫 高壓
低溫 低壓
30 40

30 40 20 50

20 50
10 70
活塞移動
10 70 0 80

00 80

體積增大

圖 5.3 8
錶壓力(psig) 相對於絕對壓力(psia)

1大氣壓 1大氣壓

0 15
-5 5 10 20
真空 psi 真空 psi

-10 10 5 25

-15 psig 15 0 psia 30

錶壓力 絕對壓力

圖 5.4 9
於海平面23℃的壓力單位
壓力單位 海平面和23℃
錶壓力 0 psi
絕對壓力 14.7 psi
一大氣壓 14.7 psi
汞英吋 29.92 inches
汞毫米 760 mm
托爾 760 torr
毫托爾 760,000 mtorr
巴爾 1.013 bar
毫巴爾 1013 mbar
巴斯噶 101,325 pascal
表 5.1 10
材料特性
• 溫度
• 壓力與體積
• 凝結
• 蒸汽壓
• 昇華與沈積
• 密度
• 表面張力
• 熱膨脹
• 應力
11
在一大氣壓下的氣壓計
密封玻璃管

29.92 in.
(760 mm)

空氣壓
(14.7 psi, 760 torr)

圖 5.5 12
材料特性
• 溫度
• 壓力與體積
• 凝結
• 蒸汽壓
• 昇華與沈積
• 密度
• 表面張力
• 熱膨脹
• 應力
13
材料特性
• 溫度
• 壓力與體積
• 凝結
• 蒸汽壓
• 昇華與沈積
• 密度
• 表面張力
• 熱膨脹
• 應力
14
蒸汽壓

圖 5.6 15
材料特性
• 溫度
• 壓力與體積
• 凝結
• 蒸汽壓
• 昇華與沈積
• 密度
• 表面張力
• 熱膨脹
• 應力
16
昇華

乾冰 (CO2)

圖 5.7 17
沈積

在天氣結冰時
霧增加

水蒸氣 水結晶沈積在
窗戶玻璃外部 大氣窗

圖 5.8 18
材料特性
• 溫度
• 壓力與體積
• 凝結
• 蒸汽壓
• 昇華與沈積
• 密度
• 表面張力
• 熱膨脹
• 應力
19
物體密度

軟木塞 木材 金屬

圖 5.9 20
在標準溫度與壓力下,
一些常見物質的密度
物質 物理態 密度 (g/cm3)

氫 氣體 0.000089

氧 氣體 0.0014

水 液體 1.0

食用鹽 固體 2.16

矽 固體 2.33

鋁 固體 2.70

金 固體 19.3

表 5.2 21
材料特性
• 溫度
• 壓力與體積
• 凝結
• 蒸汽壓
• 昇華與沈積
• 密度
• 表面張力
• 熱膨脹
• 應力
22
液體在晶圓上的表面張力

低表面張力 高表面張力

圖 5.10 23
材料特性
• 溫度
• 壓力與體積
• 凝結
• 蒸汽壓
• 昇華與沈積
• 密度
• 表面張力
• 熱膨脹
• 應力
24
一受熱物質的熱膨脹

加熱時汞膨脹

溫度計

圖 5.11 25
材料特性
• 溫度
• 壓力與體積
• 凝結
• 蒸汽壓
• 昇華與沈積
• 密度
• 表面張力
• 熱膨脹
• 應力
26
兩材料間的CTE不匹配

沈積膜
基板 沈積物質和基板
(a) 的CTE相同

壓縮應力 高CTE的材料
低CTE的材料
(b)

伸張應 低CTE的材料
力 高CTE的材料
(c)

圖 5.12 27
化學製程
使用化學物質以進行半導體製造之典型製程,例如:

使用濕式化學溶液與超高潔淨水以清洗與製備晶圓
表面。
摻雜活性原子以獲得p型或n型矽。
沈積不同金屬材料之導電層與導電層間之介電層。
成長薄氧化層以應用於MOS結構之閘極介電層。
使用電漿與濕式化學物質進行選擇性蝕刻,蝕刻選
定之薄膜,以形成預定之圖案於薄層。

28
化學製程

液態


pH
溶劑
化學分配

29
在半導體製程中常被使用的酸性物質
酸 符號 使用例子*

氫氟酸 HF 蝕刻SiO2和清洗石英器皿。

HCl 濕式清洗化學藥品,它是標準潔淨溶液的成
氫氯酸
分,用於清除晶圓上的重金屬。

H2SO4 例如常應用於晶圓清洗的Piranha溶液。其
硫酸
成分為7份的硫酸加上3份濃度為30%的雙氧
水。
緩 衝 氧 化 層 蝕 刻 劑 HF和NH4F SiO2薄膜的蝕刻。
(BOE):氫氟酸和氟化銨
溶液
磷酸 H3PO4 氯化矽的蝕刻。

HF和HNO3 混合液中的HNO3 係用來蝕刻磷矽玻


硝酸
璃 (PSG)。

表 5.3 30
在半導體製程中常被使用的鹼

鹼 符號 使用例子

氫氧化鈉 NaOH 濕式蝕刻劑

氫氧化銨 NH4OH 清潔溶液

氫氧化鉀 KOH 正光阻顯影液

四甲基氫氧化銨 TMAH 正光阻顯影液

表 5.4 31
不同化學物質的pH值
pH 家庭常見化學物質
1 汽車電池的酸液(硫酸)
2
腐蝕性
3 檸檬汁、醋
4 蘇打水、酒
5 蕃茄汁、啤酒
6 尿
7 自來水、牛奶、唾液
8 血液、唾液
9 氧化鎂牛奶
10 洗潔劑
11 家庭氨水
12
13 家庭排出清潔劑
腐蝕性
14 鎳 ─ 鎘電池 (NaOH 鹼液)
圖 5.13 32
在半導體製程中常被使用的溶劑

溶劑 普通名 使用例子

DI水 DI WATER 廣泛地被用來沖洗晶圓和稀釋去污溶劑

異丙醇 IPA 一般用途的去污溶劑

三氯乙烯 TCE 使用於晶圓及一般去污用途的溶劑

丙酮 Acetone 一般用途的去污溶劑 (強於IPA)

二甲苯 Xylene 強的去污劑,亦能移除光阻邊緣的氣泡

表 5.5 33
本體化學分配

(Photo courtesy of Advanced Micro Devices)


照片 5.1 34
本體化學分配
裝置維修區 生產區
控制單位

製程
裝置

電控纜線
防止洩漏的雙 空氣排放
重牆管道系統 導管
化學藥品的 化學供應室 空氣供
分配中心 應導管
閥 過濾器
化學
r 圓筒
支撐槽

化學藥品控制 過濾器 墊高的具排氣孔之地板 幫浦


和洩漏探測 圖 5.14 35
化學製程

氣體
• 本體氣體
• 特殊氣體
− 氣體清除
− 氣體管路
− 氣體線路連接
− 氣體控制器
− 鋼瓶更換
• 特殊氣體之分類
36
本體氣體
氣體類 氣體 符號 使用例子

氮 N2 清除氣體管線和製程反應室裡的水氣和殘留氣
體,有時也當製程氣體使用在一些沈積過程中

鈍態 氬 Ar 在晶圓製程中被使用在製程反應室裡。

氦 He 製程反應室氣體被用於檢驗真空室的漏洞。

氫 H2 用於磊晶層製造的載氣,也用於氧化過程時,
還原
在爐管中和O2形成水氣。使用在許多晶圓製造
過程中。
氧化 氧 O2 製程反應室氣體。

表 5.6 37
本體氣體分配系統

(Photo courtesy of Air Products and Chemicals, Inc.)

照片 5.2 38
典型的特殊性氣體系統設計
清除氣 Venturi管
體入口 供應入口 出口

清除平板
CV VSV VP

PS

CV
CV CV
PS HPV LPV
PG1 PS
可撓性
管線 到氣體控制
F ESO HPI REG LPI EFS 平板設備
EFV
CV 整流閥 LPI 低壓隔離閥
EFS 過量開關 LPV 低壓排氣閥
EFV 過量閥 PGI 壓力氣體入口
ESO 緊急關閉閥 PS 壓力開關
F 過濾器 REG 壓力調節器
鋼瓶 HPI 高壓隔離閥 VP Venturi真空幫浦
HPV 高壓排氣閥
(Schematic used with permission from International SEMATECH)
圖 5.15 39
雙壁式管路

雙壁式管路
真空
製程氣體

(Used with permission from International SEMATECH)

圖 5.16 40
CGA氣體線路連接頭

(Used with permission from International SEMATECH)

圖 5.17 41
製程工具之氣體控制器
清除氣
出口 接至製程工具
體入口
CV 整流閥
CV CV 氣體基板 DIV 順流隔離閥
DSV 順流排氣閥
F 過濾器
(a) DSV MFC 質流控制器
PGI
PGI 淨化氣體入口閥
製程氣 PS 壓力開關
體入口 UIV 逆流隔離閥
CV F PS DIV MFC UIV F

DSV
CV
出口

(b) PGI
CV
清除氣體入口
製程氣體入口 接至製程工具
CV F PS DIV MFC UIV F

(a) Schematic used with permission from International SEMATECH, (b) Component diagram based
on Swagelok components, Swagelok Co. Catalog provided by Arthur Valve & Fitting Co., Austin, TX.

圖 5.18 42
特殊氣體鋼瓶

(Photo courtesy of Praxair Technology, Inc.)

照片 5.3 43
半導體製程常見之特殊氣體
氣體種類 氣體 符號 使用例子
矽甲烷 SiH4 沈積過程中矽的原料 (第11章)。
胂 (砷化三氫) AsH3 摻雜n型矽晶圓之砷的原料 (第17章)。
磷化氫 PH3 摻雜n型矽晶圓之磷的原料 (第17章)。
氫化物
硼乙烷 B2H6 摻雜p型矽晶圓之硼的原料 (第17章)。
正矽酸乙酯 Si(OC2H5) 沈積過程中SiO2的原料 (第11章)。
四氯化矽 SiCl4 沈積過程中矽的原料 (第11章)。
氯矽甲烷 SiH2Cl2 沈積過程中矽的原料 (第11章)。
三氟化氮 NF3 電漿蝕刻過程中氟離子的原料 (第16章)。
六氟化鎢 WF6 金屬沈積過程中鎢的原料 (第12章)。
氟化物化合物 四氟乙烷 C2F4 電漿蝕刻過程中氟離子的原料 (第16章)。
四氟化碳 CF4 電漿蝕刻過程中氟離子的原料 (第16章)。
四氟化矽 SiF4 沈積、植入、蝕刻過程中矽和氟離子的原料(第11、16、17章)

三氟化氯 ClF3 製程反應室的清潔氣體。
三氟化硼 BF3 摻雜p型矽晶圓之硼的原料 (第17章)。
酸性氣體 氯 Cl2 金屬蝕刻中氯的原料 (第16章)。
三氯化硼 BCl3 摻雜p型矽之硼的原料,以及蝕刻金屬時氯的原料 (第16、17章)。

氯化氫 HCl 製程反應室清潔氣體和污染物吸收劑。


氨 NH3 SiN3沈積中和SiH2Cl2一起使用的製程氣體(第11章)
其他氣體
氧化亞氮 N2O 用於和來自SiO2的矽的反應中氧的原料 (第11章)。
一氧化碳 CO 使用於蝕刻過程 (第16章)。
44
表 5.7
半導體製造技術

第6章
半導體製造廠之污染控制
目的
1. 描述無塵室之5種污染源形式,並討論每種污染源
衍生之問題。
2. 列出7項污染物之來源,並敘述其如何影響晶圓之
潔淨度。
3. 描述無塵室之潔淨等級。
4. 說明與討論無塵室內工作人員所需遵守的7項工作
程序。
5. 敘述無塵室內之過濾器、靜電放電、超純淨DI水
、製程氣體。
6. 說明近代工作站之設計且討論迷你環境如何改善
污染。
7. 敘述兩種標準濕式清潔液與其所去除之污染物,
討論取代濕式清潔法之可行方案。
8. 說明濕式清潔法所使用之設備與其作用。
2
晶圓污染物

表面污染物

嵌進的微粒

圖 6.1 3
晶圓廠的無塵室

(Photograph courtesy of Advanced Micro Devices, main fab corridor)

照片 6.1 4
無塵室之污染源

微粒
金屬雜質
有機污染物
原生氧化物
靜電放電 (ESD)

5
微粒的相對大小

雲微粒


原子 霧微粒

大氣灰塵

分子
淡煙 小石頭
灰塵

10-7 10-6 10-5 10-4 10-3 10-2 10-1 1 10 (mm)

圖 6.2 6
微粒造成的缺陷

(Micrograph courtesy of AMD, particle underneath photoresist pattern


照片 6.2 7
人類頭髮對0.18μm微粒的相對大小

人類頭髮的相對尺寸大約是
在IC裡最小特徵尺寸的500倍

最小IC特徵尺寸 = 0.18μm

線寬
~90 μm 接觸窗
間隙
90 μm
= 500
0.18 μm

一較大IC的一小部
人類頭髮的橫切面 分例子

圖 6.3 8
晶圓的每片晶圓通過增加之微粒數

製程工具

晶圓進入製程
工具前之原始 經由製程工具晶 晶圓通過製程工
微粒數 圓上之微粒增加 具後之微粒數

圖 6.4 9
典型的金屬雜質元素

重金屬 鹼金屬

鐵 (Fe) 鈉 (Na)

銅 (Cu) 鉀 (K)

鋁 (Al) 鋰 (Li)

鉻 (Cr)

鎢 (W)

鈦 (Ti)

表 6.1 10
移動性離子污染物改變臨界電壓
離子污染物改變電晶體之電特性

+ + +
+ + + + +
多晶矽
Polysilicon
+ + + + + +
+Vg
閘極氧化層
Gate oxide
+ + 電子傳導
-Vs 閘極 +Vd
++++++
源極 ++++++
++++++ 汲極
N+ N+

P + 矽基板

圖 6.5 11
原生氧化層

原生氧化層沿著接觸窗的底部排列,造成介
於鎢和摻雜矽區域之間不良的電接觸

層間 層間
介電層 介電層

接觸窗於沈積鎢層之 矽中主動區 鎢插塞 氧化層隔離


前,便已形成此原生氧 電性接觸
化層

圖 6.6 12
被帶電晶圓吸引的微粒

- - - - - - - - -
- - - - - - - - - - - - - -
- - - - - - - - - - - - - - -
- - - - - - - - - - - - - -
- - - - - - - - - - -

圖 6.7 13
污染的來源與控制
於晶圓廠內之7種污染來源如下:
空氣
人體
廠區

製程化學
製程氣體
生產設備

表 6.1 14
聯邦標準209E:空中傳播的微粒潔淨度等級

微粒/ft3
級數 0.1 μm 0.2 μm 0.3 μm 0.5 μm 5 μm
1 3.50 x 10 7.70 3.00 1.00

10 3.50 x 10 2 7.50 x 10 3.00 x 10 1.00 x 101

100 7.50 x 102 3.00 x 102 1.00 x 102

1,000 1.00 x 103 7.00

10,000 1.00 x 104 7.00 x 10

100,000 1.00 x 105 7.00 x 102

表 6.2 15
人活動所做出的微粒

微粒的平均數
微粒來源
每分鐘 > 0.3μm
靜止 (坐下或站立) 100,000

移動手臂、身驅、頸和頭 500,000

一小時走 2 英里 5,000,000

一小時 3.5 英里 7,500,000

最乾淨的皮膚 (每平方英尺) 10,000,000

表 6.3 16
穿著無塵衣之技術員
無塵室服裝系統必須發揮下
列各項指標功用:

9 服裝內之所有污染物必須完
全隔離於無塵室
9 不得釋放微粒
9 不得存在靜電荷
9 不得排放化學性或生物性碎

(Photo courtesy of International SEMATECH)

照片 6.3 17
適當的無塵室規定
應 做 不 應 做 為 什 麼 ?
僅 批 准 的 人 員 被 允 沒 有 適 當 的 訓 練 人 員 是 不 被 允 許 使 用 無 批 准 的 人 須 熟 悉 許 多
許 在 無 塵 室 裡 。 塵 室 。 無 塵 室 主 管 具 決 定 權 。 無 塵 室 操 作 的 嚴 格 要
求 限 制 。
僅 將 必 需 品 帶 入 無 不 准 攜 帶 化 妝 品 、 煙 草 產 品 、 手 帕 、 衛 排 除 污 染 物 的 來 源 。
塵 室 。 生 紙 、 食 物 、 飲 料 、 糖 果 、 木 製 / 機 械
的 鉛 筆 或 鋼 筆 、 香 水 、 古 龍 水 、 手 錶 、
珠 寶 、 卡 式 隨 身 聽 、 電 話 、 傳 呼 器 、 照
相 機 、 收 錄 音 機 、 橡 皮 糖 、 梳 子 、 髮
梳 、 紙 板 或 未 經 無 塵 室 允 許 的 紙 張 、 藍
圖 、 操 作 手 冊 或 指 導 印 刷 品 。
根 據 公 司 訓 練 所 規 在 無 塵 室 裡 不 允 許 露 出 便 服 。 恰 當 的 無 塵 室 批 准 的
定 模 式 的 長 袍 。 服 裝 使 此 產 品 避 免 與
人 類 連 繫 的 污 染 。
確 定 所 有 面 或 頭 部 不 要 暴 露 臉 部 或 頭 部 的 頭 髮 。 排 除 污 染 物 的 來 源 。
的 頭 髮 都 有 覆 蓋
到 。
遵 守 進 入 無 塵 室 之 進 入 無 塵 室 後 不 要 打 開 任 何 門 , 直 到 所 空 氣 浴 可 以 移 除 污 染
程 序 , 如 必 要 之 空 有 程 序 完 成 。 物 質 ; 但 由 於 具 有 傳
氣 浴 。 播 污 染 的 問 題 , 所 以
許 多 公 司 停 止 使 用 此
一 程 序 。
當 處 於 無 塵 室 時 , 當 在 無 塵 室 中 不 能 暴 露 任 何 便 服 , 不 允 排 除 污 染 物 的 來 源 。
無 塵 衣 需 一 直 保 持 許 你 皮 膚 的 任 何 一 部 分 觸 摸 無 塵 衣 的 外
閉 合 。 部 部 分 。
緩 慢 地 移 動 。 不 要 聚 集 或 快 速 移 動 。 這 會 破 壞 氣 流 模 式 。
18
控制消除無塵室內之微粒

下列3項方針應遵守,以控制消除無塵室
內之微粒:

1. 無塵室本體之微粒需消除。
2. 避免因設備、工具、人員與相關原料供應時,
將微粒引入無塵室內。
3. 即時監控無塵室內微粒數目,以維持潔淨度。

19
設備廠區

無塵室之規劃
氣流原則
空氣過濾
溫度與濕度
靜電放電

20
早期無塵室的規劃
維修通道走廊
Class 10,000

製造區
Class 10,000

主要製造的通道走廊
Class 10,000

製造區
Class 10,000

維修通道走廊
Class 10,000
圖 6.8 21
無塵室設計分布
維修通道走廊
Class 10,000

維修區
維修區
Class 1,000

Class 1,000
製造區 維修區 製造區 維修區 製造區
Class 1 Class 1,000 Class 1 Class 1,000 Class 1

主要的製造通道走廊
Class 1

維修區
維修區
Class 1,000

Class 1,000
製造區 維修區 製造區 維修區 製造區
Class 1 Class 1,000 Class 1 Class 1,000 Class 1

維修通道走廊
Class 10,000
圖 6.9 22
層流

HEPA
過濾器
空氣紊流
SMT

層流移除微粒污染
物且將其排除,但
機台影響正常層流
穿越地板排 狀態,導致紊流出
孔之層流 現

圖 6.10 23
晶圓廠空氣處理系統
排氣

通風機
供應空氣

維修區 製造區 維修區 製造區 維修區


Class 1,000 Class 1 Class 1,000 Class 1 Class 1,000

回流空氣 次廠區
其他水
電管路
及設備

圖 6.11 24
高效率微粒空氣過濾器

圖 6.12 25
以離子化空氣中和晶圓表面上的
靜電荷

高電壓供應之負
天花板過濾器 離子空氣淨化器
+
+
+ +
+
+ + + + 電暈放電
+
+
帶電晶圓
+

圖 6.13 26
晶圓廠的水

™DI水系統
™去離子
™DI水的過濾
™界達電位
™細菌控制

27
超純去離子水內不可包含的污染物

溶解之離子
有機材料
微粒
細菌
矽土
溶解之氧氣

28
水中的微粒大小

離子微粒 膠狀體 漂浮固體

病毒 細菌

熱源

膠狀矽石 酵母

黏土、泥沙

0.0001 0.001 0.01 0.1 1 10 100

微米

圖 6.14 29
去離子水的初級和精緻過濾循環
HCl pH調節裝置
逆滲透薄膜
匣式
過濾器
RO水
幫浦 碳過 貯存區
濾器
都市水

來自晶圓廠 混合層 陰離子 陽離子 紫外光


的回收水 交換 交換 交換 殺菌
初級過濾循環 微過濾器
(離子交換迴路)

混合層 深紫外
交換 線殺菌
最後
超過濾器 過濾器
DI水
貯存區
晶圓
精緻過濾循環 廠
DI水不斷的流動

圖 6.15 30
逆滲透過濾原理
生水加壓 外罩

純水
入口

中空纖維薄
膜過濾器

雜質排出口

微粒或有機雜質
圖 6.16 31
薄膜接觸式過濾器
氣體入口 加壓的
鈍態氣體
外罩
純水
氣體出口 水出口

疏水的中空纖維微多
孔性薄膜堵住水,但
水入口 允許較小氣體分子通
加壓的水+氣體 過

圖 6.17 32
採用界達電位原理的靜電過濾器
在過濾薄膜之負電荷
在過濾薄膜之正電荷

經過加壓的
含有微粒之水 入口
純水

圖 6.18 33
製程化學

過濾器種類
製程氣體
生產設備
– 微粒污染源
工作站設計
– 隔板安裝
– 晶圓操作
– 迷你環境

34
過濾器種類

1.微粒過濾器:如圖6.19之深處過濾器,可
過濾之微粒為1.5μm及以上。
2.微過濾器:薄膜過濾器可將液體中之微粒
移除,可過濾之微粒範圍為0.1至1.5μm。
3.超過濾器:加壓之薄膜式過濾器可阻絕之
微粒範圍為0.005至0.1μm。
4.逆滲透:又稱為超級過濾器,主要是以加
壓方式將溶液通過半滲透薄膜,可阻絕微
粒與金屬離子,所隔絕微粒之大小可至
0.005μm。
35
深處過濾器

遮幕

入口 出口

過濾工具
(Used with permission of International SEMATECH)

圖 6.19 36
薄膜過濾器

薄膜

入口 出口

密封墊圈

(Used with permission of International SEMATECH)

圖 6.20 37
生產設備之微粒污染源

ƒ 殘留於反應室內壁縫隙間之薄膜,其主要係
由反應過程所產生之副產物所構成。
ƒ 自動晶圓處理與傳送系統。
ƒ 機械操作如:偏移旋轉或閥之開、關。
ƒ 真空環境之抽氣與排氣。
ƒ 清潔與維護程序。

38
晶圓表面微粒和製程步驟的關係
清潔
清潔

清潔
微粒數量

清潔

製程步驟的次數
圖 6.21 39
隔板安裝
隔板
使用者介面
維修區
Class 1,000

晶圓匣裝/卸口
製程裝備

製造區
Class 1

圖 6.22 40
晶圓匣

圖 6.23 41
靜電晶圓平盤
矽厚板(接地)
+ - - +
+ +
- + -
+ -
-
在製程反應室之離子和電子
+ + + + + + 晶圓
(正電荷)

------------------
介電物質 金屬平盤 排氣
- DC 偏壓 (負電荷)

(Used with permission from Applied Materials, Inc.)


圖 6.24 42
微環境定理

HEPA過濾器

迷你環境
反應室 Class 1
製造區
Class 1,000
製程
設備

裝/卸載 SMIF晶圓盒(Class 1 或更好)


介面
圖 6.25 43
隔板安裝之SMIF晶圓盒

(Photograph courtesy of Applied Materials)


照片 6.4 44
晶圓的濕式清潔法

濕式清潔法概要
濕式清潔法設備
其他之清潔法

45
晶圓濕式清潔法
• RCA 清潔
– 標準清潔液 1 (SC-1)
– 標準清潔液 2 (SC-2)
• 改良式 RCA清潔
– Piranha混合溶液
– 最後步驟的氫氟酸
– 化學氣相清潔
• 晶圓清潔步驟

46
晶圓濕式清潔的化學藥品
化學溶液的混合種類
污染物 名稱 化學式
(所有潔淨完後用 DI 水沖洗)
Piranha 硫酸/過氧化氫/DI 水
H2SO4/H2O2/H2O
(SPM)
微粒
SC-1 氫氧化銨/過氧化氫/DI 水 NH4OH/H2O2/H2
(APM) O
SC-1 氫氧化銨/過氧化氫/DI 水 NH4OH/H2O2/H2
有機物
(APM) O
SC-2 氯化氫/過氧化氫/DI 水
HCl/H2O2/H2O
(HPM)
金屬(非銅) Piranha 硫酸/過氧化氫/DI 水
H2SO4/H2O2
(SPM)
DHF 氫氧酸/水溶液 (不能移除銅) HF/H2O
DHF 氫氟酸/水溶液 (不能移除銅) HF/H2O
原生氧化物
BHF 稀釋的氫氟酸 NH4F/HF/H2O

表 6.5 47
在SC-1中微粒的氧化作用與溶解度

H2O2 SC-1

(1)微粒附在矽上 (2)使用H2O2以將矽 (3)微粒溶解在


氧化,可移除微粒 SC-1溶液

圖 6.26 48
透過負電荷排斥作用去除微粒

OH-

(1)微粒附在矽上 (2)來自NH4OH的 (3)HO− 增加晶圓表面上


HO− 蝕刻表面 負電性,以排除帶負
電之微粒

圖 6.27 49
典型的晶片濕式清潔順序
清潔步驟 目的 (欲清除之物質)
H2SO4/H2O2 (Piranha) 有機物和金屬
UPW 沖洗 (極純淨水) 沖洗
HF/H2O (HF 稀釋劑) 原生氧化物
UPW 沖洗 沖洗
NH4OH/H2O2/H2O (SC-1) 微粒
UPW 沖洗 沖洗
HF/H2O 原生氧化物
UPW 沖洗 沖洗
HCl/H2O2 (SC-2) 金屬
UPW 沖洗 沖洗
HF/H2O 原生氧化物
UPW 沖洗 沖洗
乾燥 乾燥
表 6.6 50
濕式清潔法設備
• 超高頻音波
• 噴灑式清潔
• 刷洗
• 晶圓沖洗
– 溢流沖洗
– 傾倒沖洗
– 噴灑式沖洗
– 熱DI水沖洗
• 晶圓乾燥
– 旋轉乾燥器
– IPA氣相乾燥
51
超高頻音波清潔

傳送能量的溶液

音波能量
超高頻音波
變換器

電子控制模組

圖 6.28 52
用於晶片清洗的噴灑工具
噴灑噴嘴

旋轉軸

固定的外罩

圖 6.29 53
晶圓刷洗

噴灑噴嘴
旋轉刷洗

使用清洗溶液
或是DI水沖洗

移動方向

圖 6.30 54
溢流沖洗
具有氮氣氣泡的
二階段的溢流
單一階段溢流
溢流

DI水 DI水
氮氣
洩漏閥 洩漏閥

圖 6.31 55
傾倒沖洗
放置於晶圓晶舟的晶圓

噴灑水入口

排水口

填充水入口

洩漏閥

圖 6.32 56
其他之清潔法

• 乾式清潔
– 以電漿為基礎之乾式清潔
• 螯合劑
• 臭氧
• 低溫噴霧清潔

57
半導體製造技術

第7章
量測與缺陷
目的

研讀本章內容後,你將可學習到:

1. 解釋為何要量測IC,並討論相關的儀器設
備、良率與資料收集。
2. 說明晶圓製作時的12項品質量測,並指出
相關之製造步驟。
3. 敘述品質量測之測量技術與儀器。
4. 列出7種適合於IC之分析儀器。

2
IC 量測

‰量測設備
‰良率
‰資料管理

3
未圖案化表面檢查系統

(Photo courtesy of KLA-Tencor Corporation)


照片 7.1 4
監控晶片 vs. 圖案化晶片

監控晶片 圖案化晶片

圖 7.1 5
量測工具的分類
獨立儀器
離線 只容許於實驗室外。

在線 容許於實驗室內。

線上 使用於生產過程中
整合儀器

容許於製程工作站量測圖案化晶片,
上線
但不能量測製程中的晶片。

現場 在過程中量測晶片、製程或儀器。

表 7.1 6
製造區域中晶片製造的品質檢驗
光學
植入 擴散 薄膜 研磨 蝕刻
品質檢驗 顯影
金屬 介電層
1 薄膜厚度 √ √ √ √ √ √
2 片電阻 √ √ √
3 薄膜應力 √ √ √
4 折射率 √ √
5 摻質濃度 √ √
6 未圖案化的表面缺陷 √ √ √ √ √ √
7 圖案化的表面缺陷 √ √
8 臨界尺寸 √ √
9 階梯覆蓋 √
10 重疊對準 √
11 電容-電壓 √
12 接觸角度 √

擴散區製程包括:氧化、沈積、擴散、回火、合金。

表 7.2 7
薄膜厚度
• 電阻率與片電阻
– 四點探針
• 片電阻(不透明材質)
– 范德波
– 輪廓圖
• 橢圓偏光儀(透明薄膜)
• 反射光譜儀
• X射線薄膜厚度
• 光聲技術

8
正方形薄膜
ρ(l)
R= (Ω )
a
w

橫截面
面積 = 寬度(w) × 長度(l)

圖 7.2 9
四點探針
固定電流源 R
I
V 伏特計
ρs = x 2πs ( Ω-cm) V
I

晶圓
圖 7.3 10
范德波片電阻率
I

(b)
V 探針接觸點
(a)
導電材料

(c) (d)

圖 7.4 11
片電阻輪廓圖

均值線

圖 7.5 12
橢圓偏光儀的基本原理

雷射 檢測器
過濾器 θ
極化 分析儀
¼ 波板

所量測的薄膜

圖 7.6 13
薄膜層的光反射

空氣
氧化層 t

圖 7.7 14
XRF的薄膜厚度量測

檢測器 檢測器
X射線入射
X射線螢光
X射線螢光
X射線入射
散射的X射線 散射的X射線

X射線螢光法 全反射式X射線螢光法

圖 7.8 15
光聲薄膜厚度量測
低的輸出量 微小的輸出量
入射雷射光束
光學 檢波雷射光束
撞擊 檢測器
音波
(a) (b)

微小的輸出量 高的輸出量
檢波雷射光束 檢波雷射光束
表面反射率改變
Echo 1 Echo 1
(c) (d) Echo 2

Redrawn from Solid State Technology, (June 1997), p. 86.


圖 7.9 16
晶片的詳細應力圖

圖 7.10 17
折射圖
sinθ i
• 折射率,n =
• 例如: sinθ r
-空氣 = 1.00
-SiO2 = 1.46
-鑽石 = 2.12

快速介質 空氣 (n ≅ 1.0) 低速介質 SiO2 (n = 1.46)

玻璃 (n = 1.5) 低速介質 空氣 (n ≅ 1.0) 快速介質


θ θ

圖 7.11 18
pn接面

p− n+ n+ p−

n+ n+
p−

--- pn 接面

圖 7.12 19
量測摻質濃度的熱波系統
熱波訊號
檢測器

雷射入射
探測雷射 (Ar)
(HeNe)
雙向鏡

雙向鏡
晶片

X-Y層

圖 7.13 20
散布電阻探針 (SRP)
ρ
Rsp = (Ω )
4r

探針 R
Rspsp

量測方向 平板
t
斜面 r
ES
基板

圖 7.14 21
表面缺陷

• 未圖案化表面之缺陷
– 光學顯微鏡
• 光學系統
– 光散射缺陷偵測
• 每片晶圓通過製程之微粒數
• 圖案化表面缺陷
– 圖案化晶圓之光散射

22
暗場及亮場偵測
亮場影像 暗場影像

目視之影像 目視之影像

雙向鏡

光源 表面缺陷
造成光反射

透鏡 透鏡

圖 7.15 23
晶片檢驗系統

(Photo courtesy of Inspex)


照片 7.2 24
光學系統圖解
相位和強度檢測
相位和強度檢測
光檢驗陣列
資料產生、處理、顯
資料產生、處理、顯
示且與工廠管理軟體
分裂鏡 示且與工廠管理軟體
經由網路連結
經由網路連結
攝影機 透鏡
陰極射線管
光源
觀察影像
物鏡組件

試片座 三軸副層

固定座

圖 7.16 25
共焦顯微鏡的原理
檢測器
針孔

光束分離
雷射

針孔

晶片沿著Z軸上下移動
+Z
聚焦中心 0
-Z

圖 7.17 26
利用光散射偵測微粒

光檢測器 反射光 檢測散射光


入射光
光束掃瞄
微粒

晶圓移動方向 散射光束

圖 7.18 27
微粒分布圖

圖 7.19 28
其他量測設備

• 臨界尺寸(CD)
– 掃描式電子顯微鏡(SEM)
– CD SEM
• 階梯覆蓋
• 重疊對準
• 電容-電壓測試
• 接觸角度

29
CD-SEM的簡略概要圖
燈絲 (電子發射器)

電子束 電子萃取器
陽極
聚光透鏡 Y掃描

Y掃描訊號 掃描磁鏡

X掃描
X掃描訊號 Z軸訊號
陰極射線管
待測物 檢測器
試片座
圖 7.20 30
臨界尺寸-掃描式電子顯微鏡

(Photo courtesy of KLA-Tencor)


照片 7.3 31
階梯覆蓋
順形階梯覆蓋

非順形階梯覆蓋
(孔洞)

圖 7.21 32
表面輪廓

+5V
近接式感應器 陰極射線管
放大器
ΔI
尖針
-5V +24 V
晶片表面 尖針移動
控制
X-Y Stage 線性驅 電子
動單元
掃描方向

圖 7.22 33
重疊對準圖示

Y1
Y1

X1 X2
X1 X2

Y2 Y2

理想的重疊對準 非理想的重疊對準
X1 = X2, Y1 = Y2 X 1 > X2 , Y 1 > Y2

圖 7.23 34
閘極區之兩電容器的MOS模型

介電層 導體 閘極
多晶矽(摻雜)
閘極 SiO2
源極 汲極 Si(摻雜)
氧化物
P+
基板
P+ P+

源極-汲極 p型矽基板

接地

圖 7.24 35
C-V測試設定和略圖

(1次增加1V) 氧化物 金屬 量測每個偏


範圍從−5V至5V 壓值之電容

n型矽
電源 電容
供應器 測量器
金屬

圖 7.25 36
n型矽的電容vs.電壓
Cmax
n型矽之C-V點
電容

Cmax

0
-5 -4 -3 -2 -1 0 +1 +2 +3 +4 +5
偏壓
圖 7.26 37
C-V測試裡的離子電荷收集

氧化物 Al
+ + + + + + + + + +
+ + + + + + + + + + +

N-type silicon 溫度大約為


電源供應器 Metal 200 − 300℃

於C -V測試中,將帶正電的離子驅趕至氧化物/矽之介面

圖 7.27 38
n型矽的電壓改變
Cmax n型矽之C-V點

電容

ΔV

Cmin
0
-5 -4 -3 -2 -1 0 +1 +2 +3 +4 +5
偏壓
圖 7.28 39
接觸角度

滴狀液體
接觸角度

基板

圖 7.29 40
分析儀器

• 二次離子質譜儀 (SIMS)
• 飛行式二次離子質譜儀 (TOF-SIMS)
• 原子力顯微鏡 (AFM)
• Auger電子光譜儀 (AES)
• X射線電子光光譜儀 (XPS)
• 穿透式電子顯微鏡 (TEM)
• 能量與波長分散光譜儀 (EDX和WDX)
• 聚焦離子束 (FIB)

41
分析儀器的相對重要性

年份 製造的重要
1950s 1960s 1970s 1980s 1990s 2000s 2010s 性
AES 發展工業 適合的
AFM 研究、發展 適合的
研究、發展、製
FIB 關鍵的

SEM 研究、發展、製造 關鍵的
SIMS 研究、發展、製造 關鍵的
TEM 研究、發展、製造 關鍵的
研究、發
TOF SIMS 適合的
展、製造
XPS 發展 適合的

圖 7.30 42
表面物質的離子束濺擊

離子束

濺擊出原子和分子

圖 7.31 43
雙重電漿式之離子生產
氣體入口(如Ar)
真空反應室 燈絲接頭
鎢燈絲
電磁鐵
+
+ +
+ + +
+ +
+ ++
陽極
離子生產源組件 + +
+ ++ +
+ + +
+ ++
+ + 萃取電極
+++ +
+ +
+ + +
++ +
+
+
+ ++

離子束(Ar+)
圖 7.32 44
TOF-SIMS質譜儀規則

電壓 路徑長,L
樣本 檢測器
±q
m v

簾柵極

圖 7.33 45
原子力顯微鏡概要圖
Y
偏斜感應器
壓電驅動
單元
懸臂樑 X
Z
懸臂偏角

雷射

表面原子

圖 7.34 46
Auger電子光譜儀

以電子束射向待測樣本,樣本表面可激
發且射出Auger電子 (Auger electron)
此項技術對樣本表面處非常敏感,量測
深度約10到50Å。
不同元素所發射的Auger電子,其能量亦
不相同。

47
XPS量測概要圖

X射線來源

電子檢測和分析
樣本

圖 7.35 48
TEM概要圖
電子槍
水、氮氣 陽極
聚光透鏡
X射線檢測器 試片基座
物鏡孔
縫隙 } 透鏡 陰極射線管
樣本影像顯示 CCD攝影機

螢光幕

檢測器 能量散失光譜儀

圖 7.36 49
半導體製程中的TEM應用實例

TEM測量

矽材料 在矽淺接面的差排和堆疊錯誤密度

圖案化 在多晶矽和金屬結構中精確的側壁輪廓

金屬化 金屬矽化物和合金的特性

植入 因離子植入所造成晶圓表面及內部損壞

污染物 接面處的有機和氧化薄膜

表 7.3 50
能量分散光譜儀 Si
矽檢測器 P

窗 H.V.偏壓 能量
放大器

電子-電洞對 矽晶體 矽

光電子路徑 X射線
Si

薄鈹窗
接至放大器

圖 7.37 51
聚焦離子束研磨
FIB
光束 FIB束研磨之樣本

樣本

TEM
簾柵極 光束

圖 7.38 52
半導體製造技術

第8章
製程反應室之氣體控制
目的
研讀本章內容後,你將可學習到:
1.解釋為何製作半導體之過程需要於反應室進行。
2.敘述真空環境之優點、真空範圍與其相關的幫浦

3.說明真空反應室之氣體流動特性與其控制方法。
4.說明殘留氣體分析器(RGA)與其應用在反應室之
優點。
5.討論電漿與其產生過程。
6.敘述製程反應室之污染效應與其防制之道。

2
製程反應室的功能

控制製程反應室之化學氣體流入,並使
化學反應儘量靠近晶圓。
維持真空環境內規定的壓力。
移除水分、空氣與反應的副產物。
產生化學反應所需的電漿。
以加熱與冷卻方式控制晶圓的溫度。

3
1960年前期的真空鐘形罩
鐘形罩

氣體分子

排出

隔離閥

真空幫浦

圖 8.1 4
整合群集工具

製程反應室
晶片操作裝置

冷卻室

晶片定位

裝/卸載站

(Used with permission from Applied Materials, Inc.)


圖 8.2 5
真空

™真空的優點
™真空範圍
™平均自由路徑

6
半導體製程中真空的優點
真空環境 優點
1. 建立清潔環境 移除微粒、無用的氣體、水氣和污染
物。
2. 低分子密度 減少系統中的分子數以減低污染,且排
除氣體。
3. 延伸分子碰撞距離 提供必要條件以建立電漿環境,主要用
(平均自由路徑) 於半導體製程中的濺鍍和蝕刻。
4. 加速反應 降低材料的蒸氣壓,使材料與其他化學
物質容易反應,以提高製程速率。
5. 產生力量 運用壓力差之原理,可產生力量,例如
應用於晶圓操作裝置之機械臂。

表 8.1 7
真空範圍
真空範圍 (torr)
晶圓廠製程 低度 中度 高度 超高度 章節
759 - 100 100 - 10-3 10 -3 - 10-6 10-6 - 10-9
晶圓處理氣
氧化物 10
體工具
真空平盤
黃光 13 - 15
晶片處理
晶片處理
研磨 18
研漿去除
以電漿技術剝離光
蝕刻 16
電漿蝕刻
批式沈積工具
沈積 11
單晶圓沈積工具
金屬蒸鍍器
金屬化 12
金屬濺鍍工具
批式離子植入
離子植入 17
單晶圓離子植入
晶片檢測工具 (品質檢查和診斷)
量測 7
分析工具 (研究和錯誤分析)

表 8.2 8
平均自由路徑及分子密度與壓力之關係

760 torr (氣壓) 1 × 10−3 torr 1 × 10−9 torr


3 × 109 4 × 1013 4 × 107
分子密度
(30 百萬兆) (40 兆) (40 百萬)
平均自由路徑 2 × 10−6 吋 2吋 30 哩

表 8.3 9
真空幫浦

粗抽幫浦
-乾式機械幫浦
-鼓風/推進幫浦
高真空度幫浦
-渦輪分子幫浦
-低溫幫浦
整合設備之真空考慮

10
粗抽幫浦排出自高真空幫浦送來的氣體

製程反應室

高真空閥

高真空幫浦
粗抽幫浦

圖 8.3 11
旋轉爪形乾式機械幫浦
外罩定子 轉子 進氣口

排氣口

壓縮氣體

(a) (b)

(c)
Used with permission from International SEMATECH
圖 8.4 12
Roots鼓風幫浦

幫浦進氣口,連接製程室
葉形輪反向旋轉,以
將氣體往出氣口排除

幫浦排氣口,連接粗抽幫浦

圖 8.5 13
渦輪幫浦葉片
進氣口

轉子
定子

連結至幫浦
外罩之定子

定子 轉子

馬達

排氣口

(Used with permission from Varian Vacuum Systems)


圖 8.6 14
低溫幫浦壓縮機和幫浦組件

進氣口連接至製程室
低溫幫浦外罩

排氣口連接至粗抽幫浦 壓縮機

冷卻部

氣體管路

(Used with permission from Varian Vacuum systems)

圖 8.7 15
幫浦組件的低溫陣列圖
第一層低溫陣列

水蒸氣
第二層低溫陣列 N2, O2, Ar
H2, He, Ne

(Used with permission from Varian Vacuum systems)


圖 8.8 16
真空環境下群集工具配置圖
晶圓傳送反應室
y中度真空
蝕刻製程反應室 y機械粗抽幫浦
y 機械幫浦當作前級 晶圓定向
幫浦,再以渦輪幫 y中度真空
浦抽氣
晶圓匣裝/卸區
y大氣壓~中度真空

圖 8.9 17
製程反應室內氣體流動
製程反應室內氣體流動之基本條件為:
具備控制多種氣體 (包括本體氣體與特殊氣體
) 之能力,因為這些氣體或許具備腐蝕性或毒
性。
精準且可重複的控制氣體流動。
製程進行中,能夠控制氣體之混和組成比例。
製程所選用的通入氣體不會改變製程反應室內
之材料,且不會增加污染物於氣體。

18
熱質流控制器
測量和控制
電子

加熱線圈
感應線圈 閥
測量管

氣流

旁通管
(Used with permission from International SEMATECH)

圖 8.10 19
質流控制器

(Photo courtesy of MKS Instruments, Inc.)


照片 8.1 20
殘留氣體分析器的基本組件
RGA感應器前端

游離器 孔徑 四極質量分析器 檢測器

-V +V -V

- + + -V
- - - - + +
- - -
-
-
- +
+ + + +
- - - + + + -V
+ -
-
-
-
-V +V

熱燈絲產生 在製程反應室 受到一個大 分別具有不同 根據所量測之


高能電子。 內,電子與原 的負電壓作 DC及RF之4個 特定離子電流
子碰撞並形成 用,正離子 圓柱棒,依據 強度,檢測器
離子。 快速移動。 不同之質量電 將此訊號轉換
荷比以過濾離 為質譜圖。
圖 8.11 子。 21
四極質量過濾器

選擇特定的
質量-電荷
正離子來作
樣本

駁回的正離子

圖 8.12 22
RGA資料輸出

RGA
製程反應室
7 8 9 0

Relative Ion Signal Intensity


100 H2O
4 5 6 C

感應器 1 2 3 B
N
50
N2
CO2
O2
Ne
0
14 16 18 22 28 32 44 58
Mass Number

高真空度幫浦

圖 8.13 23
電漿

離子形成
輝光放電
原子團
RF能量

24
離子的形成

當原子的質子數與電子數 當原子的質子數與電子數
相同時,稱為中性原子 不同時,稱為離子

內層電子 最多可擁有8個 脫離原子束 當原子失去電子時,


電子的價電殼 縛之電子 即形成正離子

價電子 位於原子核的
質子
F +9 F +9

具有7個價電子的氯原子 失去一個電子的氟原子

圖 8.14 25
電漿輝光放電

電極

電漿
真空
反應室

匹配單元
RF產生器

高真空幫浦

圖 8.15 26
電激發態和衰減態原子

中性原子之電子激發至高能量軌道 高能電子掉到價電殼時,放出光子

高能量層 λ
價電殼 電子激發到
高能量層 由高能階掉
價電殼 到低能階時
內層 ,電子可輻
射光子

F +9 F +9

氟原子 氟原子

圖 8.16 27
分子游離
高能電子和分子碰撞 碰撞導致分子解離

氫 氫
碳 碳

電子 氟 氟 氟 氟

氟 氟
高能量電子 CHF3分子 CHF2原子團 氟 (中性)

圖 8.17 28
典型形成電漿之電極結構

電極

反應室壁 電漿 暗區

電極

+ 電漿電位

0V 0V
_ 暗區
電極 電極
(Used with permission from International SEMATECH)
圖 8.18 29
製程反應室之污染

維修設備時使污染降至最低之建議
1. 放置儀器的無塵室,需維持良好之溫度及濕度控制。
2. 控制儀器的幫浦和排氣週期,以減少擾動及防止在晶
片製程時產生徵粒。
3. 避免有磨蝕作用的清潔材料。
4. 使用正確的更換零件和材料,避免敏感的儀器污染
源。
5. 處理氣體的組件儘量選擇微粒產生數較低者,特別是
調節器及自動閥。

表 8.4 30
半導體製造技術

第9章
IC 製造概述
IC製造概述
目的

研讀本章內容後,你將可學習到:
1.畫出一般典型次微米CMOS IC製造之流程圖。
2.對晶圓製造6個主要區域及分類/測試區域有
深入了解。
3.能說出CMOS最重要的14個製程步驟。
4.了解每一CMOS製程步驟中關鍵性過程及設備

2
MOS製程流程之主要製造步驟
UV光

光罩

曝光之
曝光之
二氧化矽
二氧化矽 光阻
光阻 光阻
光阻 氧化層
氧化層
矽基板
氧化 光阻旋塗 光罩 ⎯ 晶圓 曝光之光阻 光阻顯影
(場氧化層) 對準與曝光

RR
RFFF
RF
RF

P功

ow
功率


率e

r
摻雜氣體 離子化CCl4氣體
氧離子化氣體
離子化CF4氣體 矽烷氣體


光阻
光阻


氧化層
氧化層 氧化物
氧化物



氧化層
氧化層 多晶矽


多晶矽


閘極氧化層


閘極氧化層




Oxide 光阻線條 氧化 多晶矽沈積 多晶矽
Etch (閘極氧化層) 光罩及蝕刻

離子束掃瞄
接觸窗 金屬接觸
氮化矽
氮化矽
t
sis

上層氮化矽
re

G
ox
S D S
G
D S
G
D S G
G D drain
S
G
G
D

離子植入 主動區域 氮化矽 接觸窗 金屬沈積


沈積 蝕刻 及蝕刻
Used with permission from Advanced Micro Devices

圖 9.1 3
CMOS製造流程

• 晶圓廠製造區域
– 擴散
– 微影
– 蝕刻
– 離子植入
– 薄膜
– 研磨
• CMOS製造步驟
• 參數測量

4
在次微米CMOS IC製造廠中,
典型的晶圓製造流程模型

晶圓製造 (前段)
晶圓製造開始
薄膜 研磨
未圖案化晶圓

晶圓製造完成 擴散 黃光 蝕刻

測試/分類 植入

Used with permission from Advanced Micro Devices

圖 9.2 5
高溫爐管之簡單構造圖
溫度控制器 熱電偶測量 氣體流量
氣體流量
控制器
控制器 製程氣體

石英管

加熱器1
溫度設定之電壓
加熱器2

加熱器3 三區段加熱線圈

排出
壓力
壓力
控制器
控制器

圖 9.3 6
次微米廠之微影工作站

(Photo courtesy of Advanced Micro Devices)

圖 9.1 7
光學微影製程模組之簡圖

晶圓步進機
氣相 光阻 (對準/曝光系統)
邊緣珠
負載室 塗底 旋塗 顯影 滴去除 轉移站

晶圓匣 晶圓轉移系統

軟烤 冷平板 冷平板 硬烤

圖 9.4 8
電漿乾蝕刻機台之簡圖

氣體分佈阻擋 蝕刻氣體進入 高頻能量


陽極 RF管
電磁場 光子
λ 電弧放電 (電漿)
自由電子 e- e-
真空閥
離子鞘 e- 晶圓
陰極
反應室壁 + R
副生成物及
製程氣體流
正離子 放射性
化學物質 真空幫
真空線 浦排出

圖 9.5 9
離子植入機之簡圖

氣體櫃
離子源
燈絲 質量分辨縫
電漿
加速管
萃取總成
束線管
分析磁鐵
離子束
製程反應室
輕離子

重離子
旋轉
石墨 掃描盤

圖 9.6 10
次微米晶圓製造研磨區

(Photo courtesy of Advanced Micro Devices)


照片 9.2 11
CVD組合機台及製程反應室之簡易圖

氣體進入

反應室 電容感應RF進入

化學氣相沈積 晶圓
支撐座

抽出

加熱燈管

CVD組合機台

圖 9.7 12
次微米晶圓製造研磨區

Photo courtesy of Advanced Micro Devices

照片 9.3 13
CMOS的製造步驟分述如下:
14
Passivation layer
1. 雙井製作 Bonding pad metal
ILD-6
2. 淺溝渠隔離之製程
3. 多晶矽閘極結構之製程 M-4
ILD-5
13
4. 輕摻雜汲極(LDD)植入製程 ILD-4

5. 側壁間隙壁之形成 M-3

ILD-3
6. 源/汲極離子植入製程 12
M-2
7. 接觸形成 11 ILD-2

8. 區域內連線製程 M-1 10
Via
ILD-1
9. 介質孔-1及插塞-1之形成 9
Poly gate
5
10. 金屬-1內連線之形成 8 LI metal
3
LI oxide

n+ 2 p+ p+ STI n+ n+ p+
11. 介質孔-2及插塞-2之形成 4 6
7 n-well p-well
12. 金屬-2內連線之形成 1
p- Epitaxial layer
13. 金屬-3至墊蝕刻及合金
p+ Silicon substrate
14. 參數測量
14
n井之形成

磷植入

薄膜 研磨 光阻

擴散 黃光 蝕刻 氧化層 n井

植入 P +磊晶層
P +矽晶板 (直徑 = 200mm, ~2mm厚)

圖 9.8 15
p井之形成

硼植入

薄膜 研磨 光阻

擴散 黃光 蝕刻 氧化層 n井
p井

植入 p−磊晶層
P +矽基板

圖 9.9 16
STI溝渠蝕刻

磊晶層中選擇性開口隔離區域

離子
薄膜 研磨 光阻
氮化層
擴散 黃光 蝕刻 氧化層
n井 p井
STI溝渠 P−磊晶層
植入
P + 矽基板

圖 9.10 17
STI氧化物充填

在溝渠上以化學氣相沈積充填氧化物

薄膜 研磨 溝渠CVD氧化物
氮化物
擴散 黃光 蝕刻 n井 p井

內側氧化層 p−磊晶層
植入
P + 矽基板

圖 9.11 18
STI形成

以化學機械研磨平坦化

薄膜 研磨 研磨後之STI氧化層
去除氮化層

擴散 黃光 蝕刻 n井 p井
內側氧化層
植入 p−磊晶層
p+矽基板

圖 9.12 19
多晶矽閘極結構製程

光阻
多晶矽閘極蝕刻
多晶矽沈積
薄膜 研磨
閘極氧化層

擴散 黃光 蝕刻 n井 p井

植入 p−磊晶層
p+矽基板

圖 9.13 20
n− LDD植入

砷n− LDD植入

薄膜 研磨 光阻罩幕

擴散 黃光 蝕刻
n井 p井

植入 p−磊晶層
p+矽基板

圖 9.14 21
p− LDD植入

BF2 p− LDD植入

薄膜 研磨 光阻罩幕

擴散 黃光 蝕刻
n井 p井

植入 p−磊晶層
p+矽基板

圖 9.15 22
側壁間隙壁之形成

藉由異向性電漿蝕刻機將間隙壁回蝕

薄膜 研磨 間隙壁氧化層 側壁間隙壁

擴散 黃光 蝕刻
n井 p井

植入 p−磊晶層
p+矽基板

圖 9.16 23
n+ 源/汲區域離子植入

砷n+源/汲極植入

薄膜 研磨 光阻罩幕

擴散 黃光 蝕刻
n井 P井

植入 p−磊晶層
p+矽基板

圖 9.17 24
P+ S/D植入

硼p+ S/D擴散

薄膜 研磨 光阻罩幕

擴散 黃光 蝕刻
n井 p井

植入 p−磊晶層
p+矽基板

圖 9.18 25
接觸形成

鈦沈積

薄膜 研磨 鈦蝕刻 鈦接觸形成 (回火)

擴散 黃光 蝕刻
n井 p井

植入 p−磊晶層
p+矽基板

圖 9.19 26
LI氧化物對於鑲嵌LI金屬為一介電質

LI metal
LI金屬

LILI氧化物
oxide

圖 9.20 27
LI氧化層介電質之形成

摻雜氧化層CVD
2 Doped oxide CVD

3
2 Thin
1 Nitride
SiNCVD
3 CVD 氧化層研磨
3 Oxide polish 4 LILI氧化層蝕刻
oxide etch
1
薄膜
Films 研磨
Polish
LI氧化物
LI oxide
4
擴散
Diffusion 黃光
Photo 蝕刻
Etch
n井
p-well p井
p-well

−磊晶層
p-pEpitaxial layer
植入
Implant

p+ p +矽基板
Silicon substrate

圖 9.21 28
LI Metal Formation

Ti/TiN 鎢沈積
沈積 LI鎢研磨
薄膜 研磨
LI氧化物

擴散 黃光 蝕刻 n井 p井
Ti沈積
植入 p−磊晶層
p+矽基板

圖 9.22 29
介質孔-1形成

ILD-1氧化層蝕刻
ILD-1氧化物 (介質孔-1形成)
沈積 氧化層研磨

薄膜 研磨
LI氧化物

擴散 黃光 蝕刻
n井 p井

植入 p−磊晶層
p+矽基板

圖 9.23 30
插塞-1形成

Ti/TiN
Ti/TiN 3 Tungsten
鎢沈積
2 沈積
deposition
deposition 鎢研磨(插塞-1)
4 Tungsten polish (Plug-1)

1 2 3 4 1 ILD-1
Thin TiTi沈積
dep.
薄膜
Films 研磨
Polish
LI氧化物
LI oxide

擴散
Diffusion 黃光
Photo 蝕刻
Etch
p-well
n-well
n井 p井

植入
Implant p−磊晶層
p- Epitaxial layer

p+矽基板
p+ Silicon substrate

圖 9.24 31
多晶矽、鎢LI及鎢插塞之微觀圖

鎢 LI 多晶矽

鎢插塞

Micrograph courtesy of Integrated Circuit Engineering

照片 9.4 32
金屬-1內連線形成

Ti沈積 Al + Cu (1%) TiN 金屬-1蝕刻


沈積 沈積

薄膜 研磨
LI氧化物

擴散 黃光 蝕刻 n井 p井

植入 p−磊晶層
p+矽基板

圖 9.25 33
第一金屬層在鎢介質孔上之SEM微觀

Micrograph courtesy of Integrated Circuit Engineering

照片 9.5 34
介質孔-2形成

ILD-2氧化層
ILD-2氧化層
ILD-2 oxide 氧化層 蝕刻(介質孔-2
ILD-2 oxide etch
2
沈積
deposition 3 研磨
Oxide polish 4 形成)
(Via-2 formation)

ILD-2間隙充填
1 ILD-2 gap fill

1 2 3
ILD-1
Thin
薄膜
Films 研磨
Polish
LI氧化物
LI oxide
4
擴散
Diffusion 黃光
Photo 蝕刻
Etch
n井
n-well p井
p-well

−磊晶層
p-pEpitaxial
植入
Implant layer
+矽基板
p+pSilicon substrate

圖 9.26 35
插塞-2形成

Ti/TiN 鎢沈積
Tungsten
Ti/TiN 鎢研磨
Tungsten
4 polish
deposition
(插塞-2) 3
沈積
2 deposition (Plug-2)

1 Ti沈積
Ti deposition ILD-2

1 2 3 4
ILD-1
薄膜 Thin
Films 研磨
Polish
LI氧化物
LI oxide

擴散
Diffusion 黃光
Photo 蝕刻
Etch
n井 p井
n-well p-well

植入
Implant p−Epitaxial
p- 磊晶層 layer
+矽基板
p+pSilicon substrate

圖 9.27 36
金屬-2內連線形成
金屬-2沈積
Metal-2 deposition 3 ILD-3氧化層
ILD-3 oxide 4 介質孔-3/
Via-3/Plug-3 formation
1 polish
to etch
至蝕刻 研磨 插塞-3形成
填溝fill
2 Gap ILD-3

ILD-2

ILD-1

LI氧化層
LI oxide

n井
n-well p井
p-well

p− 磊晶層
p- Epitaxial layer
p+ 矽基板
p+ Silicon substrate

圖 9.28 37
0.18μm全橫切面圖
保護層 接合墊金屬
ILD-6

ILD-5
M-4

ILD-4

M-3

ILD-3

M-2

ILD-2

M-1
Via
ILD-1
多晶矽
閘極
LI金屬 LI氧化層
n+ p+ p+ STI n+ n+ p+

n井 p井
p− 磊晶層
p+ 矽基板
圖 9.29 38
微處理器之橫切面SEM圖

Micrograph courtesy of Integrated Circuit Engineering

照片 9.6 39
使用微探針之晶圓電性測量

Photo courtesy of Advanced Micro Devices


照片 9.7 40
半導體製造技術

第 10 章
氧化
目的
研讀本章內容後,你將可學習到:

1.對半導體製造中之氧化薄膜描述其原子結構、不
同的應用及優點。
2.敘述氧化之化學反應及描述在矽晶圓上氧化物如
何成長。
3.解釋選擇性氧化及列舉兩個例子。
4.辨識3種熱製程設備,描述垂直爐之5個部分,並
討論快速升降溫垂直式爐管的屬性。
5.解釋快速熱處理器及其用途和設計。
6.描述氧化製程中之品質測量及一般問題解決之要
點。
2
晶圓製造之擴散區域

晶圓製造 (前段)
啟始晶圓
薄膜 研磨
無圖案之晶圓
完成後之晶圓 擴散 黃光 蝕刻

測試/分類 植入

(Used with permission from Advanced Micro Devices)

圖 10.1 3
氧化層薄膜

• 氧化物薄膜性質
• 氧化層薄膜之應用
– 元件保護與隔離
– 表面保護
– 閘極氧化物介電質
– 摻質阻障層
– 金屬層間之介電質

4
SiO2之原子結構

矽 氧

(Used with permission from International SEMATECH)

圖 10.2 5
場氧化層

場氧化層將每個主動區域做隔離
Field oxide isolates active regions from each other.

n-well
n井 p井
p-well

p− 磊晶層
p- Epitaxial layer
p+ 矽基板
p+ Silicon substrate

圖 10.3 6
閘極氧化物介電層

閘極氧化層
Polysilicon gate
多晶矽閘極
Gate Oxide

n-well
n井 p井
p-well

p− 磊晶層
p- Epitaxial layer
p+ 矽基板
p+ Silicon substrate

圖 10.4 7
摻質阻障氧化層

磷植入
Phosphorus implant

阻障氧化物
Barrier oxide

n-well
n井

p− 磊晶層
p- Epitaxial layer

p+ 矽基板
p+ Silicon substrate

圖 10.5 8
表 10.1
氧化層應用 :原生氧化層

目的 :此氧化層含有雜質,一般是不佳的。有時用於記憶儲
存或薄膜保護。

SiO2
(氧化層)

p+矽基板

註解 : 室溫下每小時成長速率約15Å,最大厚度約為40Å。

表 10.1A 9
Table 10.1
氧化層應用 :閘極氧化層

目的 :作為MOS電晶體中閘極與源-汲極間之介電質。

閘極氧化層
閘極
源極 汲極

p+矽基板

註解 :一般閘極氧化層薄膜厚度在20Å至數百Å之間。乾式熱
氧化法是較佳的成長方式。

表 10.1B 10
Table 10.1
氧化層應用 :場氧化層

目的 :當作各個電晶體間相互隔離之阻障層。

場氧化層

電晶體位置

p+矽基板

註解 :一般場氧化層厚度在2,500到15,000Å之間。濕式氧化
法是較佳的成長方法。

表 10.1C 11
Table 10.1
氧化層應用 :阻障氧化層

目的 : 於後續製程中保護主動元件及矽。

阻障氧化層 金屬

擴散 電阻
p+矽基板

註解 :熱成長至數百Å厚的厚度。

表 10.1D 12
Table 10.1
氧化層應用 :摻質阻障層

目的:作為沈積或摻質植入晶圓的罩幕材料。

摻質阻障層
間隙壁
氧化層 離子植入
閘極

間隙壁氧化層保護窄通
道不受高能植入影響

註解:摻質以選擇性擴散方法擴散進入矽無罩幕區域。

表 10.1E 13
Table 10.1
氧化層應用 :墊氧化層

目的:使氮化矽之應力降低。

保護層 墊氧化層
氮化物
墊接合金屬
ILD-5
M-4

ILD-4

M-3

註解:熱成長且很薄。

表 10.1F 14
Table 10.1
氧化層應用 :植入遮幕氧化層

目的:降低植入通道效應及傷害。

離子植入
遮幕氧化層

p+矽基板
對於矽上表面 對於矽上表面
高傷害+高通 低傷害+低通
道效應 道效應
註解:熱成長。

表 10.1G 15
Table 10.1
氧化層應用 :金屬層間之隔離阻礙層

目的:當作金屬線間之保護層。

層間氧化物
保護層
墊接合金屬
ILD-5
M-4

ILD-4

M-3

註解:氧化層非以熱成長方式而以沈積方式。

表 10.1H 16
熱氧化成長
• 氧化的化學反應
– 乾式氧化
– 濕式氧化
• 氧化成長模型
– 氧化層-矽介面
• 在氧化層中加入氯的助劑
– 氧化成長速率
– 影響氧化成長的因素
– 初始的成長
– 選擇性氧化
• 矽區域氧化法
• 淺溝渠隔離
17
對於不同需求的氧化層厚度範圍

半導體應用 典型氧化層厚度,Å
閘極氧化層(0.18μm) 20 −60
電容器介電質 5 −100
摻質罩幕氧化層 400 −1,200
(和摻雜、植入能量、時間
及溫度有關係)
STI 阻障氧化層 150
LOCOS 墊氧化層 200 −500

表 10.2 18
乾式氧化時間 (分)
10.0

(100)矽
氧化層厚度

1.0

0 0° C
1 ,2
00 °C
1 ,1
0 °C
100
°C
0.1 900
(μm)

°C
800

°C
700

0.01
10 102 103 104
時間 (分)

圖 10.6 19
濕式氧化

抽出
潔淨器

氣體盤 熱匣
爐管

HCl N2 O2 H2

圖 10.7 20
氧化中矽的消耗

t 0.55t
0.45t

氧化前 氧化後

圖 10.8 21
液態擴散

圖 10.9 22
電荷建立於Si/SiO2介面
矽 氧

SiO2
正電荷

(Used with permission from International SEMATECH)

圖 10.10 23
氧經由氧化層之擴散

供應氧氣至反應表面
O2

氧-SiO2介面
SiO2

氧-矽介面

Si

(Used with permission from International SEMATECH)

圖 10.11 24
於1100℃乾式氧化成長的線性及拋物線階段

4,000 Å
氧化厚度

3,000 Å

2,000 Å

1,000 Å
近似線性區域
}
100 200 300 400 500
氧化時間 (分)
圖 10.12 25
區域氧化法製作

1.氮化物沈積 2.氮化物罩幕及蝕刻 3.矽區域氧化


氮化物 矽 SiO2成長

矽 矽 矽
墊氧化層 SiO2
(原生氧化層) SiO2
氮化物

4.氮化物線條
矽 LOCOS場氧化層之橫切面
(實際的氧化成長是全方向性的)

圖 10.13 26
選擇性氧化及鳥嘴效應

氮化矽
鳥嘴區域
氮化物氧化罩幕
選擇性氧化

SiO2
墊氧化層

矽基板

(Used with permission from International SEMATECH)

圖 10.14 27
STI氧化製作
1. 氮化層沈積 2. 溝渠罩幕及蝕刻 3. 側壁氧化及溝渠充填
氧化層超
氮化層 矽 過氮化層

矽 矽 矽

墊氧化層
(初始氧化層)
4. 氧化層平坦化 以沈積氧化物
5. 去除氮化物 充填溝渠
氧化層 內側壁


圖 10.15 28
爐管設備

• 水平式爐管
• 垂直式爐管
• 快速熱處理器 (RTP):單一晶片

29
水平和垂直爐管系統之特性比較
特性因子 特性目標 水平式爐管 垂直式爐管

典型晶圓置放大 小 200 晶 圓 / 批 100 晶 圓 / 批



無塵室空間 小空間 很大,有四個管 很 小 (單 一 管 )
適於製程 不可 在製程中可裝/卸
平行式製程 載晶圓,可增加產

氣 體 流 動 態 均勻性佳 差,由於漿板及晶舟。 佳 的 GFD 及 均 勻 的
(GFD) 浮力及重力造成不均勻 氣體分配
氣體分配
晶舟旋轉以改善 理想情形 不能設計 易於包括
薄膜均一性
晶圓上溫度梯度 小 大,由於長形的熱影響 小
裝/卸載之微粒 最小微粒 相當差 從上-下裝載設計
控制 可改善微粒控制
更換石英 易於短時間完 長時間且慢 容易、快速且時間
成 降低
晶圓裝載技術 自動化 難自動化 用機器人易自動化
製程前後爐管氣 易控制 很難控制 容易控制,可選擇
體控制 真空或中性氣體

表 10.3 30
水平擴散爐管

(Photo courtesy of International SEMATECH )


照片 10.1 31
垂直擴散爐管

(Photo courtesy of International SEMATECH )


照片 10.2 32
垂直式爐管系統之方塊圖
微控制器
微控制器

晶圓操作控制器
晶圓操作控制器 溫度控制器
溫度控制器 氣體流量
氣體流量 晶舟
晶舟 排出
排出
控制器
控制器 裝載器
裝載器 控制器
控制器
三區域 石英製程反應室
加熱器
加熱器1
氣體盤
加熱器2
加熱器3

石英晶舟
製程氣體筒

晶圓裝/卸載系統
晶舟馬達驅動系統

壓力
壓力 抽出
控制器
控制器
33
圖 10.16
垂直爐管

加熱保護罩

三區段加熱線圈

石英管
終端

圖 10.17 34
加熱線圈功率分配
204 - 480 VAC 3φ

加熱線圈變壓器

SCRs SCRs
觸發電路
SCRs

Zone 1 Zone 2 Zone 3


爐管加熱線圈
(Used with permission from International SEMATECH)
圖 10.18 35
爐管反應室內熱電偶之位置

熱電偶測量
系統控制器 溫度控制器

過高溫
控制
輪廓TC

TC

TC
加熱器1

加熱器2

加熱器3
TC

圖 10.19 36
爐管製程中常用的氣體
氣體 分類 例子
進入氣體 氬氣(Ar)、氮氣(N2)
主要 降低氣體 氫氣(H2)
氧化氣體 氧氣(O2)
矽先前物氣體 矽甲烷(SiH4)、二氯乙烷(DCS)或(H2SiCl2)
砷化氫(AsH3)、磷化氫(PH3)、二硼化六氫
摻質氣體
(B2H6)
特殊
反應氣體 氨水(NH3)、氯化氫(HCl)
清洗氣體 氮氣(N2)、氦氣(He)
其他特殊氣體 六氟化鎢(WF6)

表 10.4 37
燃燒室至排氣

至工廠抽出系統
濕式潔淨器
過餘可燃氣體在高含
熱氧反應室中燃燒

燃燒反應室
(燃燒室或流量反應器) 過濾器
O2
O2
氣體進入爐管
製程反應室
殘留物
再循環水
(Used with permission from International SEMATECH)
圖 10.20 38
傳統式和快速升降溫垂直式爐管的
溫度分布圖

傳統式 快速升降溫
1200 1200

溫度 ℃(
溫度 ℃(

1000 1000

800 800

)
)

600 600

400 400
0 20 40 60 80 100 120 140 160 180 0 20 40 60 80 100 120 140 160 180

時間 (分) 時間 (分)

Printed from the June 1996 edition of Solid State Technology,


copyright 1996 by PennWell Publishing Company.
圖 10.21 39
快速熱處理器(RTP)主要優點

• 降低熱預算
• 減小矽中的摻質移動
• 易於多重組合機台
• 由於冷壁加熱,可降低污染
• 較小反應室空間,周遭較潔淨
• 晶圓製程時間短 (稱之為循環時間)

40
傳統垂直式爐管和RTP的比較
垂直式爐管 RTP
整批式 單一晶圓
熱壁 冷壁
每批加熱與冷卻時間長 晶圓加熱與冷卻時間短
晶圓上溫度梯度小 晶圓溫度梯度大
長循環時間 短循環時間
周遭溫度測量 晶圓溫度測量
問題: 問題:
熱預算大 溫度均勻
微粒 摻質移動小
周遭控制 晶圓至晶圓之重複性
產能
晶圓由於快速加熱產生應力
絕對溫度測量
表 10.5 41
快速熱處理器 (RTP)
電壓設定點
加熱頭

晶片 均勻軸燈管陣列
溫度
控制器 高溫計 反射盤
光纖

迴授電壓

圖 10.22 42
快速熱處理器

(Photo courtesy of Advanced Micro Devices)


照片 10.3 43
RTP應用

植入之回火以去除缺陷及使摻質活化及
擴散
可使沈積之氧化層薄膜更綢密化
硼磷矽玻璃 (BPSG) 再熱流
阻障層之回火,如氮化鈦 (TiN)
矽化金屬的形成,如矽化鈦金屬 (TiSi2)
接觸合金化

44
氧化製程

¾氧化前清洗
¾氧化製程處方
¾品質測量
¾氧化欲解決之問題

45
減少污染源的方法

維持爐管及其關連設備 (特別是石英管)
潔淨
製程化學物質的純度
氧化氣體的純度 (爐管中的氧氣源)
晶圓清洗及依規定操作

46
熱氧化製程流程圖
氧化爐
濕式清洗 y 流量速率
檢視
y 化學物質 y 排出 y 薄膜厚度
y %溶液 y 溫度 y 均勻性
y 溫度 y 溫度分布 y 微粒
y 時間 y 時間 y 缺陷

圖 10.23 47
乾式氧化製程程式

製程氣體
時間 溫度 N2
步驟 註解
(分) (℃) 清洗氣體 (slm) N2 O2 HCl
(slm) (slm) (sccm)
0 850 8.0 0 0 0 空載情況
1 5 850 8.0 0 0 裝載入爐管內
升溫 溫度上升
2 7.5 8.0 0 0
20℃/分
3 5 1000 8.0 0 0 溫度穩定
4 30 1000 0 2.5 67 乾式氧化
5 30 1000 8.0 0 0 回火
降溫 溫度下降
6 30 8.0 0 0
−5℃/分
7 5 850 8.0 0 0 從爐管取出
8 850 8.0 0 0 0 空載
注意:氣體流量的單位是 slm (L/min) 及 sccm (cm3/min)
表 10.6 48
垂直式爐管中晶圓裝載圖

161
晶舟尺度:161片晶圓 4片充填(擋片)晶圓
晶舟間距:0.14" 1片測試晶圓
參數 晶圓大小:8"
上升速率:9.29cm/min 75片量產晶圓
冷卻延遲:20分

1片測試晶圓

75片量產晶圓

1片測試晶圓
4片充填(擋片)晶圓
1
(Used with permission from International SEMATECH)
圖 10.24 49
半導體製造技術

第 11 章
沉積
目的
研讀本章內容後,你將可學習到:
1. 描述多層金屬化。討論薄膜的特性需求。敘述和
解釋薄膜成長的3個階段。
2. 簡述不同的薄膜沈積技術。
3. 列出和描述化學氣相沈積的8個基本步驟,包括不
同形式的化學作用。
4. 描述CVD反應限制及說明動態反應及CVD薄膜摻入
雜質的影響。
5. 描述不同形式的CVD沈積系統,解釋設備的功用及
討論薄膜應用特殊工具的優點/限制。
6. 解釋晶片技術中介電材料的重要性,列舉出應用
例子。
7. 討論磊晶及3種不同的磊晶沈積方法。
8. 解釋旋塗式介電質。 2
MSI世代MOS電晶體之薄膜層

氮化矽
頂部
氧化層
墊 金屬
ILD 氧化層
多晶矽 多晶矽 金屬
場氧化層
n+ n+ p+ p+

金屬前氧化層 n井
側壁氧化層 p−磊晶層
閘極氧化層
p+矽基板

圖 11.1 3
晶圓製造流程圖
薄膜沈積之位置

晶圓製造 (前段)
啟始晶圓
薄膜 研磨

未圖案化之晶圓
已完成晶圓 擴散 黃光 蝕刻

測試/分類 植入

(Used with permission of Advanced Micro Devices)

圖 11.2 4
簡介

• 晶圓之薄膜層
– 擴散
– 薄膜
• 薄膜的專門用語
• 多層金屬化
– 金屬層
– 介電層

5
ULSI晶圓的多層金屬化
保護層 接合墊金屬
ILD-6

ILD-5
M-4

ILD-4

M-3

ILD-3

M-2

ILD-2

M-1

Via ILD-1

多晶矽閘極
LI金屬 LI氧化層
n+ p+ p+ STI n+ n+ p+

n井 p井
p− 磊晶層
p+ 矽基板

圖 11.3 6
晶片中之金屬層

(Micrograph courtesy of Integrated Circuit Engineering)


照片 11.1 7
薄膜沈積
薄膜特性
™好的階梯覆蓋能力
™具有充填高深寬比間隙之能力
™好的厚度均勻性
™高的純度及密度
™理想配比可控制
™具有低應力的高薄膜品質
™電性佳
™基板材料和薄膜附著性優越
8
固態薄膜
厚度

寬度 和基板比較薄膜是非常薄的


氧化層

矽基板

圖 11.4 9
薄膜於步階上覆蓋

厚度均勻

均勻階梯覆蓋 非均勻階梯覆蓋

圖 11.5 10
薄膜沈積之深寬比

深度 500 Å 2
深寬比 = 深寬比 = =
寬度 250 Å 1

D 500
Å

W 250 Å

圖 11.6 11
高深寬比間隙

多晶隙閘極

(Micrograph Courtesy of Intergrated Circuit Engineering)


照片 11.2 12
薄膜成長階段

氣體分子

成核 晶粒聚結 連續薄膜

基板

圖 11.7 13
薄膜沈積技術
化學性製程 物理性製程
化學氣相沈積 物理氣相沈積
電鍍 蒸鍍 旋塗方式
(CVD) (PVD 或濺鍍)
常壓 CVD (APCVD) 電化學沈積(ECD) 直流二極體 燈絲及電子 旋塗式玻
或次常壓 一般稱之為電鍍 束 璃 (SOG)
CVD(SACVD)
無電極電鍍 射頻(RF) 分子束磊晶 旋塗式介
低壓 CVD (LPCVD) (MBE) 電質
(SOD)
電漿有關的 CVD: 直流磁控
y電漿 CVD(PECVD)
y高密度電漿 CVD
(HDPVCD)
氣相沈積(VPE)及有 離子化金屬
機金屬 電漿(IMP)
CVD(MOCVD)

表 11.1 14
化學氣相沈積

CVD的重要觀念
1.包含化學作用,經由化學作用或熱分解
(稱之為裂解 (pyrolysis))。
2.薄膜的材料源由外加氣體所供給。
3. CVD製程的反應物必須為氣相的形式
(如氣體)。

15
化學氣相沈積機台

(Photo courtesy of Novellus Systems, Inc.)


照片 11.3 16
CVD化學製程
CVD的5個基本化學反應
‰熱裂解:化合物分解 (破壞鍵結或分解),以熱的
方式通常無氧氣。
‰光分解:化合物分解,以輻射能的方式破壞鍵結。
‰還原:由分子與氫作用產生化學反應。
‰氧化:原子或分子與氧進行化學反應。
‰氧化還原:結合反應3及4,產生兩種新的化合物。

17
CVD反應

• CVD反應步驟
• 速率限制步驟
• CVD氣體流動力學
• CVD壓力
• CVD製程中摻雜
– 硼矽玻璃
– 硼磷矽玻璃
– 氟矽玻璃

18
CVD傳輸及反應步驟圖
1)反應物之
質量傳輸 CVD反應器
氣體輸送
7)副生成物的吸解

2)薄膜先前 8)副產物移除
物反應 副產物 出口
3)氣體分子
擴散 5)先前物擴散 連續薄膜
進入基板 6)表面反應
4)先前物吸附

基板

圖 11.8 19
CVD之氣體流

氣體流

反應物擴散

反應產物

沈積之薄膜

矽基板

圖 11.9 20
晶圓表面上之氣流動態

氣體流
氣體流

邊界層
滯留層

圖 11.10 21
CVD沈積系統
CVD設備設計
– CVD反應器加熱
– CVD反應器構造
– CVD反應器摘要
常壓CVD (APCVD)
低壓CVD (LPCVD)
電漿CVD
電漿增強CVD (PECVD)
高密度電漿CVD (HDPCVD)
22
CVD反應器形式

CVD 反應器形式 常壓 低壓 整批 單一晶圓


熱壁式 √ √ √
冷壁式 √ √ √ √
連續動作式 √ √
磊晶式 √ √
充滿式 √ √
噴嘴式 √ √
直桶式 √ √
冷壁平面式 √ √ √
電漿式 √ √ √
垂直流量等溫式 √ √ √

圖 11.11 23
CVD反應器形式及其主要特性

製程 優點 缺點 應用
APCVD 反應器簡單、沈 階梯覆蓋不佳、微 低溫氧化層 (摻雜及
(常壓 CVD) 積快速且低溫。 粒污染及底產能。 未摻雜)。

LPCVD 優異的純度及均 高溫、低沈積速 高溫氧化矽 (摻雜及


(低壓 CVD) 勻性、階梯覆蓋 率、須更強的維護 未摻雜)、氮化矽、
佳及大的晶圓產 及需真空系統。 多晶矽以及 WSi2。
能。
電漿 CVD 低溫、沈積快 需 RF 系統、成本 高深寬比填溝,金屬
y電漿增加 CVD 速、階梯覆蓋佳 高、應力很高為張 上方之低溫氧化物、
(PECVD) 及好的填溝。 力及含化學物 (如 ILD-1、ILD、雙鑲嵌
y高密度電漿 CVD H2) 及微粒污染。 之銅晶種層及保護層
(HDPCVD) (氮化物)。

表 11.2 24
連續製程的APCVD反應器
反應氣體1

鈍氣
反應氣體2
薄膜 晶圓

(a)氣體注入形式
反應氣體
N2 N2 N2 N2 N2 N2

晶圓

加熱器

(b)架構形式
圖 11.12 25
APCVD TEOS-O3之改善的階梯覆蓋

Trench以化學氣相沈積充填溝渠
fill by chemical vapor deposition
TEOS-O3

溝渠CVD氧化物
Trench CVD oxide
Nitride
氮化物

n井
n-well p井
p-well
Liner oxide
內側氧化層
p−磊晶層layer
p- Epitaxial
p+矽基板
p+ Silicon substrate

圖 11.3 26
PSG再熱流後之平坦表面

再熱流前 再熱流後

PSG PSG

金屬或多晶矽

圖 11.14 27
晶圓表面之邊界層

連續氣體流

反應物擴散

邊界層

沈積之薄膜

矽基板

圖 11.15 28
LPCVD反應室

三區段加熱線圈
壓力閥

由真空幫浦抽出

氣體進入
輪廓熱電偶
(內部) 尖峰熱電偶 (外部、控制)

圖 11.16 29
用TEOS LPCVD的氧化物沈積
電腦端操作介面

氣體流控制器
爐管微控制器

LPCVD
爐管三

溫度控制器
區段加 壓力控制器
熱器
抽出

N2 O2
真空幫浦
加熱器 TEOS

圖 11.17 30
MOS元件經摻雜的多晶矽
作為閘極電極 之重要原因

1.電阻率可由摻雜而定。
2.和SiO2的介面品質佳。
3.可適合於後續的高溫製程。
4.比金屬電極 (如鋁) 可靠度高。
5.於陡峭外形上沈積均勻。
6.可用於自我對準閘極製程 (見第12章)。

31
摻雜的多晶矽作為閘極電極

多晶矽閘極
Polysilicon gate

n+ p+ p+ n+ n+ p+

n井
n-well p-well
p井

p+ 磊晶層
p- Epitaxial layer

p+ 矽基板
p+ Silicon substrate

圖 11.18 32
在CVD中使用電漿的優點

1.低的製程溫度(250至450℃)。
2.對於高深寬比間隙有很好的填溝(使用
高密度電漿)。
3.對晶圓有好的薄膜附著。
4.高的沈積速率。
5.由於針孔及孔洞小,有高的薄膜密度。
6.由於製程溫度低,應用範圍廣。

33
電漿CVD之薄膜形成
RF產生器
電極
1.反應物進入反應室
PEVCD反應器
氣體傳送 RF場

7.副產物吸解 8. 副產物
2.藉由電場將
反應物分解 去除
副產物 抽出
3.薄膜先前物
形成 5.先前物 6.表面反應 連續薄膜

4. 先前物吸附 散入基

基板
電極

圖 11.19 34
一般PECVD構造
匹配之網路 RF產生器

氣體傳播 微控制器
遮幕
操作介面
氣體流量控制器

壓力控制器

氣體盤 電極 渦輪
幫浦 抽出

粗抽幫浦

製程氣體
圖 11.20 35
LPCVD和PECVD氮化矽性質

性質 LPCVD PECVD
沈積溫度 (℃) 700 − 800 300 − 400
組成 Si3N4 SixNyHz

階梯覆蓋 正確 均勻

於 23℃在 Si 上之應力 1.2 − 1.8 × 1010 1 − 8 × 109


(達因/cm2) (張力) (張力或壓縮力)

表 11.3 36
高密度電漿沈積反應室
1900年代中期被廣
泛使用
高密度的混合氣體
朝向晶圓表面
所沈積的薄膜可充
填高深寬比間隙
有各種不同高密度
電漿源
晶圓偏壓及熱負載
同時沈積及蝕刻

(Photo courtesy of Applied Materials, Inc.)


照片 11.4 37
沈積-蝕刻-沈積製程
上面堆積效應 從這裡開始解決
SiO2
主洞缺陷
金屬

PECVD沈積薄膜在
1.薄膜先前物之離子感應沈
間隙口夾止形成孔洞

蓋層

2.薄膜於間隙開口處因氬離 3.蝕刻材料再沈積,重複此製程
子濺鍍蝕刻過度而呈現斜 使「底部-上部」輪廓相等
形 圖 11.21 38
HDPCVD製程的 5 個步驟

1. 離子感應沈積
2. 濺鍍蝕刻
3. 再沈積
4. 熱中性CVD
5. 反射

39
在HDPCVD中晶圓在渦輪幫浦之頸部
微波
2.45 GHz

電磁鐵 氣體注入源
晶圓在靜電平盤上

閘閥

渦輪幫浦
至粗抽幫浦

圖 11.22 40
介電質及特性

™介電常數
– 填溝
– 晶片特性
– 低K介電質要求
– 高K介電常數
™元件隔離
– 區域氧化
– 淺溝渠隔離

41
介電質填溝的三個步驟

蓋層
SiO2

1)HDPCVD填溝 2)PECVD蓋層 3)化學機械平坦化

圖 11.23 42
用於ULSI內連接ILD有潛力的低k材料
介電常 填溝
有潛力的低 k 介電質 溫 度 (℃ ) 註解
數 (k) (μ m )
3 .4 至 4 .1 < 0 .3 5 無問題 F S G 的 k 值 和 S iO 2 相 近 。 氟
FSG (氟 氧 化 矽 ,
會侵襲及腐蝕鉭阻障層金
S ixO F y) 屬。
2 .9 < 0 .1 0 350 至 450 以矽為主的樹脂高分子可用
於 旋 塗 流 動 性 氧 化 物 (F O X )。
H SQ (氫 倍 半 矽 氮
可能需表面保護以降低水氣
烷) 吸附。其修補是在氮氣下操
作。
1 .3 至 2 .5 < 0 .2 5 400 無機材質,其介電常數可依
多孔密度調整。增加多孔密
奈米多孔矽 度降低機械完整性⎯⎯多孔
材料必須抗研磨、蝕刻及熱
處理且特性不退化。
2 .6 至 2 .8 < 0 .1 5 375 至 425 旋塗的自動高分子具有優越
聚 芳 烯 醚 (P A E )
的 附 著 性 適 於 CM P 研 磨 。
2 .8 < 0 .1 8 250 至 350 用 高 密 度 電 漿 CVD
a− C F ( 摻 氟 之 非 晶
(H D P C V D ) 以 產 生 熱 穩 定 性
碳 或 FLA C )* 及附著性佳之薄膜。
2 .5 < 0 .1 8 420 至 450 CVD 薄 膜 符 合 附 著 力 和 介 質
孔 電 阻 要 求 需 要 於 200℃ 控 制
聚對二甲苯
氣體傳送,以控制聚對二甲
苯 先 前 物 之 流 量 。 43
表 11.4
內連線延遲 (RC) 和大小尺度 (μm)
2.5
內連線延遲(RC)
2.0
延遲時間

1.5

閘極延遲
1.0
(×10秒
−9

0.5
)

0
0 .5 1.0 1.5 2.0
大小尺寸
(μm)
圖 11.24 44
總內連線電容
7

電容
6

5
(10−12 farads/cm)

4
K=4
3
K=3
2
K=2

1 K= 1

0
0 0.5 1.0 1.5 2.0 2.5 3.0
間距
(μm)
Redrawn with permission from Semiconductor International, September 1998

圖 11.25 45
低k介電質薄膜之要求
電性 機械性 熱性 化學性 製程 金屬化
低介電常數 附著性 熱穩定 抗酸及鹼 圖案化能力 接觸電阻低
低介電損耗 低收縮 熱延展係數低 選擇性蝕刻 填溝佳 低電遷移(腐蝕)

低漏電 抗破裂 高傳導率 雜質低 平坦化 低應力

高可靠度 低應力 無腐蝕性 針孔少 小丘(平滑表面)

硬度佳 低水氣吸收 微粒少 適於阻障層金屬


(Ta, TaN, TiN
等)
儲存有效期長

表 11.5 46
一般DRAM堆疊式電容之圖形
SiO2介電質 SiO2介電質 經摻雜的
多晶矽電容板
經摻雜的
多晶矽電容板

經摻雜的 經摻雜的
多晶矽電容板 多晶矽電容板

埋入式接觸擴散 埋入式接觸擴散

圖 11.26 47
淺溝渠隔離

多晶矽化物

溝渠氧化物
閘極氧化物

(Micrograph courtesy of Intergrated Circuit Engineering)


照片 11.5 48
旋塗式介電質

旋塗式玻璃 (SOG)
旋塗式介電質 (SOD)
磊晶
– 磊晶成長方法
• 氣相磊晶(VPE)
• 有機金屬CVD(MOCVD)
• 分子束磊晶(MBE)
CVD品質測量
CVD問題解決
49
以旋塗式玻璃 (SOG) 填溝

蓋層

1)初始SOG填溝 2)處理後之SOG 3)CVD氧化層蓋層

圖 11.27 50
HSQ低k介電質製程參數

主要操作 製程步驟 參數
轉速 50 rpm
最大轉速 800−1500 rpm
旋轉塗佈 背側清洗 800 rpm, 5 sec
上側邊緣珠滴去除 1000 rpm, 10 sec
旋乾 1000 rpm, 5 sec
初始軟烤處理 200℃, 60sec, N2 清洗
處理
內部處理 475℃, 60 sec, N2 氣體下

表 11.6 51
磊晶

磊晶成長模式
磊晶成長方法
– 氣相磊晶(VPE)
– 有機金屬CVD(MOCVD)
– 分子束磊晶(MBE)

52
矽晶圓上之矽磊晶成長
Si H H
H
Cl Cl
Cl
H
副產物
化學反應 Cl

沈積之矽 Si
Si 磊晶層

Si Si Si Si Si
單一矽基板
Si Si Si Si Si

圖 11.28 53
氣相磊晶圖

RF感應加熱線圈

真空幫浦
晶圓
H2
承座 SiH2 Cl2
摻質
(AsH3或B2 H3)

圖 11.29 54
矽氣相磊晶反應器

氣體進入
氣體進入

RF加熱

RF加熱
抽出

抽出 抽出

水平式反應器 垂直式反應器 直桶式反應器

圖 11.30 55
金屬階梯覆蓋ILD之主洞缺陷
層間介電質
中主洞缺陷

SiO2
(a)以PECVD沈積SiO2

(b)SiO2平坦

由SiO2主洞缺陷造成金屬孔洞

(c)下一層鋁沈積

圖 11.31 56
半導體製造技術

第 12 章
金屬化
目的
研讀本章內容後,你將可學習到:
1. 解釋金屬化之專有名詞。
2. 能列出和說明晶圓製造中6種金屬。討論每一種金屬
的特性要求及應用。
3. 能解釋銅金屬化在晶圓製造中之優點。描述銅製程之
挑戰性。
4. 敘述濺鍍之優點及缺點。
5. 敘述濺鍍之物理特性及討論不同的濺鍍工具及應用。
6. 敘述金屬CVD之優點及應用。
7. 解釋銅電鍍之原理。
8. 描述雙鑲嵌式製程之流程。
2
多層金屬化
金屬內連線結構

金屬堆疊內連線

具有鎢插塞之介
質孔內連線結構
層間介電質
區域內連線(鎢)
初始金屬接觸

次0.25微米CMOS橫切面 矽基板之擴散區

圖 12.1 3
傳統及鑲嵌式金屬化
雙鑲嵌式流程
傳統內連線流程

蓋ILD層及CMP
蓋ILD層及CMP
氮化物蝕刻停止層
(圖案化及蝕刻)

氧化層介質孔-2蝕刻
第二ILD層沈積及蝕刻穿
過二氧化層

鎢沈積+CMP

銅充填
金屬-2沈積+蝕刻

銅CMP
圖 12.2 4
銅金屬化

(Micrograph courtesy of Integrated Circuit Engineering)


照片 12.1 5
成功的金屬材料之需求

1. 導電性
2. 附著性
3. 沈積
4. 圖案及平坦化
5. 可靠度
6. 腐蝕性
7. 應力
6
矽及選用晶圓製造的金屬 (在20℃)
電阻率
材料 熔點(°C)
(μΩ-cm)
矽 (Si)
1412 ≈ 109
多晶矽 (Doped Poly)
1412 ≈ 500 – 525
鋁 (Al) 660 2.65
銅 (Cu) 1083 1.678
鎢 (W) 3417 8
鈦 (Ti) 1670 60
鉭 (Ta) 2996 13 – 16
鉬 (Mo) 2620 5
白金 (Pt) 1772 10
表 12.1 7
晶圓製造中所用的金屬及合金


鋁-銅合金

阻障層金屬
矽化金屬
金屬插塞

8
鋁內連線

Bonding pad Metal-5


金屬-5接合墊 (鋁)
Top Nitride
上層氮化物 (Aluminum)
ILD-6

Metal-4
金屬-4
ILD-5

介質孔-4
Via-4 金屬-3 ILD-4
Metal-3

金屬-4是位於其他介質孔、層間介電質和金屬層之上
Metal-4 is preceded by other vias, interlayer dielectric, and metal layers.

圖 12.3 9
歐姆接觸結構

阻障層金屬
鋁、鎢、銅等

氧化層

閘極

源極 汲極

歐姆接觸

圖 12.4 10
接面尖峰

淺接面
接面短路

圖 12.5 11
金屬線上小丘狀

二金屬線間小丘狀導致短路

金屬線內之凹洞

圖 12.6 12
銅內連線的優點

1. 降低電阻率
– 鋁內連線電阻率為2.65μΩ-cm,
而銅可降至1.678μΩ-cm
2. 降低功率消耗
3. 緊密的構裝密度
4. 優越的抗電遷移性
5. 較少的製程步驟
– 減少20至30%之製程步驟。

13
和0.25μm元件比較,內連線延遲之變化

0.25 0.18 0.13


技術
μm μm μm
傳統的內連線技術
y Al/Cu 內連線及 TiN 阻障層金屬 0 +21% +93%
新世代技術
• 降低阻障層厚度 -10%
• 低 k (3.0) 介電質 -27%
• 雙鑲嵌式銅內連線及插塞 -16%

表 12.2 14
比較Al與Cu之性質/製程

性質/製程 Al Cu
2.65
電阻率 (μΩ-cm) 1.678
(3.2 for Al-0.5%Cu)
抗電遷移性 低 高
抗腐蝕性(空氣中) 高 低
蝕刻製程 是 否
CMP 製程 是 是

表 12.3 15
在半導體內連線使用銅的
3個主要的挑戰難題

1. 銅很快速地擴散進入氧化物及矽中
2. 銅若使用一般的電漿蝕刻技術,將不易
形成圖案化
3. 在低溫下 (<200℃),銅在空氣中易氧
化且無法形成一保護層使氧化作用停止

16
銅內連線結構之阻障層

阻障層金屬

圖 12.7 17
佳的阻障層金屬的重要性質

1.使用阻障層金屬使得在燒結溫度下 (意謂材料
受熱處理) 兩介面材料 (如鎢與矽) 的擴散率
是低的。
2.高電子導電率,具有低的歐姆接觸電阻。
3.半導體和此金屬間有好的附著性。
4.佳的抗電遷移率。
5.厚度薄及在高溫下穩定度高。
6.抗腐蝕及氧化。

18
銅阻障層金屬的特殊需求

1.防止銅擴散。
2.低的薄膜電阻率。
3.介電材料和銅之間有好的附著性。
4.適合於CMP。
5.金屬層在高深寬比間隙中必須是連續的且有佳
的階梯覆蓋能力。
6.最小的厚度可使銅佔有最大的橫切面面積。

19
Ta作為銅阻障層金屬


圖 12.8 20
在矽接觸的耐高溫矽化金屬
Ti矽化金屬(多晶矽
化金屬)接觸
Ti/TiN阻障層金屬
多晶矽閘極
鎢金屬

氧化物 源極 汲極 氧化物
矽基板

Ti矽化金屬接觸

圖 12.9 21
所選用矽化金屬的某些性質

矽化金屬 最低共熔溫度 (℃) 一般形成溫度* (℃) 電阻率(μΩ-cm)

鈷/矽 900 550−700 13−19

鉬/矽 1410 900−1100 40−70

白金/矽 830 700−800 28−35

鉭/矽 1385 900−1100 35−55

鈦/矽 1330 600−800 13−17

鎢/矽 1440 900−1100 31

表 12.4 22
多晶矽上的多晶矽化金屬

Ti多晶矽化金屬

多晶矽閘極
Ti矽化金屬

經摻雜的矽

圖 12.10 23
TiSi2的回火相

燒結溫度 電阻率

TiSi2 – C49
625 – 675°C 60 – 65 μΩ-cm

TiSi2 – C54
800°C 10 – 15 μΩ-cm

圖 12.11 24
晶片之自我對準矽化金屬結構

降低閘極至
片電阻降低 S/D間阻值
TiSi2

TiSi2
TiSi2 TiSi2
G
STI S D STI

接觸阻值降低 二極體漏電流降低

圖 12.12 25
自我對準矽化金屬 (Salicide) 之形成
間隙壁氧化層
多晶矽 場氧化層
矽主動區

矽基板
1.矽主動區 2.鈦沈積
鈦-矽反應區域 TiSi2形成

3.快速熱回火處理 4.鈦去除
圖 12.13 26
多重金屬層的鎢插塞
接觸窗內(介質孔)
鋁接觸 之鎢插塞 氧化層
(介電質)
氧化層
(介電質)

早期金屬化技術 現行金屬化技術
1. 厚氧化層沈積
1.穿過氧化層之蝕刻接觸
2. 氧化層平坦化
2.鋁沈積
3. 穿過氧化層之蝕刻接觸
3.鋁蝕刻
4. 阻障層金屬沈積
5. 鎢沈積
6. 鎢平坦化
圖 12.14 27
毯覆性鋁蝕刻

SiO2
插塞

多晶矽閘極

(Micrograph courtesy of Integrated Circuit Engineering)


照片 12.2 28
金屬沈積系統
物理氣相沈積

蒸鍍
濺鍍
金屬CVD
銅電鍍

29
簡易的蒸鍍機
晶圓承載器

蒸鍍金屬
製程反應室
坩鍋 (鐘罩式)

高真空閥

高真空幫浦 粗抽幫浦

圖 12.15 30
濺鍍的優點

1. 易於沈積且可維持合金成分。
2. 可沈積高溫及耐高溫金屬。
3. 可控制沈積均勻的薄膜於大晶圓上
(200nm或更大)。
4. 多重反應室群集機台可於金屬沈積前清
除晶圓表面污染物及原生氧化物 (稱之
為現場濺鍍蝕刻 (in situ sputter etch)。

31
DC二極濺鍍系統之簡單平行板
陰極
金屬靶
1)電場形成Ar +離 +
+ +

+ 2)高能Ar 離子撞擊金屬靶
+ +
e-
氣體傳送
e- e-
氬原子 3)金屬原子從
靶材移出 抽出
電漿
6)過多的物質藉由真空
電場 4)金屬原子往基板移動 幫浦從反應室中移出

DC二極濺鍍機
5)基板上沉積金屬
基板
陽極

圖 12.16 32
從濺鍍靶材表面移出金屬原子

陰極 (-)

金屬原子

被濺擊出之
金屬原子

反彈之氬離子和自由電
0
+

高能+Ar 離子 子結合以形成中性原子

圖 12.17 33
濺鍍產額和下列條件有關係

1.轟擊離子的入射角。
2.靶材的組成及幾何形狀
3.轟擊離子的質量。
4.轟擊離子的能量。

34
落於基板上之不同物質
陰極(-)
金屬靶

源自輝光電漿之光子
源自靶轟擊之X射線
高能電子
+離子包含雜質
e- – 濺擊出之原子
電場 中性原 -離子

基板
陽極(+)

圖 12.18 35
3種濺鍍系統形式

RF(射頻)
磁控
IMP(離子化金屬電漿)

36
RF濺鍍系統
匹配之網路 RF產生器

電極 電容 微控制器
操作介面
氣體流量控制器
靶材
基板
壓力控制器

氣體盤 平盤
渦輪幫浦 抽出

粗抽幫浦

氬氣
圖 12.19 37
磁控濺鍍

DC功率供應
磁鐵

氬氣進入
陰極

靶材

熱晶圓平盤
真空幫浦
圖 12.20 38
準直管濺鍍

準直管濺鍍系統
靶材

Ar

準直管 介質孔之濺鍍薄膜覆蓋之橫切面

圖 12.21 39
離子化金屬電漿
DC供應

電極
鈦靶材
高能 濺擊出之Ti原子
DC場

感應線圈
+Ar 離子 +
電漿
e-
e-
RF場 + +Ti離子

基板
電極

DC偏壓供應
RF產生器

圖 12.22 40
金屬CVD

• 鎢CVD
– 有優異的階梯覆蓋及填溝
– 抗電遷移性佳
• 銅CVD
– 優越的均勻性

41
用Ti/TiN阻障層金屬之毯覆性鎢CVD
Ti
介質孔

PECVD SiO2
填溝介電質

1.層間介質孔蝕刻 2.平行式Ti沈積覆
於介質孔之底部
TiN
鎢插塞
鎢充填
介質孔

3. CVD TiN均勻沈積 4.CVD鎢沈積 5.鎢平坦化

圖 12.23 42
PVD群集機台

(Photo courtesy of Applied Materials, Inc.)


照片 12.3 43
銅電鍍

陰極(-)
出口 出口
基板
銅原子附於晶圓上

銅離子 + 電銅溶液

+銅陽極

Inlet

圖 12.24 44
銅電鍍機台

(Photo courtesy of Novellus)


照片 12.4 45
毯覆性鋁蝕刻

插塞

多晶矽閘極

(Micrograph courtesy of Integrated Circuit Engineering)


照片 12.4 46
使用雙鑲嵌之銅金屬化
製程步驟 : SiO2沈積

SiO2

說明 : 以PECVD法沈積ILD氧化層至介質孔所需的厚度。填
溝並不是很關鍵,因此PECVD法是可接受使用。 .

表 12.5.1 47
使用雙鑲嵌之銅金屬化
製程步驟 : SiN蝕刻停止層之沈積

SiN

說明 : 在ILD氧化層上沈積薄的 (250Å) SiN蝕刻停止層。此


SiN必須是稠密且無針孔,因此,可使用HDPCVD法

表 12.5.2 48
使用雙鑲嵌之銅金屬化
製程步驟 : 介質孔圖案化及蝕刻

SiN

說明 : 以光學微影形成圖案並以乾蝕刻在SiN形成介質孔。
蝕刻結束後去除光阻。

表 12.5.3 49
使用雙鑲嵌之銅金屬化
製程步驟 : 再沈積SiO2

SiO2

說明 : 於ILD氧化層上沈積PECVD氧化層。

表 12.5.4 50
使用雙鑲嵌之銅金屬化

製程步驟 : 內連線圖案化

光阻

說明 : 微影以光阻形成SiO2溝渠圖案。前面的介質孔開口位
於溝渠中。

表 12.5.5 51
使用雙鑲嵌之銅金屬化
製程步驟 : 內連線用之溝渠蝕刻及介質孔用之孔洞

說明 : 於ILD氧化層中乾蝕刻至SiN層上成溝渠。繼續蝕刻經
由SiN開口形成介質孔。

表 12.5.6 52
使用雙鑲嵌之銅金屬化

製程步驟 : 沈積阻障層金屬

阻障層金屬

說明 : 以離子化PVD在溝渠及介質孔的底部及側壁沈積Ta或
TaN擴散層。

表 12.5.7 53
使用雙鑲嵌之銅金屬化

製程步驟 : 沈積Cu晶種層

Cu晶種層

說明 : 沈積連續的Cu晶種層,此層必須是均勻的且無針孔。

表 12.5.8 54
使用雙鑲嵌之銅金屬化
製程步驟 : 沈積Cu充填

銅層

說明 : 以電化學沈積 (ECD) 方法沈積Cu,以充填介質孔開


口及溝渠。

表 12.5.9 55
使用雙鑲嵌之銅金屬化
製程步驟 : 以CMP方式去除多出的Cu

說明 : 以化學機械平坦化 (CMP)方式去除多出的Cu,這使表
面平坦,作為後續製程之準備。此平坦表面是在介電
質中有金屬鑲嵌,以形成電性迴路。

表 12.5.10 56
半導體製造技術

第 13 章
微影 :氣相塗底至軟烤
微影:氣相塗底至軟烤
目的
研讀本章內容後,你將可學習到:
1.解釋微影之基本觀念,包括製程、CD、光頻譜
、解析度及曝光度。
2.討論正及負雕像術之差異。
3.說出並描述微影8個基本步驟。
4.解釋微影前晶圓如何做準備。
5.描述光阻及討論光阻的物理性質。
6.討論傳統i-line光阻的化學性及應用。
7.描述深紫外光 (DUV) 光阻的化學性及優點。
8.解釋光阻如何用於晶圓製造。
9.討論軟烤的目的及解釋其方法。
2
晶圓製作流程
晶圓製作 (前段)

啟始晶圓
薄膜 研磨

未圖案化晶圓

完成的晶圓 擴散 黃光 蝕刻

測試/分類 植入

(Used with permission from Advanced Micro Devices)


圖 13.1 3
微影簡介

微影觀念
– 光罩
– 標線板
臨界尺寸世代
光譜
解析度
重疊精確
製程容許度
4
微影的標線板和光罩
1:1 光罩 4:1 標線板

Photograph provided courtesy of Advanced Micro Devices


照片 13.1 5
光阻之三維圖案

線寬 間隙
光阻

厚度

基板

圖 13.2 6
部分電磁頻譜
可見光

γ 射線 X射線 UV 紅外光 微波 射頻波


22 20 18 16 14 12 10 8 6 4
f (Hz) 10 10 10 10 10 10 10 10 10 10

(m) λ 10
-14
10
-12
10
-10
10
-8
10
-6
10
-4
10
-2
10 0 10 2 10 4

157 193 248 365 405 436


λ (nm)
VUV DUV DUV i h g
在光學雕像中常用的UV波長

圖 13.3 7
微影曝光用重要的UV波長

UV 波長 (nm) 波長名稱 UV 激能氣體源


436 g-line 水銀汞燈
405 h-line 水銀汞燈
365 i-line 水銀汞燈
深 UV (DUV) 水銀汞燈或氟化氪 (KrF)
248
激能氣體雷射
深 UV (DUV) 氟化氬 (ArF) 激能氣體
193
雷射
157 真空 UV (VUV) 氟 (F2) 激能氣體雷射

表 13.1 8
光罩重疊精確的重要性
CMOS反相器之上視圖

光罩層決定後續製程的
精確性。

光罩圖案使各層有適當
的位置、方向及結構大
小,以利於蝕刻及離子
植入。
PMOSFET NMOSFET

小尺度及低扭曲沒有太
大的誤差空間
CMOS反相器之橫截面

圖 13.4 9
微影製程

• 負雕像
– 在晶圓表面呈現的圖案和光罩相反
– 光阻曝光後變成不溶解且鍵結硬化
– 發展出負光阻
• 正雕像
– 在晶圓表面的圖案和光罩上的相同
– 在顯影液中變得可溶解且是柔軟的
– 發展出正光阻

10
負雕像

曝光區域變成交互鏈結,
可抗顯影液之化學物質。
紫外光
玻璃罩幕上 光阻曝 光阻
的鉻圖案 島狀
光區域
窗口
光阻上之
影子
光阻
光阻氧化層 氧化層
矽基板 矽基板
光阻顯影後的圖案

圖 13.5 11
正雕像

紫外光 曝光的區域
溶解去除
玻璃光罩
上之鉻島 光阻上 光阻
陰影 島狀 窗口
photoresist
光阻曝
光區域
光阻 photoresist 氧化物
oxide oxide
矽基板
silicon substrate 矽基板
silicon substrate
氧化物
光阻顯影後呈現的圖案

圖 13.6 12
光罩與光阻之關係
印製在晶圓上所需求 光阻島狀
的光阻結構圖案
基板

鉻 石英
窗口 島狀

負光阻用所需的光罩圖案 正光阻用所需的光罩圖案
(與所要的圖案相反) (與所要的圖案相同)

圖 13.7 13
亮場與暗場光罩

亮場光罩 暗場光罩

金屬內連線形式 接觸窗之形式
(正光阻雕像) (正光阻雕像)

圖 13.8 14
微影之8個步驟
步驟 章
1. 氣 相 塗 底 13
2. 旋 轉 塗 佈 13
3. 軟 烤 13
4. 對 準 及 曝 光 14
5. 曝 光 後 烘 烤 15
6. 顯 影 15
7.硬 烤 15
8.顯 影 後 檢 視 15

表 13.2 15
微影之8個步驟
UV光

HMDS 光阻  
光罩

1)氣相塗底 2)旋轉塗佈 3)軟烤 4)對準及曝光

5)曝光後烘烤 6)顯影 7)硬烤 8)顯影後檢視

圖 13.9 16
微影線路系統

(Photo courtesy of Advanced Micro Devices)


照片 13.2 17
氣相

微影的第一個步驟 :
提升光阻和晶圓表面之附著力
去水烘烤
以hexamethyldisilazane (HMDS) 進行塗底
晶圓表面的清洗及乾燥是一定要做的

18
旋轉塗佈

製程摘要:
光阻噴灑器
• 晶圓被真空平盤支撐
• 噴灑約5ml之光阻
• 慢速旋轉至500rpm
• 快速旋轉至3,000至5,000rpm
• 品質測量:
– 時間
– 速度
– 厚度
– 均勻性
真空平盤
– 微粒及缺陷
接真空幫浦
軸連接至旋轉
馬達

圖 13.10 19
軟烤

軟烤的特性:
改善附著性
提升晶圓上光阻的均勻性
在蝕刻中有較佳的線寬
去除光阻內大部分溶劑
溫度在90至100℃之間
– 時間為30秒
– 於熱墊板上加熱
– 接著在一冷墊板上進行冷卻步驟

20
對準及曝光

UV光源
製程摘要:
• 光罩影像轉移至塗有光阻之晶

• 光阻之光敏成分活化 光罩
• 品質量測:
– 線寬解析度
– 重疊精確度
– 微粒及缺陷
 

光阻

圖 13.11 21
曝光後烘烤 (PEB)

需要深紫外線光阻
在熱墊板上溫度在100至110℃之間
光阻曝光後烘烤
對於傳統光阻已是標準的步驟

22
光阻顯影

製程摘要:
• 光阻可溶區域被顯影液溶解出 顯影噴灑器
• 晶圓上出現可見圖案
-窗口
-島狀
• 品質測量:
-線之解析度
-均勻性
-微粒及缺陷

真空平盤
接真空幫浦
軸連接至旋轉馬達

圖 13.12 23
硬烤

顯影後的熱烘烤
使剩餘的光阻溶劑揮發
提升光阻對晶圓表面的附著力
硬烤溫度約為120至140℃,其溫度
較軟烤高

24
顯影後檢視

• 檢視有兩個目的
– 發現光阻品質問題並改善
– 發現製程特性問題並改善
• 缺陷將阻礙到下一個圖案化步驟,
如:蝕刻

25
氣相塗底

• 晶圓清洗
• 去水烘烤
• 晶圓塗底
– 塗底技術
• 混拌噴灑及旋轉
• 噴灑方法及旋轉
• 氣相塗底及去水烘烤

26
由於表面污染造成較差光阻附著之影響

光阻剝離

圖 13.13 27
HMDS混拌噴灑及旋轉

旋轉晶圓使過
混拌方式 餘液體移出

圖 13.14 28
HMDS熱平板去水烘烤及氣相塗底

製程摘要: 反應室蓋
ƒ 在有出口之封閉反應室進行去
水烘烤 HMDS
ƒ HMDS

ƒ 清洗並使晶圓表面乾燥 (親水

性)
ƒ 溫度在200至250℃
晶圓
ƒ 時間約60秒

熱平板

出口

圖 13.15 29
在晶圓製作中使用光阻的目的

• 將光罩的圖案轉移至晶圓表面的
頂層
• 在後續製程中保護光阻下層的材

30
光阻的改善

1. 較佳的影像精確度 (解析度)。
2. 在晶圓表面有較佳的附著性。
3.有較佳的均勻性。
4.增加製程容許度(對製程變化不敏感)。

31
旋轉塗佈
• 光阻
– 光阻形式
– 負和正光阻
• 光阻物理性質
• 傳統的I-Line光阻
– 負I-Line光阻
– 正I-Line光阻
• 深UV(DUV)光阻
• 光阻塗灑方法
32
光阻形式

• 兩種光阻形式
– 正光阻
– 負光阻
• CD值
– 傳統光阻
– 深UV光阻
• 製程應用
– 非關鍵層
– 關鍵層

33
負和正光阻

• 負光阻
– 晶圓影像和原來光罩圖案相反
– 負光阻曝光而硬化變得不可溶解
• 正光阻
– 晶圓影像和原來光罩圖案相同
– 正光阻曝光時變柔軟且易溶解
• 解析度
• 亮場光罩及暗場光罩

34
光阻物理性質
 解析度
 對比
 靈敏度
 黏性
 附著性
 抗蝕刻性
 表面張力
 儲存及操作
 污染物及微粒
35
光阻對比

光阻對比差 光阻對比佳
• 斜的邊 • 陡的邊
• 膨脹 • 未膨脹
• 對比差 • 對比佳

光阻 光阻

薄膜 薄膜

圖 13.16 36
表面張力

受低分子力之低表面張力 受高分子力之高表面張力

圖 13.17 37
光阻的組成

溶劑:
使光阻為流體形式

樹脂:
聚合物混合物作為黏合劑
用,使光阻具機械和化學
特性
感光劑:
光阻材料之光敏成分

添加物:
化學物可控制光阻材料特殊
特性

圖 13.18 38
負光阻之交互鏈結
在顯影液中未曝 UV 曝光區域變成交互鏈
光區域可溶解 結且抗顯影化學物質

光阻 氧化物

基板
未曝光 曝光

可溶解 交互鏈結
曝光前之光阻 曝光後之光阻 顯影後之光阻

圖 13.19 39
在正i-line光阻中PAC作為溶解抑制者

包含PAC之未曝光光阻
在顯影液中維持交互鍵 UV 曝光之光阻在顯
結及不可溶解 影液中可溶解

光阻 氧化物

基板
曝光 未曝光

PAC

可溶解
之光阻
曝光前之光阻 曝光後之光阻 顯影後之光阻

圖 13.20 40
正i-line光阻對比特性佳

正光阻: 光阻
• 陡側邊
• 不膨脹
薄膜
• 對比佳

圖 13.21 41
DUV及汞燈激發頻譜

KrF雷射激發頻譜 高強度汞燈之激發頻譜
100 120
i-line
相對強度

相對強度
365 nm
80 100
g-line
h-line 436 nm
80 405 nm
60
60
40
40
(%)

(%) DUV*
20 248 nm
20
0 0
248 nm 200 300 400 500 600
波長(nm)

*汞燈在248nm波長時強度太弱,不適於DUV微影應用,激能
雷射如左圖所示,對於特定DUV波長有更強的能量。
(Used with permission from USHIO Specialty Lighting products)
圖 13.22 42
化學倍增式 (CA) DUV光阻

未曝光光阻保持交互鏈 UV 光阻曝光區域溶
結且PAGs是不活化的 解於顯影液

光阻 氧化層

基板
曝光 未曝光
PAG H+

PAG H+ PAG PAG


PAG

PAG H+
PAG PAG PAG

酸催化反應
(PEB中) 未改變
曝光前CA光阻 曝光後CA光阻 顯影後CA光阻
圖 13.23 43
化學倍增式DUV光阻之曝光步驟

1. 酚 樹 脂 分 子 聚 合 物 含 有 保 護 團 使 得 它
在顯影液中不可溶解。
2. 光 酸 促 進 劑 在 曝 光 中 形 成 酸 。

3. 光 阻 曝 光 區 中 產 生 的 酸 作 為 催 化 劑 ,
以在曝光後之熱烘烤中去除樹脂保護
團。
4. 光 阻 曝 光 區 無 保 護 團 , 在 水 性 顯 影 液
中可溶解。

表 13.5 44
光阻旋轉塗佈的4個步驟

1)光阻噴灑 2)快速旋轉

3)旋轉離出 4)溶劑蒸發

圖 13.24 45
微影之自動化晶圓線路系統
晶圓步進機
氣相 光阻 顯影及 去除邊 轉移站 (對準/曝光系統)
裝載站
塗底 旋塗 沖洗 緣珠滴

晶圓轉移系統

軟烤 冷卻 冷卻 硬烤
平盤 平盤

圖 13.25 46
光阻噴灑管口
Z
Y
X
θ 管口位置可四個方向調整
光阻流體
光阻噴灑管口
晶圓
純不銹
鋼容器
底側EBR 真空平盤
氣體流 氣體流
出口

旋轉馬達 吸

真空
圖 13.26 47
光阻旋轉速度曲線
IX300之旋轉速度曲線
80000

70000

60000
光阻厚度

50000
110 cP

40000 70 cP

30000
(%)

20000 21 cP

10000

0
1000 2000 3000 4000 5000 6000 7000
旋轉速度 (RPM)
(Used with permission from JSR Microelectronics, inc.)
圖 13.27 48
真空熱平板之軟烤

反應室蓋
軟烤目的:
光阻溶液部分蒸發
改善附著性
改善均勻度
改善抗蝕刻性 晶圓
改善線寬控制
有利於光阻之光吸收特性

熱平板
溶液抽出

圖 13.28 49
軟烤光阻溶液含量對於溫度之關係

殘留溶液
(%w/w)

DNQ/Novolak
光阻

烘烤溫度 (℃)

圖 13.29 50
半導體製造技術

第 14 章
微影 :對準與曝光
微影:對準與曝光
目的
研讀本章內容後,你將可學習到:
1. 解釋微影中對準及曝光之目的。
2. 描述微影中光的性質及曝光源的重要性。
3. 對於光學雕像,敘述及說明光的重要觀點。
4. 解釋解析度,描述它的關鍵參數及討論如何計算它

5. 討論對準與曝光5個設備世代。
6. 描述標線板,解釋如何製造之及討論在微雕像中之
用途。
7. 討論次波長雕像之光增強技術。
8. 解釋如何於雕像中對準。
2
微影之8個步驟
步驟 出現之章節
1. 氣相塗底 13

2. 旋轉塗佈 13

3. 軟烤 13

4. 對準及曝光 14
5. 曝光後烘烤 15
6. 顯影 15

7.硬烤 15

8.顯影檢視 15

表 14.1 3
微影中晶圓步進機3個主要目的

1.晶圓表面和石英平面標線板聚焦及對準

2.經由光阻的曝光,在晶圓上重複產生高
解析度的標線板影像。
3.配合量產需求,在單位時間可生產足夠
量的晶圓。

4
標線板圖案轉移
UV光源

遮光器
對準雷射

聚焦及對準時遮光器
關閉,而在晶圓曝光 標線板 (在光罩場中可
時移開 能包含單一或很多晶粒)

投射鏡片 (降低標線板場大
小以在呈現於晶圓表面)
單一場曝光包括聚焦、
對準、曝光、步進且重
複製程
晶圓階台以X、Y、Z
、θ控制晶圓之位置

圖 14.1 5
標線板圖案之佈局及尺度

1) STI蝕刻 2) p井植入 3) n井植入 4)多晶矽閘極蝕刻

5) n+ S/D植入 6) p+ S/D植入 7)氧化層接觸蝕刻 8)金屬蝕刻


5 6
4
3
最後層 2 7

8
橫切面
1

上視層
圖 14.2 6
光學雕像術


• 光波的干涉
– 光濾鏡
• 電磁頻譜

7
光波長及頻率

v =光速度,3 × 108 m/sec


λ = v f =頻率,單位Hertz (每秒之次數)
f
λ =波長,單位頻率之物理性波
長,可於儀錶量測
λ

雷射

圖 14.3 8
波之干涉

建設性 破壞性

A
同相波 反相波

A+B

圖 14.4 9
光濾波
多頻率之光
反射波長

第1層 (無反射) 二次反射 (干涉)


第2層
第3層

玻璃

傳輸波長

圖 14.5 10
紫外光譜
λ (nm)
紫外光譜 可見光譜

EUV VUV DUV 中-UV 紫 藍 綠 黃 橙 紅

4 50 100 150 200 250 300 350 400 450 500 550 600 650 700

13 126 157 193 248 365 405 436


i h g

激能雷射 汞燈

微影光源

圖 14.6 11
光學雕像術

曝光光源
• 汞弧燈管
• 激能雷射
– 空間連貫
• 曝光控制

12
典型高壓汞弧燈管之激發頻譜

120
高強度汞燈之激發頻譜
i-line
100 365 nm
相對強度

g-line
80 h-line 436 nm
405 nm
60

40
(%)

20
DUV
248 nm
0

200 300 400 500 600


波長 (nm)

(Used with permission from USHIO Specialty Lighting Products)


圖 14.7 13
汞弧燈管之峰強度

UV 光波長(nm) 符號 CD 解析度(μm)
436 g-line 0.5
405 h-line 0.4
365 i-line 0.35
248 深UV (DUV) 0.25

表 14.2 14
248nm激能雷射和汞燈之光譜激發強度

KrF雷射
100

80

相對強度
60

40

20
(%)

0
(汞) 水銀燈

210 220 240 260 280

波長 (nm)

圖 14.8 15
光阻過度吸收入射光能

光阻 (顯影後)

傾斜輪廓

基板

圖 14.9 16
用於半導體微影之激能雷射源

波長 最大輸出 頻率 脈衝長度 CD 解析度


材料
(nm) (mJ/pulse) (pulses/sec) (ns) (μm)
KrF 248 300 – 1500 500 25 ≤ 0.25
ArF 193 175 – 300 400 15 ≤ 0.18
F2 157 6 10 20 ≤ 0.15

表 14.3 17
空間連貫
不規律單波長光源
黑盒照明器
狹縫

兩狹縫很靠近

規律圓筒形波前
干涉圖案
兩規律圓筒形波前

圖 14.10 18
光學雕像術

光學
• 光的反射
• 光之折射
• 透鏡
• 繞射
• 數值孔隙
• 抗反射層塗佈

19
反射定律

具有平面鏡光波前之入射光角度等於反射角度。

入射光 反射光
θi θr

反射定律, θi = θr

圖 14.11 20
鏡子的應用
平面鏡

橢圓鏡

光罩
平面鏡

(Used with permission from Canon USA, illuminator for mask aligner)
圖 14.12 21
在兩傳播物質中之光折射

• Snell’s定律 : sin θi = n sin θr


• 折射率, n = sin θi / sin θr

傳播快 傳播慢 玻璃(n = 1.5)


空氣 (n ≅ 1.0)

玻璃 (n = 1.5) 傳播慢 空氣(n ≅ 1.0) 傳播快


θ θ

圖 14.13 22
材料之完全折射率

材料 折射率 (n)
空氣 1.000293
水 1.33
熔融矽土 (非晶石英) 1.458
鑽石 2.419

表 14.4 23
透鏡光學系統
光罩組件 平面鏡
聚光透鏡 聚光透鏡
飛眼透鏡 平面鏡
配 平面鏡 平行透鏡
明裝
光照

光纖 光感 標線板
遮光器 測器
汞燈 光罩台
(X, Y, q)

燈監視器 投影光
光纖
燈位置旋扭 光聚焦感測器
橢圓鏡 X軸驅
干涉儀鏡
動馬達
θ-Z驅動平台
真空平盤
Y軸驅動馬達 晶圓台裝配
(Used with permission from Canon USA, FPA-2000 il exposure system)
圖 14.14 24
聚光透鏡
f =聚焦長度
2f F =焦點
f S =2f
O =原點,透鏡中心

目標物 實像
S F O F´ S´

圖 14.15 25
散光透鏡

f =聚焦長度
F =焦點
S =2f
O =原點,透鏡中心
虛像
目標物
S F O F´ S´

圖 14.16 26
雷射導致透鏡緊密化

透鏡緊密區域

圖 14.17 27
在小開口光繞射之干涉圖案

•光直線前進
•當光碰及目標物邊緣產生繞射
•當光波經由窄長條時產生繞射帶或干涉圖案

繞射帶

圖 14.18 28
標線板圖案中之繞射

繞射光線

狹縫

平面光波

圖 14.19 29
透鏡捕捉繞射光
石英
UV
光罩
繞射光

4 4
3 3
2 2
1 1
0

透鏡

圖 14.20 30
數值孔隙對影像之影響
針孔罩幕
透鏡NA
影像結果


曝光

不佳

繞射光
圖 14.21 31
用於微影機台中常用之NA值

設備形式 NA 值
使用鏡子之掃描投影對準機 (1970
0.25
年之技術)
步進且重複 0.60 – 0.68

步進且掃描 0.60 – 0.68

表 14.5 32
由於光反射造成光阻反射性凹曲
UV曝光

光罩

邊緣繞射 未曝光 表面反射


之光阻
受曝光之光阻

多晶矽 凹曲之光阻
STI STI
基板

圖 14.22 33
光阻中入射與反射光波干涉

反射波
反射波

光阻
薄膜

基板

沿著光阻薄膜厚度駐波造成不均勻曝光

圖 14.23 34
光阻中駐波之影響

(Photo courtesy of Grant Willson’s research group at the University of Texas at Austin)
照片 14.1 35
塗佈抗反射層以防止駐波

入射光 抗反射層

光阻
薄膜

基板

抗反射層塗佈染色及濾波可防止干涉

圖 14.24 36
底面抗反射塗佈抑制光
UV曝光

光罩

未曝光
之光阻

受曝光之光阻

BARC
多晶矽
STI STI
基板

圖 14.25 37
BRAC之光相移消除
(B)上表面反射
(A)入射光
(C)
(D)

光阻 由於相差C和D相消除

BARC (TiN)

圖 14.26 38
上面抗反射塗佈

入射光 光阻-基板反射 入射光 上面抗反射塗佈以


吸收基板之反射

光阻 光阻
基板反射

基板 基板

圖 14.27 39
光學雕像術

解析度
• 解析度計算
• 聚焦深度
• 解析度與聚焦深度
– 表面平坦化

40
尺度大小之解析度

0.1
0.25

0.5

1.0

線寬和間隙大小必須相等,當特徵
尺寸縮小時,要分辨是很困難的。
2.0

圖 14.28 41
已知 λ、NA及k值,計算解析度

k = 0.6

R=
光源,λ NA

光罩 λ ΝΑ R
365 nm 0.45 486 nm
i-line
透鏡 , NA 365 nm 0.60 365 nm
193 nm 0.45 257 nm
DUV
193 nm 0.60 193 nm
R
晶圓

圖 14.29 42
聚焦深度 (DOF)

透鏡

-
聚焦深度
聚焦中心

光阻

+ 薄膜

圖 14.30 43
不同NA之解析度和場深度
DOF = λ
2(NA)2

λ ΝΑ R DOF
光源 , λ
365 nm 0.45 486 nm 901 nm
i-line
365 nm 0.60 365 nm 507 nm
光罩 193 nm 0.45 257 nm 476 nm
DUV
193 nm 0.60 193 nm 268 nm
透鏡 , NA
聚焦中心 - 聚焦深度
光阻
晶圓 DOF
+ 薄膜

圖 14.31 44
微影設備

• 接觸式對準機
• 近接式對準機
• 掃描投影式對準機
• 步進且重複式對準機(步進機)
• 步進且掃描式系統

45
接觸/近接式對準機系統
汞弧燈

光源

對準顯微鏡 光罩

光罩平台
(X, Y , Z , θ )

晶圓

晶圓平台 真空平盤
(X, Y, Z, θ )
(Used with permission from Canon USA)
圖 14.32 46
近接式對準機之邊緣繞射及表面反射

UV曝光

光罩
光罩
UV
繞射及反射光

光阻

基板 蓋 基板

邊緣之光繞射在光罩產生反射,造成光阻曝光不佳。

圖 14.33 47
掃描式投影對準機
汞燈
光源系統 晶圓

光罩
掃描方向

系統
光 學
投 影
曝光(UV光之窄狹
縫逐漸將全光罩場
掃描至晶圓上)

圖 14.34 48
步進且重複式對準機 (步進機)
自動對準系統
光罩平台

標線板
收藏盒 發光器
(汞燈 365nm)

標線板支撐 5:1縮影透鏡
NA=.45 - .63
晶圓支撐
抗振動系統

晶圓平台
自動對焦系統

(Used with permission from Canon USA, FPA-3000 i5)


圖 14.35 49
步進機曝光場
UV光

標線板場大小20mm×15mm
每場4晶粒

5:1縮影透鏡

於晶圓1/5或4mm×3mm
層層捲繞之 標線板場上曝光,每次
步進圖案 曝光4個晶粒

晶圓
圖 14.36 50
步進且掃描之晶圓曝光場
掃描
步進機 步進且掃描
影像場
(單一曝光) 影像場

標線板 掃描 標線板

UV UV

5:1鏡片 4:1鏡片
晶圓 晶圓
步進方向 掃描
(Used with permission from ASM Lithography)
圖 14.37 51
步進且掃描曝光系統
光源 激能雷射
(193nm ArF)

標線板資料庫
(SMIF介面) 束線

操作台 晶圓傳送系統

光罩平台

晶圓平台
自動對準系統
4:1縮影透鏡
NA = 0.45至≥ 0.6
(Used with permission from ASM Lithography, PAS 5500)
圖 14.38 52
標線板

• 標線板和光罩之比較
• 標線板材質
• 標線板縮影及尺度
• 標線板製作
• 標線板損害之來源

53
標線板和光罩之比較
曝光之參數值 標線板多次曝光 光罩單一次曝光
由於標線板上圖案較大 (如 由於無光縮影,較難於光罩及晶圓上
CD 4:1、5:1) 易於呈現次微 印製次微米圖案。
米圖案。
小曝光場需步進且重複製 全晶圓曝光場。
曝光場
程。
光學縮影使得標線板尺度可 光罩的 CD 值和晶圓相同 ⎯⎯ 較難
罩幕技術
較大,易於印製。 印製。
須自動化以對全晶圓步進及 較高 (若設備無自動化,則否)。
生產量
重複。
調整個別晶粒對準及聚焦。 全面晶圓對準,但無個別晶粒對準及
晶粒對準及聚焦
聚焦。
改 善 良 率 但 不 允 許 光 罩 缺 缺陷不會在晶圓上重複印製。
缺陷密度 陷。標線板缺陷在每一次場
曝光中重複。
在開始全面預先測量或晶粒 無補償,全面聚焦及對準。
表面平坦
-晶粒曝光中步進機補償。
表 14.6 54
微影用標線板

(Photocourtesy of Advanced Micro Devices)


照片 14.2 55
光罩縮影和曝光場之比較

透鏡形式 10:1 5:1 4:1 1:1


標線板上 標線板場 100 × 100 100× 100 100× 100 30× 30
曝光場 大小 (mm)
晶 圓 上 曝 光 10 × 10 20 × 20 25 × 25 30 × 30

投影透鏡 大小 (mm)
每曝光場之 4 16 25 36
晶粒 (假設
晶圓上
晶粒大小為
曝光場
5mm × 5mm)

圖 14.39 56
電子束雕像主要構造圖
電子控制台 工作站 TFE電子束粒
真空控 電子束 平台位 9軌道 高度偵測組件
自動裝載室
制模組 控制 置控制 磁性驅
伺服 動控 資料管理 工作平台
印表機 制電腦 電腦 轉移及
控制 驅動 束控制及偏向
/縮圖機 TFE 高度偏 超快
電子源 操控台 移及動
控制 態修正 HTM 工作桌 動態修正
工作室、裝載室、振動隔
離、離子幫浦及真空系統

實用操作櫃
溫度控制 空氣及 盒堆積
氮氣控制 控制
粗抽 後段
幫浦 幫浦
冷卻
水 冷卻劑
流量控制

(Used with permission from Etec Systems, Inc., MEBES 4500 System)
圖 14.40 57
標線板上之薄膜
保護薄膜

鉻圖案
框架

標線板

保護膜表面上之微粒是在
光學聚焦範圍之外
抗反射塗佈 保護薄膜
聚焦深度 鉻圖案

光罩材質

圖 14.41 58
光學增強技術

• 相移光罩 (PSM)
• 光學近接修正 (OPC)
• 偏軸曝光
• 偏移

59
相移式光罩

a)一般光罩 b)改善之光罩 c)先進之光罩

鉻 吸收性 框邊 阻擋物
相位偏移 相位偏移
+1
光罩上
0
之電場
-1
+1
晶圓上
0
之電場
-1

晶圓上 +1
之強度 0

(Reprinted from the January 1992 edition of Solid State Technology,


copyright 1992 by PennWell Publish Company)
圖 14.42 60
光學近接影響

角緣圓化

非均勻CD 線短化

圖 14.43 61
偏軸曝光
傳統式曝光 (在軸上) A 偏軸曝光 B

針孔光罩
B- A+
−階繞射 +階繞射
A- B+

投影光束

晶圓
(a) (b)
圖 14.44 62
以細體減小接觸圓形化

(a)未修正之設計 (b)尺度偏移之修正 (c)尺度改善技術

圖 14.45 63
對準

• 基準線補償
• 重疊精確
• 對準標記
• 對準形式

64
重疊預算
−ΔY
完美之重疊精確 登記之偏移

+Y +Y

-X +X -X +X

-Y -Y
ΔX

標線板圖案 晶圓圖案

圖 14.46 65
晶圓上曝光場之格子

32 31 30 29
停止
23 24 25 26 27 28

22 21 20 19 18 17

11 12 13 14 15 16

10 9 8 7 6 5

起始 1 2 3 4

圖 14.47 66
步進且重複對準系統
對準系統組成
iA (同軸)
i-line發光器 TV AA/FRA

C-scope (偏軸) 光纖

B-scope (偏軸)

光纖 TVPA (偏軸)
對準光源
(ALS)
X-Y
參考區
前面

(Used with permission from Canon USA, FPA-2000 il)

圖 14.48 67
對準標記
RA,標線板對準標記; + +
+ FAR RAR
+ GA L/R GA,晶圓球形對準標記; +
+
L/R FA,晶圓微細對準標記

FAL/R + + GAR
+ FAL

RAL
第1光罩 + GAL

FAR
對於 缺口、粗略
第2道 第1光罩層 對準
光罩
FAL/R + +

{
+從第1
光罩
FAL
第2光罩
第2道光罩層
圖 14.49 68
同軸及偏軸對準系統
同軸對準系統 偏軸對準系統
影像照像之
顯微目標

標線板

對準雷射
(633nm)
偏軸對準組件

對準BLC 投射光 影像
對準BLC
標準線 標準線 光纖

晶圓平台 對準雷射
(633nm)
圖 14.50 69
環境條件

• 溫度
• 濕度
• 振動
• 大氣壓力
• 微粒污染

70
微影機台之比較
(參考 表14.7)

• 形式
• 波長(nm)
• 對準機形式
• 曝光形式
• 曝光場大小(mm)
• 解析度(mm)
• 重疊精確(nm)

71
步進且掃描式系統

(Photo courtesy of Silicon Valley Group Lithography Systems)


照片 14.3 72
半導體製造技術

第 15 章
微影 :光阻顯影與先進雕像
微影:光阻顯影與先進雕像
目的
研讀本章之內容後,你將可學習到:
1. 解釋傳統與化學倍增式DUV光阻為何與如何執行曝光後
烘烤。
2. 分別針對傳統與化學倍增式DUV光阻,描述其負與正光
阻顯影製程。
3. 列出並討論兩種最常用到的光阻顯影方法及關鍵性顯影
參數。
4. 說明為何光阻顯影後,需進行硬烤處理。
5. 解釋顯影後檢查所具有之優點。
6. 列出並敘述4種不同的先進雕像替代技術,包含簡述每一
技術在考量量產時所可能面對之挑戰。
7. 描述有關頂層顯像術(top-surface imaging)之先進光阻製程
並舉出其優點所在。 2
微影之8個步驟
步驟 涵蓋章節
1. 氣相塗底 13
2. 旋轉塗佈 13
3. 軟烤 13
4.對準與曝光 14
5. 曝光後烘烤 15
6. 顯影 15
7. 硬烤 15
8. 顯影檢查 15

表 15.1 3
曝光後烘烤

• DUV之曝光後烘烤 (PEB)
– 溫度均一性
– PEB延遲
• 傳統i-line光阻的曝光後烘烤

4
導致T-形頂結構之DUV光阻的碳氫基污染

未經曝光 已中和
之光阻區 的光阻 T-形頂光阻
}
H+ PAG H+
PAG
+
H PAG H+

H+
PAG 顯影
PAG H+
PAG
H+ H+
PAG

H+ PAG H+

曝光過光阻之
酸活化反應
(PEB後)

圖 15.1 5
由於PEB而減少駐波效應
未曝光 已曝光過
駐波 之光阻 之光阻
PAC PAC PAC PAC PAC
PAC PAC PAC
PAC
PAC PAC
PAC PAC
PAC PAC PAC
PAC PAC
PAC PAC PAC
PAC PAC
PAC
PAC PAC
PAC PAC PAC PAC PAC
PAC PAC PAC
PAC
PAC PAC PAC
PAC PAC

(a) UV光之曝光 (b)光阻中的條紋


PAC PAC
PAC
PAC
PAC
PAC PAC
PAC
PAC
PAC PAC

PAC PAC
PAC PAC

PAC PAC

(c) PEB產生PAC之擴散 (d) PEB的結果

圖 15.2 6
顯影

負光阻
正光阻
顯影方法
光阻顯影參數

7
光阻顯影的問題

光阻 基板

X X √ X
欠顯影 未完成顯影 正確顯影 過顯影

圖 15.3 8
負光阻之鏈結

UV

已曝光之光阻

鏈結
未曝光之光阻

圖 15.4 9
正光阻的顯影
經光源曝光過的光阻
溶解於顯影化學劑中 未曝光之正光阻

鏈結之光阻

圖 15.5 10
顯影方法

連續噴灑顯影
混拌顯影

11
採用連續噴灑法之光阻顯影

氣相 光阻 噴灑顯 邊緣粒
裝載台 塗底 塗佈 影沖洗 移除 轉換台

晶圓轉移系統
至真空 真空吸盤
幫浦 連接到旋
轉馬達之
軟烤 冷卻板 冷卻板 硬烤 主軸

(a)晶圓傳輸系統 (b)顯影液噴灑器

圖 15.6 12
混拌式光阻顯影
水坑形成
施加顯影液

(a)混拌實施 (b)旋離多餘的顯影液

(c) DI水沖洗 (d)旋乾

圖 15.7 13
光阻顯影參數

顯影液溫度
顯影時間
顯影液體積
莫耳濃度
沖洗
排氣流量
晶圓吸盤

14
硬烤
• 硬烤的特性
– 顯影之後
– 蒸發顯影後任何殘留的溶劑
– 硬化光阻
– 改善光阻對晶圓的附著性
– 為接下來的製程做事先準備
– 硬烤的溫度較軟烤高,光阻是被充分加熱
後會軟化與流動的物質
• 以深紫外光硬化光阻
15
高溫時軟化光阻的流動

光阻

圖 15.8 16
顯影檢查

• 目的在找尋是否有缺陷存在
• 在持續進行後續蝕刻或植入製程之前
• 有光阻圖案缺陷之晶圓被進行蝕刻或植入後集
成廢料
• 顯影後檢查即用以來表示光處理後之特徵,進
而提供資料給微影生產部門以進行動作修正
• 顯影重作

17
顯影檢查所使用之自動檢查工具

(Photo courtesy of Advanced Micro Devices)


照片 15.1 18
顯影檢查與重作之流程
UV光
光阻 光罩
HMDS

1.氣相塗底 2.旋轉塗佈 3.軟烤 4.對準與曝光 5.曝光後烘烤

驗退晶圓
電漿
光阻剝離與清潔 8.顯影檢查 7..硬烤 6.顯影
重作

離子植入 通過晶圓 蝕刻

圖 15.9 19
先進雕像技術
• 次世代雕像技術
– 終端UV (EUV)
– SCALPEL
– 離子投射雕像(IPL)
– X射線
• 先進光阻製程
– 頂層顯像術
– DESIRE 製程

20
光學微影的改善

1. UV 光源波長的縮短。

2. 光學微影工具的數值孔徑之增加。

3. 化學倍增式 DUV 光阻。

4.增強解析度的技術 (如相移光罩和光學近接修正)。

5.減少表面地勢的晶圓平坦化技術 (化學機械平坦化或 CMP)。

6.光學微影設備的進步 (如步進機及步進掃描)。

表 15.2 21
終端紫外光微影術之概念圖

步進且掃描4
高功率雷射 倍反射標線板 多層塗膜之面鏡

EUV

標線板之
1/4轉像
電漿

步進且掃描
目標材料 真空反應室 之晶圓台

Redrawn from International SEMATECH’s Next Generation Lithography Workshop brochure


圖 15.10 22
SCALPEL的概念圖
電子束

步進且掃描
之標線板台

靜電透鏡系統
(4:1縮影)

步進且掃描
之晶圓台

真空反應室
Redrawn from International SEMATECH’s Next Generation Lithography Workshop brochure
圖 15.11 23
離子投射雕像
離子源
離子束
光罩

靜電透鏡系統
(4:1縮影)
參考板

步進且掃描
之晶圓台

真空反應室
Redrawn from International SEMATECH’s Next Generation Lithography Workshop brochure
圖 15.12 24
X射線光譜

硬性X射線 軟性X射線 UV光譜

EUV DUV MUV

0.1 nm 1 nm 10 nm 100 nm

同步加速器 準分子雷射 汞

圖 15.13 25
X射線光罩之示意圖
鍍鉻金層之X射線
矽晶圓 吸收體圖案
玻璃架 蝕刻到較底薄膜
之窗口

薄膜

X射

X射線係透過類似如圖的光罩而直接
掃向晶圓

Redrawn from K. Nalcamura, Lithography, ULSI Technology, ed. by C.


Chang and S. Sze (New York: McGraw-Hill, 1996), p. 314.
圖 15.14 26
光阻與微影之發展趨勢
負光阻 1970s 10 μm 接觸印刷
1.2 μm 掃描對準器
正光阻 1980s
(DNQ-Novolak) 1 μm G-line步進機
0.40 μm i-line步進機
相移光罩、
化學倍增式光阻 1990s 0.35 μm 離軸照明
DUV步進機
0.18 μm
DUV步進掃描
EUV步進掃描
先進光阻頂層顯像術 2000s 0. 13 μm
SCALPEL
2010 0. 1 μm
IPL、X射線
圖 15.15 27
頂層轉像術
UV
未曝光光阻 已曝光 鏈結

已曝光光阻

(a)正常之曝光製程 (b)曝光後烘烤

氧電漿顯影
HMDS 矽化後之已
曝光光阻
Si Si

(c)氣相矽化 (d)最後已顯影之圖案

圖 15.16 28
半導體製造技術

第 16 章
蝕刻
目的
研讀本章之內容後,你將可學習到:
1. 列出並討論9項重要的蝕刻參數。
2. 解釋何謂乾蝕刻,包括它的優點並討論如何產生蝕刻作
用。
3. 列出並描述7種乾式電漿蝕刻反應器的設備系統。
4. 解釋高密度電漿 (HDP) 蝕刻的優點並討論4種不同形式
的HDP反應器。
5. 分別舉出介電質、矽及金屬乾蝕刻的應用例子。
6. 討論濕蝕刻及其應用。
7. 解釋光阻是如何被移除的。
8. 討論蝕刻檢測及其相關的重要品質測量。
2
應用於CMOS技術中之晶圓蝕刻

光阻罩幕 光阻罩幕
要蝕刻的膜層 受保護的膜層

(a)光阻圖案化的基板 (b)蝕刻後基板

圖 16.1 3
一般CMOS製作流程圖

晶圓製作 (前段)
啟始晶圓
薄膜 研磨

未圖案化晶圓
已完成之晶圓 擴散 黃光 蝕刻

測試/分類 植入

(Used with permission from Advanced Micro Devices)


圖 16.2 4
蝕刻製程

蝕刻製程的形式
• 濕蝕刻
• 乾蝕刻
• 三種主要的蝕刻材料
– 金屬
– 介電質
– 矽
• 圖案化蝕刻與未圖案化蝕刻

5
蝕刻參數

蝕刻速率
蝕刻輪廓
蝕刻偏差
選擇性
均一性
殘留物
聚合物形成
電漿引起的破壞
微粒污染

6
蝕刻速率
ΔT =厚度改變量

ΔT

t = 蝕刻進行的時間

開始蝕刻 中止蝕刻

圖 16.3 7
濕化學等向性蝕刻

等向性蝕刻⎯⎯在所有方向均以相
同的速率進行蝕刻

光阻

薄膜

基板

圖 16.4 8
具垂直蝕刻輪廓之異向性蝕刻

異向性蝕刻⎯⎯僅在某一方向進行蝕刻

光阻

薄膜

基板

圖 16.5 9
濕蝕刻相對於乾蝕刻之側壁輪廓

蝕刻形式 側壁輪廓 圖例

濕蝕刻 等向性

等向性 (依設備及
參數而定)
異向性 (依設備及
參數而定)
乾蝕刻
異向性⎯⎯斜坡性

矽溝渠

表 16.1 10
蝕刻偏差

Wb 偏差
Wa
偏差
光阻 光阻

薄膜 薄膜

基板 基板
(a) (b)

圖 16.6 11
蝕刻後底切及斜坡

底切

光阻

過蝕刻
薄膜

基板

圖 16.7 12
蝕刻選擇性

Er

Ef Ef 氮化物
S=
Er

氧化物

圖 16.8 13
蝕刻均一性
在一批晶圓中,隨機選取3到5片晶圓 測量每一晶圓上5到9個位置的蝕
刻速率,繼之對每一晶圓計算蝕
刻均一性並相互比較之

圖 16.9 14
用以增加異向性的聚合物側壁保護層

電漿離子

光阻
氧化物


聚合物形成

圖 16.10 15
乾蝕刻

乾蝕刻較濕蝕刻所具有之優點
蝕刻作用
電位分布

16
乾蝕刻較濕蝕刻所具有的優點

1. 蝕刻輪廓係為一具可對側壁輪廓優良控制的異
向性。
2. CD 的良好控制。
3. 較少的光阻剝離或附著性問題。
4. 從晶圓到晶圓以及從批次到批次,晶圓區內均
具良好的均一性。
5. 較低的化學使用及善後成本。

表 16.2 17
矽晶圓之電漿蝕刻製程
RF產生器

陽極
1)蝕刻氣體進入 電場
反應室 蝕刻製程反應室
氣體傳送

λ 副產物
2)電場將反應 3)電子與原子的結 8)副產物之
物分解 合產生電漿 移除
排氣
λ
4)反應性正離子
轟擊表面 6)原子團與膜表面 7)副產物之
5)反應性離子在 的表面反應 去吸附
表面之吸附

異向性蝕刻
基板 等向性蝕刻
陰極

圖 16.11 18
化學性與物理性之乾蝕刻機制

物理蝕刻 化學蝕刻
被濺擊過的表面
材料 副產物之去
反應性正離 吸附
子轟擊表面 原子團與薄膜表面
之表面反應

異向性蝕刻 等向性蝕刻

圖 16.12 19
化學性對於物理性之乾式電漿蝕刻

物理性蝕刻 物理性蝕刻
化學性與物理
蝕刻參數 (射頻電場垂 (射頻電場平行 化學性蝕刻
性的組合
直晶圓表面) 於晶圓表面)

物理性離子濺 原子團在電漿中 原 子 團 在 液 在乾蝕刻中,蝕


擊 與晶圓表面起作 態 中 與 晶 圓 刻包含離子濺擊
蝕刻機制
用* 表面起作用 與原子團及晶圓
表面的作用
側壁輪廓 異向 等向 等向 等向到異向
劣/難以增加 普通/良好 良好/優 普通/良好
選擇性
(1:1) (5:1 到 100:1) (高達 500:1) (5:1 到 100:1)
蝕刻速率 高 適中 低 適中
CD 控制 普通/良好 劣 劣到不存在 良好/優
* 主要用於剝除及回蝕。

表 16.3 20
具電位分布之反應器發光放電區的示意圖

RF

-V 0 +V
電源電極板
Vt

離子鞘 電漿 (+Vp) Vp

接地電極板

圖 16.13 21
改變電漿蝕刻參數的效應
蝕刻控制參數之
物理性
增 加 (↑) 或 減 少 離子能 DC 偏壓 蝕刻速率 選擇性
(↓) 蝕刻

↑ ↓ ↓ ↓ ↑ ↓
RF 頻率
↓ ↑ ↑ ↑ ↓ ↑

↑ ↑ ↑ ↑ ↓ ↑
RF 功率
↓ ↓ ↓ ↓ ↑ ↓

↑ ↑ ↑ ↑ ↓ ↑
DC 偏壓
↓ ↓ ↓ ↓ ↑ ↓

↑ ↓ ↓ ↓ ↑ ↓
電極尺寸
↓ ↑ ↑ ↑ ↓ ↓

表 16.4 22
電漿蝕刻反應器

直桶式電漿蝕刻機
平行板 (平面式) 反應器
順流式蝕刻系統
三極體平面式反應器
離子束磨粉
反應性離子蝕刻 (RIE)
高密度電漿蝕刻機
蝕刻系統的回顧
終點偵測
蝕刻反應室的真空
23
典型之直桶式反應器架構圖

氣體進入 晶圓
晶圓

RF電極 反應室

RF產生器 石英舟 真空幫浦

圖 16.14 24
平行板電漿蝕刻
匹配網路 RF產生器

氣體 微控制器
分散板
操作員介面
氣體流量控制器
終點信號
晶圓
壓力控制器
壓力信號
氣體面板 電極
排氣
Root’s
幫浦

粗抽幫浦

製程氣體

圖 16.15 25
順流式反應器示意圖

微波能量 微波電源
2.45 MHz

電漿反應室

擴散器

晶圓吸盤

加熱燈

至真空系統

圖 16.16 26
三極體平面式反應器

電感線圈

電感耦合式
RF產生器 (3.56 MHz)

電容器
電容耦合式
RF產生器 (100 kHz)

圖 16.17 27
一般離子束蝕刻機之示意圖
遮幕簾柵極 加速簾柵極
電磁改善離子化 _ 中和用燈絲
+ +

電漿反應室
(陽極排斥正離子)
熱燈絲發射出電子 + +
+
+ + + + +
+ + + + +
++ +
+ + +
+
+ + + +
+
++ +
+ + + + + + +
++ + + + +
+
+ + + +
+ +
+ +
氣體入口 +
+
+ +
+ +

(氬) +

晶圓可被傾斜藉
以控制蝕刻輪廓
至真空系統
Redrawn from Advanced Semiconductor Fabrication Handbook, Integrated Circuit Engineering Corp., pp. 8−12.
圖 16.18 28
平行板RIE反應器
接地電極
(陽極)

氫正離子(物 氟(化學蝕
理蝕刻成分) 刻成分) 晶圓

電源電極
(陰極)
RF產生器

圖 16.19 29
高密度電漿蝕刻機

(Photo courtesy of Applied Materials, Inc.)


照片 16.1 30
電子迴旋反應器之示意圖
微波電源2.45 MHz 石英視窗
導波管
電漿反應室

擴散器

迴旋加速磁鐵
晶圓

額外磁鐵

13.56 MHz
靜電吸盤

真空系統
Redrawn from Y. Lii, “Etching,” ULSI Technology, ed. by C. Chang and S. Sze (New York: McGraw-Hill, 1996), p. 349.
圖 16.20 31
電感耦合式電漿蝕刻

RF產生器 電感線圈

介電視窗

電漿反應室 電磁鐵

受偏壓之晶圓吸盤 偏壓RF產生器

Redrawn from Y. Lii, “Etching,” ULSI Technology, ed. by C. Chang and S. Sze (New York: McGraw-Hill, 1996), p. 351.
圖 16.21 32
雙電漿源 (DPS)
電感耦合之RF產
生器(源電源)

去耦合式
電漿反應室
晶圓

渦輪幫浦
陰極

低反應室

電容耦合式RF產生器
(偏壓電源)
Redrawn from Y. Ye etal., Proceedings of Plasma Processing XI, Vol. 96-12, ed. G. Mathod and M. Meyyoppan
(Pennington, NJ: The Electromechanical Society, 1996): p. 222.
圖 16.22 33
磁場加強式反應性離子蝕刻 (HERIE)

電磁鐵
(四片中之一片)

晶圓

受偏壓之晶圓吸盤

13.56 MHz

Redrawn from Wet/Dry Etch (College Station, TX: Texas Engineering Extension Service, 1996), p. 165.

圖 16.23 34
乾式蝕刻機台的架構
高密
蝕刻 壓力 偏壓電
架構 配置 度電 偏壓 輪廓
機制 (torr) 源
漿
直桶式 化學性 10−1 到 1 容器外之線圈或電極 否 卡匣中 (本體) RF 等向性
物理及 10−1 到 1 平面二極體 (兩個電極) 否 位於電源電極 RF 等向及
平行板
化學性 (陽極或接地電 異向性
(平面式)
極)
化學性 10−1 到 1 容器外之線圈或電極 否 卡匣中 (本體) RF 或微波 等向性
順流式電漿
電漿的下游
三極體平面式 物理性 10−3 三極體(三個電極) 否 位於電源電極 異向性
−4
物理及 10 平面式三極體 否 位於電源電極 異向性
離子束磨粉
化學性 (陽極)
反應性離子蝕刻 物理性 <0.1 平面或圓柱式二極體 否 位於陰極 異向性
(RIE)
電子迴旋共振式 物理性 10−3 到 與電漿流平行之磁場圍繞 是 位於陰極 RF 或 DC 異向性
(ECR) 10−4 (低)
分布式 ECR 物理性 低 中央電漿而分布的磁鐵 是 位於陰極 RF 或 DC 異向性

電感耦合式電漿 物理性 低 介電板所隔離的環形線圈 是 位於陰極 RF 或 DC 異向性


(ICP)
雙電漿源 物理性 低 獨立的電漿及晶圓偏壓 是 位於陰極 RF 或 DC 異向性
磁場加強式 RIE 物理性 低 利用磁場侷限電漿的平面 是 位於陰極 RF 或 DC 異向性
(MERIE) 式二極體
直桶式 化學性 10−1 到 1 容器外之線圈或電極 否 卡匣中 (本體) RF 等向性
表 16.5 35
用於電漿蝕刻之終點偵測

終點偵測
蝕刻參數

正常蝕刻 偵測到的蝕
刻速率改變
係發生於此

終點信號
中止蝕刻

時間

圖 16.24 36
電漿蝕刻中,激化物種的特徵波長

蝕刻劑氣體的
材料 某些產物 波長 (nm)
發射物種
CF4/O2 SiF 440; 777

Cl2 SiCl 287
二氧化矽 CHF3 CO 484
Cl2 Al 391; 394; 396

BCl3 AlCl 261
O2 CO 484
光阻 OH 309
H 656
N2 (蝕刻前及 N2 337
氮氣 後用以作為清 NO 248
除氣體)

表 16.6 37
綜點偵測

Photograph courtesy of Advanced Micro Devices, Lam Rainbow etcher 38


乾蝕刻的應用

• 介電質的乾蝕刻
– 氧化物
– 氮化矽
• 矽的乾蝕刻
– 多晶矽閘的蝕刻
– 單晶矽蝕刻
• 金屬的乾蝕刻
– 鋁及金屬積層
– 鎢回蝕
– 接觸金屬的蝕刻
39
成功的乾蝕刻所需具備的要件

1.高選擇性以避免不欲蝕刻的材料 (主要為
光阻及底層) 被蝕去。
2.高蝕刻速率以完成可接受的晶圓產能。
3.良好的側壁輪廓控制。
4.跨於晶圓上的良好蝕刻均一性。
5.低的元件損壞率。
6.製造所需之寬廣製程容忍度。

40
乾蝕刻之關鍵性參數
設備參數: 製程參數:
• 設備設計 • 電漿與表面的交互作用
• 電漿電源功率 -表面材料
• 電漿電源頻率 -不同膜層的材料基層
-表面溫度
• 壓力 電漿蝕刻 -表面電荷
• 溫度 一晶圓
-表面形拓
• 氣體流量率
• 化學及物理要求
• 真空條件
• 時間
• 製程訣竅
品質參數:
其他能提供的因素: • 蝕刻速率
• 無塵室規定 • 選擇性
• 操作程序 • 均一性
• 維護保養程序 • 特徵面貌的輪
• 預防保養時程 • CD
• 殘留物
圖 16.25 41
氧化物蝕刻反應器
CF4
C3F8
碳氫或碳氟
C4F8
化學品之選擇
CHF3
NF3
SiF4
CHF
Ar CF2
HF
電漿
F CH4 晶圓

靜電吸盤

圖 16.26 42
用於蝕刻停止之硬式罩幕層
實例:氮化矽 (SiN3) 在LI氧化物蝕刻期間係作為一蝕刻停止層。
註:數字用以表示5個操作程序之順序。
摻雜氧化物CVD
2 Doped oxide CVD

1 氮化矽CVD
Nitride CVD 3 氧化物CMP
Oxide CMP 氧化物蝕刻
4 Oxide etch 氮化物蝕刻
Nitride etch
LI Oxide
LI氧化層 5

n井
n-well p井
p-well

p− 磊晶層
p- Epitaxial Layer
p+ 矽基板
p+ Silicon Substrate

圖 16.27 43
不同深度的接觸窗蝕刻

接觸窗

G
S D

圖 16.28 44
多晶矽導電體之長度

多晶矽閘極 閘極氧化物

閘極
源極 汲極

閘極長度決定了通道長度與定
義出源/汲極電極之邊界

圖 16.29 45
多晶矽蝕刻的步驟製程

1.第一步驟為突破步驟 (breakthrough step),即


移除原生氧化物、硬罩幕 (如SiON) 及表面
污染物
2.接下來為蝕刻到終點的主要蝕刻步驟 (main-
etch step),這個步驟移除了大部分的多晶矽而
不損害到閘極氧化物
3.最後為過度蝕刻步驟 (overetch step),即利用
此移除剩下的殘留物及多晶矽枝狀物,同時並
維持對氧化物的高選擇性

46
多晶矽閘極蝕刻期間之不預期的微細溝渠形成

離子

閘極氧化物中之溝槽 光阻

多晶矽
閘極氧化物

基板

圖 16.30 47
矽溝渠之蝕刻

圖 16.31 48
金屬蝕刻的主要要求
1.高蝕刻速率 (大於1000 nm/min)。
2.對罩幕層 (大於4:1)、層間介電層 (大於20
:1) 及底層有高選擇性。
3.在良好CD控制與沒有微負載效應 (於晶圓每
一位置小於8%) 條件下的高均一性。
4.沒有來自電漿引起之電性充電的元件損壞。
5.低的殘留物污染 (如銅矽殘留物、顯影液侵蝕
及表面缺陷)。
6.快速的光阻剝除而不具殘留物污染,此常在於
一專門性群集工具之反應室中予以實施。
7.不具腐蝕性。
49
用於VLSI/ULSI積集化之金屬積層
光阻罩幕
Photoresist mask 金屬蝕刻
Metal etch

TiN
Al + Cu (1%)
Ti
ILD-1

LI Oxide
LI氧化物

n井
n-well p井
p-well

p− 磊晶層
p- Epitaxial layer

p+ 矽基板
p+ Silicon substrate

圖 16.32 50
蝕刻金屬積層的典型步驟

1.移除原生氧化物的突破步驟。
2. ARC層的蝕刻 (可能與上述步驟相結合)。
3.鋁的主要蝕刻步驟。
4.移除殘留物的過度蝕刻步驟,其可能為主要蝕
刻步驟之延續。
5.阻障層之蝕刻。
6.防止腐蝕的殘留物選擇性移除製程。
7.光阻之移除 (見下一節)。

51
鎢回蝕

介質孔 SiO2

第二ILD
第一金屬積層
第一ILD
(a)蝕穿ILD-2 (二氧化矽) 之介質孔 (b)以CVD鎢填滿介質孔

鎢插塞 SiO2

第二金屬積層
鎢插塞

(c)鎢回蝕 (d)第二金屬之沈積

圖 16.33 52
濕蝕刻

• 濕蝕刻參數
• 濕蝕刻種類
– 濕式氧化物的蝕
– 濕化學剝除

53
濕蝕刻參數

參數 解說 控制的困難度
溶液的濃度 (如用 因為浴濃度持續在改
於蝕刻氧化物之 變,故大部分參數均難
濃度
NH4F : HF 的 比 以控制。
例)。
晶圓浸沒在濕式化 相當容易控制。
時間
學溶液的時間。
濕式化學溶液的溫 相當容易控制。
溫度
度。
溶液的老化。 適切的控制具適中的困
老化
難。

表 16.7 54
在25℃,BHFa溶液中,
氧化物蝕刻速率之近似值

氧化物種類 密度 (g/cm3) 蝕刻速率 (nm/s)


乾式成長 2.24 – 2.27 1
濕式成長 2.18 – 2.21 1.5
CVD 沉積 < 2.00 1.5b – 5c
濺鍍 < 2.00 10 – 20
a) 680ml 水加入 454g 的 NH4 溶液 10 份加上 48%的 HF 溶液 1 份。
b)在約 1,000℃下回火 10 分鐘。
c)未回火。
* B. El-Kareh, Fundamentals of Semiconductor Processing Technology (Boston: Kluwer
Academic, 1995), p. 277.

表 16.8 55
多晶矽蝕刻技術之改革
時間範圍及
幾何需求 化學品 效果長處 限制及問題 控制
反應器設計
1977 年前: 以醋酸或 批次處理 光阻剝離;溶 終點係由操作
4 到 5μm,
濕蝕刻 水緩衝之 液老化;溫度 員判斷
等向蝕刻
HF/HNO3 敏感性
1977 年: CF4/O2 批次處理 非均一性;等 壓力計及計時
3μm 直桶式蝕刻機 向性蝕刻;大 器
底切
1981 年: CF4/O2 單晶圓;個別之蝕刻 低的氧化物選 終點偵測
2μm 單晶片蝕刻 終點;重現性之改善 擇性;等向蝕

1982 年: SF6/Freon MFCs;獨立的壓力及 低的氧化物選 MFCs;分離的
1.5μm 單晶圓 RIE 11、 氣體流量的控制;重 擇性;輪廓控 氣體流量及壓
SF6/He 現性之改善 制 力控制
1983 年: CCl4/He、 裝卸載式反應室;可 高深寬比值的 電極間隙之控
到 0.5μm 可變的間隙; Cl2/He、 變的間隙;重現性之 為負載效應; 制;電腦控制
裝卸載裝置 Cl2/HBr 改善 輪廓控制
1991 年: Cl2、HBr 高密度電漿;低壓; 複雜的工具; 獨立的 RF 控制
到 0.25μm
電感耦合式電 簡單的氣體混合物; 許多變數 於電漿產生及
及以下
漿 (ICP) 重現性之改善 晶圓偏壓

表 16.9 56
光阻移除

電漿灰化法
• 灰化器概
• 電漿損壞
• 殘留物的移除

57
在灰化器中,氧原子與光阻之反應

順流式電漿
1)氧分子進入
反應室 灰化器反應室
氣體輸送
6)副產物移除
λ
λ
排氣

+
2)氧解離成氧原子
3)電漿能量將氧
轉變成正離子

+
+
中性原子團 + 5)副產物之
去吸附
+
+ + 4)中性氧原子及正氧離子
與光阻中之碳及氫反應
+

光阻
基板

圖 16.34 58
介質孔蝕刻後之遮蔽殘留物
聚合物殘留物

介質孔
遮蔽物

圖 16.35 59
半導體製造技術

第 17 章
離子植入
目的
研讀本章內容後,你將可學習到:
1. 解釋晶圓製作中,摻雜的目的與應用。
2. 討論摻質擴散的原理與製程。
3. 提供有關離子植入之概要說明,包括它的優缺
點。
4. 討論離子植入時,有關劑量與範圍的重要性。
5. 列出並描述離子植入所需之5項主要次系統。
6. 解釋何謂離子植入之回火及通道效應。
7. 描述出離子植入的不同應用。

2
半導體製程中慣用的摻質

IIIA 族受體摻質 (p 型) IVA 族半導體 VA 族施體摻質 (n 型)

元素 原子量 元素 原子量 元素 原子量

硼 5 碳 6 氮 7
鋁 13 矽 14 磷 15
鎵 31 鍺 32 砷 33
銦 49 錫 50 銻 51

表 17.1 3
具各種摻雜區之CMOS結構圖

p通道電晶體 n通道電晶體
O
N
M K L LI氧化層 I J
p+ n+

n+ STI p– + p– STI n– n– STI p+


p p+ n+ +
n p n
n+ F H p+
n井 E G P井
n++ p++
C D

B
p– 磊晶層

A p+ 矽基板

圖 17.1 4
晶圓製作中慣用之摻質製程
製程步驟 慣用之摻質物種 離子植入或擴散
A. p+矽基板 硼 擴散
B. p−磊晶層 硼 擴散
C. 退化型 n 井 磷 離子植入
D. 退化型 p 井 硼 離子植入
E. p 通道衝穿 磷 離子植入
F. p 通道臨界電壓 (VT) 調整 磷 離子植入
G. n 通道衝穿 硼 離子植入
H. n 通道 VT 調整 硼 離子植入
I. n 通道輕摻雜汲極 (LDD) 砷 離子植入
J. n 通道源/汲極區 (S/D) 砷 離子植入
K. p 通道 LDD BF2 離子植入
L. p 通道 S/D BF2 離子植入
M. 矽 矽 離子植入
N. 多晶矽摻雜 磷或硼 離子植入 或擴散
O. SiO2 摻雜 磷或硼 離子植入 或擴散
表 17.2 5
在晶圓製作流程中之離子植入
晶圓製作 (前段)

啟始晶圓
薄膜 研磨

未圖案化晶圓

已完成晶圓 擴散 黃光 蝕刻

光阻罩幕
測試/分類 植入
植入後回火
硬罩幕(氧化物或氮化物)

(Used with permission from Advanced Micro Devices)


圖 17.2 6
矽晶圓之摻雜區

摻質氣體

擴散區
氧化物 氧化物

p+ 矽基板

圖 17.3 7
擴散
• 擴散原理
– 三步驟
• 預沉積
• 趨入
• 活化
– 摻質移動
– 固體溶解度
– 橫向擴散
• 擴散製程
– 晶圓清潔
– 摻質源
8
摻質在矽中之擴散
Si Si Si 摻質 Si Si Si
空位
Si Si Si Si Si

Si Si Si Si Si Si

a)矽晶格結構 b)取代擴散

間隙位置中之摻質
Si Si Si Si Si Si

Si Si Si Si Si
位於間隙位置之
Si 被取代的矽原子
Si Si Si Si Si Si

c)力學式間隙取代 d)間隙擴散

圖 17.4 9
1,100℃時矽中的固體溶解度極限

摻質 溶解度極限 (atoms / cm3)

砷 (As) 1.7 x 1021


磷 (P) 1.1 x 1021

硼 (B) 2.2 x 1020

銻 (Sb) 5.0 x 1019

鋁 (Al) 1.8 x 1019

表 17.3 10
擴散製程
正確執行擴散所需的8道步驟
1.程序鑑定測試以確保設備符合產品品質標準。
2.利用批次控制系統驗證晶圓特性。
3.下載所需擴散參數的製程處方。
4.設定爐管,包括溫度輪廓。
5.清潔晶圓並將晶圓浸入氫氟酸以移除原生氧化物。
6.執行預沉積:裝載晶圓於沉積爐管中並進行摻質擴散。
7.執行驅入:增加爐管溫度以進行驅入及活化摻質鍵結,
繼之卸載出晶圓。
8.測量、評估及記錄接面深度與片電阻值。

11
典型的擴散摻質源

摻質 摻質源分子式 化學名稱
砷 (As) AsH3 砷化氫 (氣體)
磷 (P) PH3 磷化氫 (氣體)

磷 (P) POCl3 氯氧化磷 (液體)

硼 (B) B2H6 硼乙烷 (氣體)

硼 (B) BF3 三氟化硼 (氣體)

硼 (B) BBr3 三溴化硼 (液體)

銻 (Sb) SbCl5 五氯化銻 (固體)

*SEMATECH “Diffusion Process,” Furnace Process and Related Topics, (Austin, TX: SEMATE- CH, 1994), p.7.

表 17.4 12
離子植入

• 概述
– 控制摻質濃度與深度
– 離子植入的優點
– 離子植入的缺點
• 離子植入參數
– 劑量
– 範圍

13
摻質濃度及深度之控制

低能量 高能量
離子植入機 低劑量 離子植入機 高劑量
快掃描速度 低掃描速度
摻質離子
束掃描 束掃描

罩幕 xj 罩幕 罩幕 罩幕
xj

矽基板 矽基板

(a) 低摻質濃度 (n−, p−) 及淺接面 (xj) (b) 高摻質濃度 (n+, p+) 及深接面 (xj)

圖 17.5 14
一般離子植入機之示意圖

離子源

電漿
萃取總成
分析用磁鐵
加速柱狀體
離子束 製程反應室

掃描圓盤

圖 17.6 15
離子植入機

(Photo courtesy of Varian Semiconductor Equipment, VIISion 80)


照片 17.1 16
離子植入之優點
(參考表 17.5)

1.摻質濃度的準確控制
2.良好的摻質均一性
3.摻質穿透深度的良好控制
4.產生一純離子束
5.低溫製程
6.穿透薄膜而植入摻質的能力
7.沒有固體溶解度極限

表 17.5 17
植入機的種類
植入機系統的種類 描述與應用
高純度離子束電流<10mA。
離子束能量<180 keV。
低/中電流
大部分常是固定離子束而晶圓被予以掃描。
穿透停止的特定應用。
產生大於 10mA 甚至到 25mA 的離子束電流以用於高
劑量植入。
離子束能量常小於 120 keV。
高電流
大部分常是固定晶圓,而由離子束進行掃描。
超低能量離子束 (小於 4 keV 到 200 eV) 以用於植入超
淺源/汲極接面。
離子束能量超過 200 keV 到好幾 MeV。
高能量 置摻質於溝渠或厚氧化層下。
能形成退化型井及埋層。
氧離子植入機 用於植入氧於絕緣層上有矽的應用之高電流系統種類。

表 17.6 18
摻質離子之範圍及投射範圍

入射離子束

矽基板

Rp ΔRp 摻質分布
單離子停止點

圖 17.7 19
投射範圍與植入能量之關係圖
1.0
植入矽中

投射範圍
B P As
Sb
0.1
Rp
(μm)

0.01
10 100 1,000
植入能量 (keV)
Redraw from B. El-kareh, Fundamentals of Semiconductor Processing Technologies, (Boston: Kluwer, 1995), p. 388.
圖 17.8 20
植入後摻質原子之能量損失

帶能之摻質離子

電子式碰撞
矽晶體晶格
Si Si Si Si Si Si
X射線
Si Si Si Si Si Si
原子式碰撞
Si Si Si Si Si
被置換的矽原子
Si
Si Si Si Si Si Si

圖 17.9 21
由於輕與重離子所造成的晶體破壞

輕離子撞擊 重離子撞擊

圖 17.10 22
離子植入機
™離子源
™萃取與離子分析儀
™加速柱狀體
™掃描系統
™製程反應室
™回火
™通道效應
™微粒
23
離子源與萃取總成之外貌圖
離子束
萃取總成 萃取電極
弧光反應室
離子源反應室

萃取總成 渦輪幫浦

離子源絕源體

(Used with permission from Applied Materials, Inc., Precision Implanter 9500)
圖 17.11 24
Bernas離子源總成之示意圖
弧光反應室 前板

霧化噴嘴
縫隙
陽極 弧光反應室
烤箱 +100 V 電子排斥器

氣體饋入管 5V

氣體入口 電子反射器
燈絲

DI冷卻水入口
摻質氣體入口
(Used with permission from Applied Materials, Inc., Precision Implanter 9500)
圖 17.12 25
離子源與萃取總成間之相互作用
離子源 萃取總成
N 接地電極
S
抑制電極 -
+ +
-
+ + -
-
+ + -
離子源磁鐵 -
To PA
供應站 + + 正離子束 -
-
+ + -
-
+ + -
+ -
+

N
S 2.5 kV
抑制

5V 120 V 60 kV
燈絲 弧光 萃取
終點參考電壓
(PA電壓)
(Used with permission from Applied Materials, Inc., Precision Implanter 9500)
圖 17.13 26
分析用磁鐵

離子源
萃取總成

分析用磁鐵
離子束
較輕離子

中性子

重離子 石墨

圖 17.14 27
離子植入機分析儀磁鐵

(Photo courtesy of Varian Semiconductor Equipment, VIISion 80)


照片 17.2 28
加速柱狀體

+100 kV +80 kV +60 kV +40 kV +20 kV 0 kV


電極

離子束
離子束

來自分析用磁鐵 至製程反應室

+100 kV
100MΩ 100MΩ 100MΩ 100MΩ 100MΩ

圖 17.15 29
劑量對能量圖
1016 目前的應用
多晶矽摻雜
發展中的應用
近接捕捉
1017
源/汲極
損壞工程
劑量

1015
(atoms/cm2 )

1014 埋層
通道及汲極工程
1013
Vt調整 退化型井
1012
三重式井
1011
0.1 1 10 100 1000 10,000
能量 (keV)
(Used with permission from Varian Semiconductor Equipment)
圖 17.16 30
用於高能量植入機之線性加速器

線性加速器
原子量分析磁鐵 終端能量分析磁鐵

晶圓
離子源
掃描圓盤

圖 17.17 31
空間電荷的中性化

摻質離子 二次電子

+
+

+
+
+

+
+
+ +

+
+ +
+
+
+

+ + +
+

+ +
+ +
+

+
+

+
+ + +
+ +
+

+
+
+

+
+

+
+ +
+
+

束膨大之橫切面 具空間電荷中性化
之離子束的橫切面

圖 17.18 32
中性束捕捉

聚焦陽極 中性束 中性束路徑 接地收集板


分析用磁鐵 捕捉

加速器 離子束
Y軸偏向
X軸偏向
離子源 晶圓

(Used with permission from Varian Semiconductor Equipment)


圖 17.19 33
晶圓之靜電式離子束掃描
低頻的Y軸偏向
高頻之X軸偏向

Y軸偏向 晶圓
正離子束

X軸
偏向 扭轉 傾斜

圖 17.20 34
植入之遮蔽效應

離子束 子 束

光阻 光阻

a)無傾斜之機械式掃描 b)具正常傾斜之靜電式掃描

圖 17.21 35
植入晶圓之機械式掃描
溢出杯
離子束 掃描外徑
植入面積
(計算值)
旋轉 掃描內徑

(Used with permission from Varian Semiconductor Equipment, VIISion 80 Ion Implanter)
圖 17.22 36
用於晶圓充電控制之電子簇射器
二次電子靶材
負偏壓縫隙
二次電子 晶圓

+
+ +
+

+
+
++

+
+
+

+ +
+ +

+
+

+
+

+
+
+ + +

+
+

+
+
+
+

+ +

離子束
+
+

+
+

正離子束復合

電子槍

(Used with permission and adapted from Eaton NV10 Ion Implanter, circa 1983)
圖 17.23 37
電漿溢滿對晶圓充電之控制

已中性化之原子

+
+

+
+ +
+
+

+
+

+ +
Ion beam + +
+

+
+

+
+
+

+
+

+
+ +

+
電子發射 晶圓掃描方向
反應室壁
N N 電流(劑量)
負偏壓縫隙 + 監控器

+
+
+
電漿電子

+ +

+
+
溢滿反應室 Ar
Ar Ar
S S

氬氣入口
圖 17.24 38
離子植入器之終端站

(Photo courtesy of International SEMATCH)


照片 17.3 39
用於植入製程反應室之晶圓搬運裝置

植入次系統
離子源次系統
操作者介面

VIISion
影像監控器

終端站

晶圓卡匣裝卸

終端次系統
晶圓搬運器
製程反應室
掃描圓盤

(Used with permission from Varian Semiconductor Equipment, VIISion 200 Ion Implanter)
圖 17.25 40
離子束電流測量用之法拉第杯
裝載有晶圓
之掃描圓盤
圓盤中之取樣細縫 抑制器縫隙
法拉第杯

離子束

電流積分器

掃描方向

Redrawn from S. Ghandhi, VLSI Fabrication Principles: Silicon and Gallium Arsenide, 2nd ed., (New
York: Wiley, 1994), p. 417.
圖 17.26 41
矽晶體之回火

離子束
已修復的矽晶格結構及
活化後的摻質-矽鍵結

a)植入期間受損之矽晶格 b)回火後之矽晶格

圖 17.27 42
沿 <100> 軸方向所看到的矽晶格

(Used with permission from Edgard Torres Designs)


圖 17.28 43
離子進入的角度及通道

<100> <110> <111>

(Used with permission from Edgard Torres Designs)

圖 17.29 44
來自微粒污染所造成的植入破壞

離子植入機

束掃描

罩幕 罩幕

在植入區由微
粒造成的洞穴 矽基板

圖 17.30 45
離子植入在積體化製程之趨勢
所需不同植入製程的例子包括:
¾ 深埋層
¾ 退化型井
¾ 衝穿停止層
¾ 臨界電壓調整
¾ 輕摻雜汲極(LDD)
¾ 源/汲極植入
¾ 多晶矽閘極
¾ 溝渠式電容器
¾ 超淺接面
¾ 絕緣層上有矽(SOI)
46
埋入之植入層

退化型井

n井 p井
p−磊晶層
p+埋層
p+矽基板

圖 17.31 47
退化型井

n型摻質 p型摻質

n井 p井

n++ p++

p+ 埋層
p+ 矽基板

圖 17.32 48
衝穿停止層

n型摻質 p型摻質

n井 p井

n+ p+
n++ p++

p+ 埋層
p+ 矽基板

圖 17.33 49
用於臨界電壓調整之植入

n型摻質 p型摻質

n井 p井
n p
n+ p+
n++ p++
p+ 埋層
p+ 矽基板

圖 17.34 50
源/汲極區形成

p通道電晶體 n通道電晶體

p LDD植入 多晶矽 n− LDD植入 p+ S/D植入 n+ S/D植入
氧化物
閘極 間隙壁

++++ ++++ ----- ----- ++++ ++++ ----- -----


++++ ++++
-------- -- -- -- --
源極 汲極 源極 汲極 ++++ ++++

源極 汲極 源極 汲極
n井 p井 n井 p井

p+ 埋層 p+ 埋層
p+ 矽基板 p+ 矽基板

(a) p− 及n− 輕摻雜汲極之植入 (b)p+ 及n+ 源/汲極區之植入


(採二分別步驟分成) (採二分別步驟完成)

圖 17.35 51
溝渠式電容器的垂直側壁之摻質植入


+ 摻
n
形成電容器之溝渠
傾斜植入

n+

p+

圖 17.36 52
超淺接面

多晶矽閘極

180 nm

54nm的砷植入層 20Å的閘極氧化層厚度

圖 17.37 53
具與不具SIMOX埋入氧化層之CMOS電晶體

n井 p井 n井 p井
磊晶層 矽基板
已植入的二氧化矽
矽基板 矽基板
(a) 一般的CMOS晶圓架構 (b) 具SIMOX埋層之CMOS晶圓

圖 17.38 54
半導體製造技術

第 18 章
化學機械平坦化
目的
研讀本章內容後,你將可學習到:
1. 描述出平坦化的術語。
2. 列出並討論3種傳統平坦化種類。
3. 討論化學機械平坦化、晶圓平坦度之問題、CMP之
優點。
4. 描述出用於氧化物及金屬CMP所需之研漿及研磨墊
各為何?
5. 討論CMP設備,包括終點偵測及晶圓載器。
6. 解釋CMP後之清洗程序。
7. 列出並描述7種不同的CMP應用。
2
具有形拓之單一金屬層的IC

氮化物
上部
氧化物
墊 金屬
ILD 氧化物
多晶矽 多晶矽
場氧化物 金屬
n+ n+ p+ p+
金屬前氧化物 n井 金屬
側壁氧化物
閘極氧化物 p− 磊晶層
p+ 矽基板

圖 18.1 3
用於晶圓平坦化的術語

平坦化形式 描述

階梯高度的轉角為圓滑的且側壁是傾斜式的,但
平滑化 其高度則未能明顯降低。
部分平坦化 平滑化加上局部的階梯高度降低。
在 較 小 間 隙 (1 到 10μm) 或 在 晶 粒 間 局 部 區 域 的 完
局部平坦化 全充填,跨於晶圓上相對於平面的整體階梯高度
並未明顯降低。

完成局部平坦化加上跨於全晶圓的整體階梯高度
全面平坦化 亦有明顯的降低,此又稱為均一性。

表 18.1 4
平坦化之定性定義

a)未平坦化

b)平滑化

c)部分平坦化

d)局部平坦化

e)全面平坦化

圖 18.2 5
利用化學機械平坦化 (CMP) 之
多層金屬化示意圖

W SiO2 層間介電質
氧化物及鎢之
已平坦化層 W SiO2

W SiO2

次0.25微米的CMOS橫切圖

圖 18.3 6
具CMP之晶圓製程流程
晶圓製作 (前段)

啟始晶圓
薄膜 研磨

未圖案化晶圓

已完成晶圓 擴散 黃光 蝕刻

測試/分類 植入

(Used with permission from Advanced Micro Devices)


圖 18.4 7
未平坦化及已平坦化表面的多層金屬化

(a) 未平坦化IC (b) 已平坦化IC

(Micrographs courtesy of Integrated Circuit Engineering)


照片 18.1 8
傳統平坦化

回蝕法
玻璃再熱流法
旋塗式薄膜法

9
回蝕平坦化
平坦化用材料 不想要之形拓

光阻或SOG

SiO2

回蝕後之形拓

SiO2

圖 18.5 10
BPSG之再流平坦化

沈積之層間介電層

BPSG

再流之平滑效果

BPSG

圖 18.6 11
旋塗薄膜後之ILD-2氧化層
SOG

1) ILD-1

烘烤後SOG

2) ILD-1

ILD-2之沈積

3) ILD-1

圖 18.7 12
化學機械平坦化

CMP平坦度
CMP的優點
CMP機制
CMP研漿和研磨墊
CMP設備
CMP清潔
CMP設備製造商

13
化學機械平坦化 (CMP) 之示意圖

下壓力
晶圓載器 研磨墊
研漿噴灑器
晶圓
研漿
旋轉中平台

圖 18.8 14
用於平坦化程度之晶圓測量

研磨前測量 研磨後測量

SHpost
SHpre SiO2

Max SiO2
Max
Min
基板 Min

圖 18.9 15
CMP的優點
好處 說明
1. 平坦化 完成全面平坦化。
2. 可平坦化不同之材料 各式各樣的晶圓表面均可以平坦之。
3. 可平坦化多重材料之表面 在同一研磨步驟期間,有利於平坦化多重材料。
降低形拓,從而可准許更緊密的設計法則及額外內連
4. 降低嚴重的形拓
接層級的製作。
提供另一金屬圖案化的手段 (如鑲嵌製程),避免以電
5. 金屬圖案化之替代方法
漿來蝕刻難以蝕刻的金屬及合金之需要。
6. 改善金屬的階梯覆蓋性 由於形拓之降低而改善了金屬的階梯覆蓋性。
有助於次 0.5 微米與電路的 IC 可靠性、速度及良率
7. 增加 IC 可靠性
(較低的缺陷密度) 之增加。
8. 減少缺陷 CMP 為一種扣除式製程且可移除表面缺陷。
9. 不具危險性氣體 不使用一般用於乾蝕刻製程之危險性氣體。
表 18.2 16
CMP的缺點

缺點 說明
1. 新技術 CMP 屬於一種晶圓平坦化的新技術,因此對窄製程自
由度之製程參數存在有相當差的控制。
2. 新缺陷 來自 CMP 之新形式缺陷會影響晶粒之良率,這些缺陷
在次 0.25 微米特徵尺寸時,會變得更具關鍵性。
3. 需要額外之 CMP 針對製程與度量衡需要額外之製程發展,其中一
製程發展 例為 CMP 之終點偵測,因為 CMP 在一預定厚度的研
磨並不易被控制。
4. 資方成本高 CMP 因昂貴的設備與消耗品而屬於一種高成本的作業,
CMP 製程材料需要高度的維護與經常性的化學藥品與零
件更換。

表 18.3 17
氧化物CMP之機制
研磨墊
(1)研漿噴灑 (3)機械力將研漿壓入晶圓
研漿 旋轉 CMP系統
副產物
(5)副產物之移除
Si Si
吸氣
Si
(2)水與氫氣負離子移向晶圓表面 Si(OH)4 Si
Si (4)表面反應與 Si

機械性研磨

Si Si Si Si Si Si Si Si

Si Si Si Si Si Si Si Si Si Si Si Si Si Si Si

SiO2層

圖 18.10 18
用於金屬CMP之機制
研磨墊
下壓力
研漿 旋轉

1)表面蝕刻 2)機械性研磨
與鈍化
3)再鈍化 氧化物
金屬
氧化物
金屬
金屬

氧化物

圖 18.11 19
在高配線密度中之CMP損耗

鎢內連線 損耗
(軟材料、高研磨速率)

氧化物
(硬材料、低研磨速率)

圖 18.12 20
由於損耗所引起之未完成的介質孔蝕刻

由於先前介電層中的損耗所引起
的非均勻性SiO2 厚度變化,造成
了介質孔蝕刻的不完全

鎢介質孔 已平坦化SiO2

鎢介質孔 未平坦化SiO2

LI鎢
已平坦化SiO2
SiO2

第一個損耗之發生

圖 18.13 21
在大面貌中之CMP碟形

氮化物研磨停止層
碟形

銅移除

氧化物 (硬材料、 銅
低研磨速率) (軟材料、高研磨速率)

圖 18.14 22
CMP用研磨墊

多孔的表面

圖 18.15 23
CMP用研磨墊

(Photo courtesy of Speedfam-IPEC)


照片 18.2 24
由中心減慢性所產生之CMP晶圓輪廓圖

+ + + 較多的材料被移除
+ +
+ +
+ +
+ +

+ +
+ +

+ +
+ +
+ +
+ + + 較少的材料被移除

圖 18.16 25
CMP參數
參數 在晶圓上之平坦化結果
y 被移除的材料量
研磨時間
y 平坦度
y 移除速率
晶圓載器上之壓力(下壓力)
y 平坦化及非均一性
y 移除速率
平台之速度
y 非均一性
載器之速度 y 非均一性
y 材料選擇性
研漿化學劑
y 移除速率
研漿流動速率 y 影響墊上之研漿量及系統之潤滑特性
y 移除速率
墊再生處理 y 非均一性
y CMP 製程之穩定性
晶圓/研漿溫度 y 移除速率
y 中心減慢性/非均一性
晶圓背壓力
y 晶圓破損
表 18.4 26
具有複數個晶圓載器之CMP工具

研漿噴灑器

晶圓載器
研磨墊 載器

研磨用研漿

襯膜
旋轉中平台 晶圓

圖 18.17 27
CMP工具

(Photo courtesy of Speedfam-IPEC)


照片 18.3 28
馬達電流終點偵測

驅動電流
RPM設定值 馬達控制器 馬達
載器
馬達電流
之信號 墊
回饋
W

馬達電流
W/Ti/TiN
終點偵測 終點信號
系統 TiN/SiO2
SiO2
時間

圖 18.18 29
用於終點偵測之光學干涉計
光源 至光學偵測器

光纖

晶圓載器


晶圓
氧化物

Redrawn from H. Litvak and H. M. Tzeng, “Implementing Real-Time Endpoint Control in CMP,”
Semiconductor International (July 1996): p.262.
圖 18.19 30
CMP研磨頭載器之設計
與晶圓邊緣之非均一性

改進後研磨頭 傳統式研磨頭

晶圓載器及膜之壓力
固持環
之壓力 固持環
彈性可彎曲薄膜之壓力 (無壓力)

晶圓

平台

Redrawn from K. Wijekoon, et al., “Tungsten CMP Process Developed,” Solid State Technology (April 1998): p.55.
圖 18.20 31
CMP後清洗之發展

具巨超 雙邊刷洗機 DSS+氨 水 DSS+氨 水 DSS+額 外


音波機
之濕式 DSS + DI 水 及 HF 的化學品
工作台
氧化物
CM P √ √ √ √

CM P √ √

CM P √

圖 18.21 32
用於CMP後清洗的透過
刷子式化學品之輸送

多孔刷子

DI水及化學品

Redrawn from D. Hymes, et al., “Brush scrubbing Emerges as Future Wafer-Cleaning


Technology,” Solid State Technology (July 1997): p. 210.

圖 18.22 33
一些商業化CMP設備系統之例子
平板的數 晶圓載
運動 乾進/
供 應 商 /規 格 目/直徑 器的數 終點偵測
方式 乾出
(in.) 目
Applied M aterials
M irra 3400 旋轉 3 / 20” 4 是 是
Ebara
EPO-222 旋轉 2 / 23.6” 1 是 是
Speedfam -IPEC
Avanti 472 軌道
Avanti 672 軌道 2 / 22.5” 1 是 是
IPEC 676/776 軌道 3 or 6 / 32” 3或 6 是 是
Auriga-C 旋轉 4 / 16” 4 是 是
Lam
Teres 線性 2 belts 4 是 是
SpeedFam
Auriga 軌道 2 / 32” 5 是 是
Strasbaugh
Symphony 軌道 3 / 32” 4 是 是
表 18.5 34
CMP的應用

™STI氧化物的研磨
™LI氧化物的研磨
™LI鎢的研磨
™ILD氧化物的研磨
™鎢插塞的研磨
™雙鑲嵌銅的研磨

35
用於STI之氧化物填溝的CMP

CMP之研磨
Planarization by chemical mechanical polishing
1 Oxide CVD
氧化物之CVD
2 研磨後STI氧化物
STI oxide after polish 3 氮化物剝除
Nitride strip

n井
n-well p井
p-well
Liner
襯氧化物 oxide
p− 磊晶層
p- Epitaxial layer

p+ 矽基板
p+ Silicon substrate

圖 18.23 36
CMP平坦化之前及之後的LI氧化物

摻雜氧化物之CVD
2 Doped oxide CVD

1 氮化矽之CVD
Nitride CVD 3 氧化物之CMP
Oxide CMP

LI oxide
LI氧化物

n井
n-well p井
p-well

p− 磊晶層
p- Epitaxial layer

p+ 矽基板
p+ Silicon substrate

圖 18.24 37
ILD氧化物之研磨

1 ILD-1 oxide deposition


ILD-1氧化物之沈積 3 ILD-1 oxide etch
ILD-1氧化物之蝕刻
氧化物之CMP
2 Oxide CMP

ILD-1

LI oxide
LI氧化物

n井
n-well p井
p-well

p− 磊晶層
p- Epitaxial layer

p+ 矽基板
p+ Silicon substrate

圖 18.25 38
用於雙鑲嵌銅冶金化之CMP

2 銅之沈積
Cu deposition

Tantalum
鉭 1 鉭之沈積
Ta deposition 3 銅/鉭/氮化物/氧化物之CMP
Cu/Ta/nitride/oxide CMP

氮化物
Nitride Oxide

Copper

圖 18.26 39
CMP微刮痕所造成之結果

SiO2
微刮痕
ILD-2
金屬1積層
ILD-1
1) SiO2沈積後之CMP 2)介質孔蝕刻後之鎢介質孔填溝

鎢插塞 SiO2
兩鎢塞間之短

3)鎢之CMP

圖 18.27 40
半導體製造技術

第 19 章
晶圓測試
目的
研讀本章內容後,你將可學習到:
1. 討論IC製作中所執行的電性測試。
2. 解釋線上參數測試之目的及描述其如何執行。
3. 描述線上參數測試所使用之設備。
4. 闡述晶圓分類測試之目的及解釋其如何執行。
5. 概述及討論不同形式的晶圓分類測試。
6. 討論伴隨晶圓分類的測試問題。
7. 闡述及解說影響晶圓分類良率的因素。
8. 描述3種晶圓良率模型並討論良率管理。
2
IC產品所採用之各種不同的電性測試
(從設計階段到已封裝IC)
測試 IC 製作的階段 晶圓或晶片級 測試描述
1. IC 設計驗證 生產前 晶圓級 特徵化、除錯與驗證該新式
晶片設計以確保其符合規
格。
2. 線上參數測試 晶圓製作期間 晶圓級 在製程週期早期 (在前段製
程) 所執行的生產製程驗證
測試,其係用以監控製程。
3. 晶圓分類(針測) 晶圓製作後 晶圓級 產品功能測試以驗證每一晶
粒均符合產品規格。
4. 預燒可靠性 已封裝 IC 已封裝晶片級 IC 在升溫下提供往上加功率
及測試以對產品施加應力來
偵測出早期失效 (在某些情
形下,可靠性測試亦會在線
上參數測試期間以晶圓層級
方式執行)。
5. 成品測試 已封裝 IC 已封裝晶片級 利用產品規格作產品功能測
試。
表 19.1 3
自動電性測試機

(Photo courtesy of Advanced Micro Devices)


照片 19.1 4
與測試有關之晶圓廠製作流程

晶圓製作 (前段)

啟始晶圓
薄膜 研磨

未圖案化晶圓

已完成晶圓 擴散 黃光 蝕刻

測試/分類 植入

(Used with permission from Advanced Micro Devices)


圖 19.1 5
晶圓測試

線上參數測試(又稱之為晶圓電
性測試或WET)
晶圓分類(又稱之為電性分類)

6
線上參數測試的理由

1.確認製程問題
2.通過/未通過標準
3.數據收集
4.特別的測試
5.晶圓級可靠性

7
晶圓製作時,電性測試之時段
CMOS前段製程:
• 井形成
• 淺溝渠隔離 CMOS後段製程:
• 多晶矽閘形成 • 層間介電層沉積與CMP
• 源/汲極植入 • 介質孔形成
• 接觸形成 • 鎢介質孔填充與CMP
• 局部內連線 • 金屬沉積與蝕刻
• 第一層間介電層 • 以金屬層為基材重複上述步驟
• 第一金屬層沉積與蝕刻 • 墊金屬沉積與蝕刻
• 鈍化層與蝕刻

線上參數測試
(晶圓電性測試) 晶圓探測 通過

失效分析

圖 19.2 8
切割線中之監控用測試結構

具監控用測試
結構之切割線

圖 19.3 9
測試用結構之實施例

測試用結構 故障測量

獨立電晶體 漏電流、崩潰電壓、臨界電壓及通道有效長度

不同線寬 臨界尺寸
箱中有箱 臨界尺寸及重疊對準
氧化步驟上的盤旋結構 連續性及橋接性
電阻結構 膜厚
電容陣列結構 絕緣材料及氧化物之完整性
接觸或介質孔細線 接觸電阻及連接

表 19.2 10
用於第一層金屬接觸之測試用結構

I I
W2 + ΔW
W1 + ΔW

Iin VA VB VC VD Iout

_ _
+ V + V
1 2

Redrawn from Microelectronics Manufacturing Diagnostics Handbook, ed.


A. Lanzberg (New York: Van Nostrand Reinhold, 1993).

圖 19.4 11
線上參數測試的套裝範例
在程式中典型
測試參數 敘述 典型之測量層級
之測試代號
Opens 針對開路或短接電路所作之測試,用以檢查訊號路徑的完
/shorts 整性。因其屬於能迅速排除不良晶圓的快速性測試,故經 2 Go/No-go
常被第一個實施。
Gshorts 針對短接閘極結構的測試。 1 Go/No-go
Gateleak 測量閘極氧化物之漏電流,其為由少數載子所引起的反向
電流且與順向電流呈反方向流動,小元件尺寸將使漏電流 1 1pA
更為人所嚴重關切。
BVox 閘極氧化物崩潰電壓,這是針對閘極氧化物品質與強度所
2 10V
做的一種快速檢測。
Idsat 在施加已知閘極、汲極與基板電壓下,從汲極到源極之飽
和電流 (忽略通道電阻)。此為在施加最大閘電壓下對汲 16 20mA
極電流的測量。
Vt 測量電晶體開始有電流從汲極流向源極的閘臨界電壓。 22 0.2−1V
Vtsat 驅使汲極電流進入飽和時,在閘極端所需臨界電壓的大
16 0.4−1V
小。
Idoff 在截止模式下,元件之汲到源極間漏電流,此時閘電壓大
20 5−100pA
小並不足以形成通道。

(接下頁)
表 19.3 12
線上參數測試的套裝範例(續)

在程式中典型
測試參數 敘述 典型之測量層級
之測試代號
Rds 在指定汲極電流與電壓下的 Vds/Ids 比值。 20 25−1,000Ω
Peakisub 基板電流峰值。 6 5μA
BVdss 具最小通道長度之電晶體,在其閘極接地於源極
時所測量到的汲極到源極的崩潰電壓 (衝穿電
10 10V
壓)。該測量值必須比元件在正常工作時所看到的
操作電壓值為大。
Pfieldvt 以場氧化物作介電質的 pMOSFET 之臨界電壓。 2 12V
Nfieldvt 以場氧化物作介電質的 nMOSFET 之臨界電壓。 2 12V
Res2t 利用兩端接觸法決定電阻值。 21 2−1kΩ
Isolation 測試隔離結構的漏電特性。 11 100nA
Diode 藉由施加電壓並測量所產生電流而測試出二極體
fvmi 2 10nA
特性。

表 19.3 承上頁) 13
臨界電壓與驅動電流
_ VTH
+

閘極
源極 汲極
n+ e- n+ _

p-type silicon substrate ID


+

_ VDD
+

圖 19.5 14
數據趨勢

1.在晶圓上相同的晶粒位置一直未能通過
某一參數測試。
2.在不同的晶圓裡,相同的參數均一致地
不通過測試。
3.在晶圓到另一晶圓(from wafer to wafer)
間所測得數據有過大的變化量(如大於
10%)。
4.相同的參數在批次間(lot-to-lot)的失效,
顯示存在有重大製程問題。

15
晶圓級可靠性測試

1.藉供應一高電流密度而施加應力於金屬線
以作電遷移(electronmigration)失效測試。
2.評估氧化物層能蓄存多少電荷以及其在被
破壞前能維持多久。
3.決定氧化物到底能捕捉多少電荷。
4.評量一新濕式清潔法製程對氧化物成長的
效果。

16
線上參數測試設備

¾探針卡介面
¾晶圓定位
¾測試器測試設備
¾作為主機或伺服器/網站的電腦

17
自動化參數測試器系統之系統方塊圖

測試設備

電子介面 電腦

探針卡

晶圓定位
(X, Y, Z, θ )
θ-Z移動台 X-Y移動台

圖 19.6 18
自動測試器之探針卡

(Photo courtesy of Probe Technology)


照片 19.2 19
探針卡之 θ 角調整
修正θ角未對準所需之
旋轉方向

Z
探針尖端
θ
接合墊

Y X

晶圓上晶片
(DUT)

圖 19.7 20
探針針腳之超越與刮擦

探針尖端

刮擦標記 墊

初始接觸後之尖端超越量

圖 19.8 21
墊上所形成探針標記之種類

由於過度刮擦所
引起的金屬線短路

正常的刮擦標記 過度刮擦

圖 19.9 22
測試器測試設備之方塊示意圖

PC控制器

功能卡檔案
FMU1
FMU2

隔離矩陣
FMU3 高階矩陣
數位信號 類比信號 FMU4
FMU5
到待測
TCU SMU 元件
PAU
CMU 矩陣低階
UIU
測試設備房
矩陣卡檔案

(Used with permission from Keithley Instruments)


圖 19.10 23
晶圓分類的目的

1.晶片功能性:驗證所有晶片功能的運作,以確
保僅有良好的晶片被交付後續的裝配與封裝IC
製作階段。
2.晶片分類:根據晶片操作的速度性能篩選出良
好的晶片 (此乃藉由在數種電壓的測試與改變
時間測定條件而達成)。
3.晶圓廠良率的回應:提供重要的晶圓廠良率相
關資料,以評估並改善整體製作製程的性能。
4.測試範圍:在最低成本條件下完成內部元件節
點之高測試範圍。
24
被摒棄晶粒之晶圓圖

圖 19.11 25
晶圓分類之二位碼數字
Fail
Vcc Shorts Bin 10

Bin 8 Fails Open, Sbin 80


Open/Shorts
Bin 9 Fails Shorts, Sbin 90

Initial Powerup
Bin 10 2.0 to 3.0 V @ 40 MHz, SBIN 10
Ramp Sequence

Static Powerup Bin 13 Fails Static Powerup, SBIN 45

Dynamic Powerup Bin 14 Fails Dynamic Powerup, SBIN 46

Ring Oscillator

Transistor Test

Scan Check Bin 11 Scancheck 141 - 155, Bin 11


Cache 112- 155
BIST Bin 12 Cache Redundancy
Bin 12, 17, 18, 20

Redundancy Function Bitmap & Cache Fail


Pass = Bin 17, Fail Bin 6 Bin 19

Cleanup Bin 5

Speed Bin 6

Leakage Bin 7

圖 19.12 26
以Bin表示失敗之晶圓二位碼圖

1 1 1 1 10 10
Device: Example 10 2 1 1 1 3 7 7
Lot: Example
Wafer: 200 mm 1 12 12 1 1 1 1 1 1 1
Layer: Hardware Bins 1 1 6 6 1 1 1 1 1 1
Yield: 79.54%
3 7 1 1 1 1 1 1 1 1
Good: 70
Total: 88 10 1 1 5 1 1 1 1 1 1
1 1 1 4 1 1 4 1 1 1

Good 1 1 2 1 12 10 1 1 1 7
1 1 1 1 1 1 10 2
Bad
1 1 1 1 1 1

圖 19.13 27
晶圓分類的形式

‰DC測試(連續性、短/開路以及漏電流)
‰輸出檢查
‰功能測試

28
功能測試之實例
Node 1

Node 5

Node 2 Node 9

Node 6 Node 11

Node 7

Node 3
Node 10

Node 8

Node 4

圖 19.14 29
記憶體測試之0/1演算法

步驟
1 2 3 4
記憶體
寫入 = 0 讀出 = 0 寫入 = 1 讀出 = 1
位址

寫入線端 讀出線端
記憶體裝置

圖 19.15 30
晶圓分類中影響晶片測試之
相關性主題

總測試時間
故障模型
IDDQ測試
束縛帶

31
故障堅持處理模型之限制
VDD

Vout

A C
正常功能函數 短路 短路時,不正常之功
A+B或C+D 能函數B+C或A+D*
B D

Gnd

*短路會引起功能改變,但不是使任何電路節點堅持在某點

圖 19.16 32
在功能測試之束縛帶,
其用以確認符合消費者所需規格

測試種類 消費者規格可接受度

規格外 失(效
功能測試可接受度

晶圓分類

)
束縛帶

0 2 4 6 8
漏電流 (pA)

圖 19.17 33
晶圓分類良率

較大的晶圓直徑
晶粒尺寸的增加
製程步驟的增加
縮小特徵尺寸
製程成熟度
晶體缺陷

34
大晶圓上所減少的不完全晶粒數
10.8%不完全晶粒
14.5%不完全晶粒

200 mm 300 mm

圖 19.18 35
由於晶片複雜度所引起之
製程步驟數的成長

製程步驟數
電晶體數

電晶體數
800 1500
製程步驟數

600
1000
400

(×106)
500
200

0 0
250 180 150 130 100 70 50

技術點 (nm)

Redrawn from C. Gross et al., “Assessing Future Technology Requirements for Rapid Isolation and
Sourcing of Faults,” Micromagazine (online version)
http://www.Mircromagazine.com/archive/98/07/ jensen.html> (July 1998), p. 6.
圖 19.19 36
DRAM產品之產品成熟度
與減少時間的關係
R&D 先驅線 正式量產

修補後之
100
256 Mb
1 Mb 256 Kb
80
64 Kb
4 Mb
60
DRAM
探針良率

40
64 Mb
20
16 Mb
0
-1 0 1 2 3 4 5 6 7

Redrawn from C. Gross et al., “Assessing Future Technology Requirements for Rapid Isolation
and Sourcing of Faults,” Micromagazine (online version)
http://www.Mircromagazine.com/archive/98/07/ jensen.html> (July 1998), p. 6.
圖 19.20 37
晶圓分類之良率模型

Poisson’s模型
Murphy’s模型
Seed’s模型

38
晶圓廠中之良率管理
SPC 1 1 1 1 10 10
10 2 1 1 1 3 7 7
1 12 12 1 1 1 1 1 1 1
1 1 6 6 1 1 1 1 1 1
3 7 1 1 1 1 1 1 1 1
10 1 1 5 1 1 1 1 1 1
1 1 1 4 1 1 4 1 1 1
1 1 2 1 12 10 1 1 1 7
1 1 1 1 1 1 10 2
1 1 1 1 1 1

製程控制 缺陷檢查 空間特徵分析 工程師分析

數據管理系統
•WIP數據
•製程數據
•產品數據

前段製程 線上量測與檢查 後段製程 參數電性 裝配與終端


Top Nitride
ILD-6
Bonding Pad Metal-5 測試 測試
Metal-1 Metal-4
ILD-5

ILD-1 Metal-3
ILD-4 Via
-4
LI Oxide 1 1 1 1 10 10
ILD-3 Via 10 2 1 1 1 3 7 7
Metal-2
-3
1 12 12 1 1 1 1 1 1 1
N-well P-well
Metal-1 ILD-2 Via-2 1 1 6 6 1 1 1 1 1 1
P- Epitaxial Layer 3 7 1 1 1 1 1 1 1 1

ILD-1 10 1 1 5 1 1 1 1 1 1
P+ Silicon Substrate Via-1
Poly Poly
1 1 1 4 1 1 4 1 1 1
LI-W LI Oxide LI-W
1 1 2 1 12 10 1 1 1 7
STI STI STI
P- N- 1 1 1 1 1 1 10 2
P+ N-well P+ N+ P-well N+
1 1 1 1 1 1

P- Epitaxial Layer
P+ Silicon Substrate

圖 19.21 39
半導體製造技術

第 20 章
裝配與封裝
目的

研讀本章內容後,你將可學習到:
1.描述裝配和封裝的一般趨勢及設計限制。
2.說明並討論傳統裝配方法。
3.描述出傳統不同的封裝選擇。
4.討論7種先進裝配和封裝技術的優勢及限制。

2
IC封裝的4種重要功能

1.保護使其免於外界環境與人工操作的破壞。
2.信號進入晶片、從晶片輸出的之內連線。
3.對晶片實質上之固持。
4.散熱。

3
傳統裝配與封裝

晶圓測試與分類 晶粒分離 晶粒接著

焊線接合 塑膠封裝 成品封裝與測試

圖 20.1 4
典型的IC封裝體

雙排引腳封裝 單排引腳封裝 薄小外形輪廓封裝


(DIP) (SIP) (TSOP)

四方扁平封裝 有引腳晶片塑膠載器 無引腳晶片載器


(QFP) (PLCC) (LCC)
圖 20.2 5
IC封裝有關之設計限制
設計參數 設計限制
y RC 時間延遲 y 信號輸出入腳數(IOs)
y 焊線接合對凸塊接著之比較 y 信號上升時間
性能 y 交換暫態 y熱
y 功率散逸 y 輸出入阻抗
y 頻率響應
y 晶片尺寸 y 封裝體尺寸
尺寸/重量/形體 y 接合墊尺寸及間距 y 封裝引腳尺寸及間距
y 基板載器墊尺寸及間距 y 散熱器之設計
y 晶片基板(塑膠、陶瓷或金屬) y 載器 (有機、陶瓷)
材料
y 熱膨脹不匹配 y 引腳冶金
y 與現有製程之整合 y 封裝材料
成本
y 良率
y 晶粒接著之方法
y 封裝體接著(透過孔洞、表面固著或凸塊)
裝配
y 散熱器裝配
y 密封
表 20.1 6
IC封裝之層級
第一層級封裝: 用以固著於印刷電
IC封裝 路板的金屬引腳
引腳 針腳

針腳被插入
表面固著晶 針孔,繼之
片被焊著在 焊著在PCB
第二層級封裝: PCB上的銅 背面
印刷電路板裝配 墊頂端

邊緣的連接器插入主系統

PCB副配件
最後的產品裝配:
電路板組入系統
之成品裝配
主電子裝配板

圖 20.3 7
傳統式裝配

背面研磨
晶粒切割
晶粒接著
焊線接合

8
背面研磨製程之示意圖
下壓力

旋轉及振盪軸

在旋轉平盤
上之晶圓
工作台僅在指示有晶圓期間才旋轉

圖 20.4 9
晶圓鋸與已切割之晶圓

晶圓
工作台

刀刃

圖 20.5 10
典型用於晶粒接著之導線架
導線架 引腳 晶粒

塑膠式DIP

圖 20.6 11
環氧基樹脂之晶粒接著
晶粒

環氧基樹脂

導線架

圖 20.7 12
金-矽低共熔性接著

金/矽
低共熔性合金


金膜

Al2O3

圖 20.8 13
從晶片接合墊到導線架之焊線接合

晶粒
模塑化合物
焊線
接合墊 導線架

針尖腳

圖 20.9 14
焊線接合晶片至導線架

照片 20.1 15
熱壓接合之示意圖

元件接合墊

圖 20.10 16
超音波焊線接合之順序
超音波能量 工具向上移
楔形工具
壓力 更多焊線
焊線 鋁接合墊 饋入工具
晶粒
(1)
(2) (3)

超音波能量
工具向上移
壓力 焊線在接合
墊處切斷
導線架

(4) (5)

圖 20.11 17
熱音波球接合
金線 工具向上移
毛細管 壓力與超 並饋入更多
工具 音波能量 的金線
墊上之
氫火源 接合球
球 晶粒 晶粒
(1) (2) (3) (4)

壓力與熱 工具往上移
形成墊
金線在接合
墊處切斷
導線架

(5) (6)

圖 20.12 18
焊線拉伸測試


元件

受測試晶片

物品夾具

圖 20.13 19
傳統封裝

塑膠封裝
陶瓷封裝

20
TO款式之金屬封裝

圖 20.14 21
連接帶從導線架中的移除

晶粒
導線架

連接帶

連接帶移除線

圖 20.15 22
用於針孔接著之雙排引腳塑膠封裝

圖 20.16A 23
單排引腳封裝

圖 20.16B 24
具有鷗翼表面固著引腳之
薄小外形輪廓封裝

圖 20.16C 25
雙排引腳封裝記憶體模組

圖 20.16D 26
具有鷗翼表面固著引腳之四方扁平封裝

圖 20.16E 27
具有用於表面固著的引腳之
有引腳晶片塑膠載器

圖 20.16F 28
無引腳晶片載器

圖 20.16G 29
多層板耐高溫陶瓷製程之順序
陶瓷性內連接層

4層積層板

圖 20.17 30
陶瓷針腳格狀陣列

(Photo courtesy of Advanced Micro Devices)

照片 20.2 31
CERDIP封裝
橫切面之平面

橫切面
指示性 在樹脂及導線
刻痕 架上之晶片
陶瓷蓋板

玻璃密封物

陶瓷基板
金屬引腳

圖 20.18 32
用於IC封裝之測試插座

圖 20.19 33
先進裝配與封裝

覆晶
球腳格狀陣列 (BGA)
晶片直接組於電路板 (COB)
捲帶式自動接合 (TAB)
多晶片模組 (MCM)
晶片尺寸級封裝 (CSP)
晶圓級封裝
34
覆晶封裝

連接用針腳

基板

介質孔
金屬內連線
在接合墊上之焊錫凸塊
矽晶片

圖 20.20 35
在晶圓接合墊上之C4焊接凸塊
3層金屬積層
接合墊 銅-錫
鉻+銅

氮化物 Al 金屬沈積
與蝕刻
氧化物

(1) (2)
2層金屬沈積 焊接凸塊形成
於再回流期間

鉛 再回流
製程

(3) (4)

圖 20.21 36
用於覆晶之環氧基樹脂底部填膠

焊接凸塊

晶片

環氧基樹脂

基板

圖 20.22 37
覆晶面積陣列之焊接凸塊與
焊線接合之比較

覆晶凸塊 接合墊周邊陣列
面積陣列

圖 20.23 38
球腳格狀陣列之晶片

照片 20.3 39
球腳格狀陣列

接合墊

模塑遮蓋 晶片
環氧基樹脂
焊線

基板

金屬介質孔 熱介質孔
焊接球

圖 20.24 40
晶片直接組於電路板
IC晶片 印刷電路板

圖 20.25 41
捲帶式自動接合

高分子帶

銅引腳

圖 20.26 42
多晶片模組

個別晶粒 MCM基板

圖 20.27 43
先前封裝之趨勢

1800

1500
單位

1200

900
百(萬

600
)

晶片直接接著
300 直接組於電路板之覆晶
捲帶式自動接合
0 其他
1996 1997 1998 1999 2000 2001
年代
Redrawn from S. Winkler, “Advanced IC Packaging Markets and Trends,” Solid State
Technology (June 1998): p. 63.
圖 20.28 44
晶片尺寸級封裝之變化
一 般 CSP 方 式 CSP 封 裝 名 稱 公司廠商
A re a a rra y , b u m p e d C S P A m k o r/A n a m
S m a ll o u tlin e n o -le a d /C -le a d
F u jitsu
(S O N /S O C )
B u m p c h ip c a rrie r (B C C ) F u jitsu
定製導線架 M ic ro -stu d -a rra y (M S A ) H ita c h i
B o tto m le a d e d p la stic (B L P ) L G S e m ic o n
Q u a d fla t n o -le a d (Q F N ) M a tsu sh ita
M e m o ry C S P T I Ja p a n
Q u a d o u tlin e n o n -le a d e d T o sh ib a
E n h a n c e d fle x C S P 3M
F le X B G A A m k o r/A n a m
FBG A F u jitsu
C h ip -o n -fle x C S P GE
晶粒與基板間 M u lti c h ip sc a le p a c k a g e (M C S P ) H ig h te c M C A G
插 入 物 (具 內 連 C S P fo r m e m o ry d e v ic e s H ita c h i
線之彈性材料) IZ M fle x P A C F ra u n h o fe r In stitu te
M o ld e d B a ll G rid A rra y M itsu b ish i E le c tric
C h ip -o n -fle x C h ip S iz e P a c k a g e M o to ro la S in g a p o re
F in e -p itc h B G A (F P B G A ) NEC
M ic ro B G A T e sse ra
C h ip A rra y P a c k a g e (C A B G A ) A m k o r/A n a m
CSP C y p re ss S e m ic o n d u c to r
C e ra m ic m in i-B G A IB M
M o ld e d a rra y p ro c e ss C S P M o to ro la
剛性基板 P la stic c h ip c a rrie r N a tio n a l
CSP O k i E le c tric
T ra n sfo rm e d g rid a rra y p a c k a g e Sony
C e ra m ic /p la stic fin e -p itc h B G A T o sh ib a
表 20.2 45
晶圓後封裝

具C4凸塊之單晶片

圖 20.29 46
C4凸塊晶圓

(Photo courtesy of Advanced Micro Devices)

照片 20.4 47
晶圓級封裝之設計概念
焊接凸塊
接合線

晶片

Redrawn from V. DiCaprio, M. Liebhard, and L. Smith, “The Evolution of a New Wafer-Level
Chip-Size Package,” Chip Scale Review (May/June 1999).
圖 20.30 48
標準測試流程與
晶圓級封裝測試流程間的比較
標準測試流程 晶圓級封裝之測試流程
晶圓探測 WLP製作

晶圓切割 晶圓級原處預燒

封裝個別之IC 晶圓級功能測試

在封裝級之 切割
插座/預燒

在封裝級之 在配件板處之
功能測試 晶圓級拾取

裝載入
自動帶與捲軸
圖 20.31 49
晶圓級封裝之特徵與優點
參數 優點
封裝體在 x 及 y 方向與晶片尺寸相同,其可能為最小的 IC 封裝
封裝尺寸
體並可減輕封裝體重量。
該封裝體極薄,在第二層級裝配後,從電路板表面可測得一具小
固著後之封裝高度
於 1mm 之整體高度。
測試結果顯示晶圓級封裝的組件可通過現有之可靠性測試,以作
組件可靠性
為已受保護的組件。
測試結果顯示焊接連結點可靠性滿足標準熱循環 (−65 到 125oC)
焊接連結點可靠性
可靠性測試。
電性模擬測試顯示晶粒面朝下 (覆晶) 架構的晶圓級封裝因具有
電特性 短電路路徑而減少電感與寄生電容損失並產生良好電特性。

與現有 SMT 基本架構的積體 晶圓級封裝可匹配於現行之表面固著技術,並且可利用標準的焊


化 錫球及球間距。
自然發生於封裝材料的放射性元素會發射出 α-粒子以致造成記
α-粒子保護 憶胞的電壓損失,高分子帶及薄膜膠帶可提供記憶胞 α- 粒子防
護。
現行材料之使用結合晶圓積體化以減少操作處理與晶圓測試策略
低系統成本 來減少重複的測試等可提供一低的整體系統成本。

表 20.3 50

You might also like