You are on page 1of 39

Introduo ao Quartus II

Introduo ao Quartus II
1. Apresentao
Este tutorial visa introduzir o usurio iniciante s ferramentas Quartus II e Altera
University Program Simulator, que so ferramentas de criao e simulao de circuitos
consecutivamente, da Altera. O Quartus II um ambiente para projeto de sistemas
digitais baseados nos dispositivos lgicos programveis (PLDs - Programmable Logic
Devices) fabricados pela Altera. Essa companhia norte-americana uma das lderes do
mercado mundial de lgica programvel. Esses PLDs nada mais so do que uma matriz
de blocos lgicos formados por portas lgicas e flip-flops. Esses blocos lgicos so
interligados por meio de uma rede de interconexo e o conjunto blocos lgicos/rede de
interconexo pode ser programado para implementar diferentes funes lgicas.
Conforme a estrutura de seus blocos lgicos e da rede de interconexo, esses PLDs
recebem denominaes diferentes: FPGA (Field Programmable Gate Array) ou CPLD
(Complex Programmable Logic Device).
O Quartus II um ambiente que integra um conjunto de ferramentas as quais permitem
ao projetista desenhar um diagrama lgico (esquemtico) ou especificar o circuito
atravs de uma linguagem de descrio de hardware, simular o funcionamento do
circuito especificado e sintetiz-lo mapeando-o para os CPLDs da Altera. Alm disso,
h uma srie de ferramentas que possibilitam a anlise dos tempos do circuito (timing),
a edio dos leiautes interno e externo do dispositivo, entre outras.
A Altera disponibiliza verses gratuitas dessa ferramenta de projeto no site, a qual
denominada Quartus II Web Edition (que possui limitaes quanto a recursos avanados
de projeto). Para realizar o download dessa ferramenta deve-se acessar o site da empresa
(www.altera.com) e clicar no link Download Center, exibido no lado direito do banner
superior. Faa o download do Quartus II, instale a ferramenta e execute-a.
Neste tutorial introdutrio, sero exercitadas apenas as ferramentas de entrada de
projeto atravs de diagramas esquemticos (desenho do circuito lgico) e de simulao
funcional do circuito. Ele servir tambm para apresentar o ambiente do Quartus II.
Para a simulao ser apresentada uma ferramenta a parte que era integrante do Quartus
II at sua verso 9.1sp2, porm nas verses posteriores foi desvinculada do Quartus II.
Embora desvinculada do Quartus II a altera continua dando suporte a ferramenta de
simulao, para o treinamento de estudantes, que est disponvel para Download. A
ferramenta de simulao deve ser instalada aps a instalao do Quartus II.

2. Conhecendo o Quartus II

Cesar Albenes Zeferino & Eduardo Alves da Silva

Introduo ao Quartus II

(a) Barra de menus: Apresenta uma srie de opes disponveis ao usurio de acordo
com a respectiva opo. Ex.: Cria um projeto na opo File->New Project Wizard.
(b) Barra de ferramentas: Apresenta alguns atalhos para opes e ferramentas
disponveis no Quartus II.
(c) Campo de viso dos arquivos vinculados ao projeto ativo.
(d) Opo de viso que exibe os arquivos no campo de viso, de acordo com a
hierarquia de implementao.
(e) Opo de viso que exibe os arquivos no campo de viso em ordem alfabtica.
(f) Opo de viso que exibe os arquivos no campo de viso de acordo com as unidades
do projeto.
(g) Campo de viso que exibe as tarefas e o seu status. Ex.: Anlise e Sntese (Pronto).
(h) Campo de viso das mensagens, com vrias opes dentre elas, as mensagens de
erro e alertas.
(i) rea de trabalho: Onde seram trabalhados os arquivos do projeto. No caso de um
diagrama esquemtico, o usurio poder adicionar os blocos construtivos do circuito e
desenh-lo. Em caso de programao em linguagem de descrio, o usurio ter um
editor de texto para tal funo.

3. Criando um projeto
Cesar Albenes Zeferino & Eduardo Alves da Silva

Introduo ao Quartus II

No menu File clique em New Project Wizard.

Aps ser exibida a tela abaixo, prossiga clicando em Next.

Cesar Albenes Zeferino & Eduardo Alves da Silva

Introduo ao Quartus II

No passo seguinte, ser solicitado que o usurio escolha o diretrio do projeto, o ttulo
do projeto e o nome da entidade topo do projeto (ateno: o nome da entidade topo
sensvel a caixa, e o arquivo que ser definido como topo da hierarquia dever possuir
exatamente o mesmo nome). Para este tutorial, feito no ambiente windows ser utilizada
a seguinte configurao.
Para utilizar o diretrio C:/Temp/Altera/Tutorial necessrio cri-lo primeiro, esta ao
pode ser feita no boto em destaque na figura abaixo, com o usurio deslocando-se at o
local e criando o diretrio Temp e subdiretrios Altera e Tutorial respectivamente.
O diretrio do projeto o local onde sero armazenados os arquivos do projeto.
conveniente que para cada projeto seja criado um diretrio especfico para seu uso.
Aps feito esta configurao avance clicando em Next.

Cesar Albenes Zeferino & Eduardo Alves da Silva

Introduo ao Quartus II

Cesar Albenes Zeferino & Eduardo Alves da Silva

Introduo ao Quartus II

Na pgina 2 o usurio pode adicionar arquivos e bibliotecas ao projeto que est criando
para utiliz-los. Esta opo ser utilizada e explicada com mais detalhes posteriormente.
Simplesmente avance esta etapa sem realizar nenhuma modificao.

Cesar Albenes Zeferino & Eduardo Alves da Silva

Introduo ao Quartus II

Na pgina 3 so apresentadas informaes para configurao de qual o dispositivo que


ser utilizado caso for feita a prototipao do HW especificado.
Comece escolhendo a famlia de dispositivos Cyclone II. Aps selecione a opo
Specific device selected in Available devices list , e a ltima configurao desta tela
a escolha do dispositivo, que neste tutorial ser selecionado EP2C35F672C6. O qual
h disponibilidade no LEDS (Laboratory of Embedded and Distributed Systems) da
Univali.
H mais 2 pginas de configurao na criao do projeto, porm no so necessrias
nenhuma alterao em ambas, sendo que a penltima pgina para escolher a
ferramenta de simulao que ser utilizada (ser mostrado posteriormente como utilizar
a ferramenta que foi desvinculada a partir da verso 9.1sp2 do Quartus II). E a ltima
pgina um simples sumrio das configuraes gerais do projeto. Portanto clique em
Finish para encerrar a configurao da criao de projeto.
Pronto projeto criado.

4. Desenhando um circuito lgico no editor grfico.


Estudo de caso: Multiplexador simples
Cesar Albenes Zeferino & Eduardo Alves da Silva

Introduo ao Quartus II

A seguir ser exibido o passo a passo para a criao de um circuito combinacional


simples que o multiplexador 2x1 de 1-bit, para a demonstrao de como feito o
processo de criao de circuitos na ferramenta Quartus II atravs do diagrama
esquemtico de blocos.
Primeiramente crie um arquivo para montar o diagrama esquemtico.
Se todos os passos foram realizados corretamente na criao do projeto a tela a seguir
a tela corrente.

Clique no boto New(em destaque na imagem acima) da barra de ferramentas para


criar um arquivo do tipo diagrama de blocos.
Ser apresentada a tela a seguir.

Cesar Albenes Zeferino & Eduardo Alves da Silva

Introduo ao Quartus II

Selecione a opo Block Diagram/Schematic File e clique em Ok.


A seguir ser apresentado na rea de trabalho da ferramenta o espao para a construo
do diagrama esquemtico. Como na figura a seguir.

Cesar Albenes Zeferino & Eduardo Alves da Silva

Introduo ao Quartus II

10

Note 2 coisas, a primeira o espao para desenho do diagrama e a segunda o nome do


arquivo aberto para edio na rea de trabalho. Que como no h nada salvo ainda o
nome atual Block1.bdf.
Agora voc aprender a desenhar um circuito lgico no Editor Grfico. O circuito a ser
desenhado mostrado na figura Mux_2x1 (abaixo). O circuito consiste de um
multiplexador de duas entradas (A e B) para uma sada (Z), com a seleo dada pela
entrada Sel. Se Sel igual a 0, a sada Z ligada entrada A. Se Sel igual a 1, a sada Z
ligada entrada B.

Figura Mux_2x1.
Para construir o circuito da figura Mux_2x1 siga os seguintes passos:
Cesar Albenes Zeferino & Eduardo Alves da Silva

Introduo ao Quartus II

11

1- Efetue um duplo clique na rea de trabalho da ferramenta. Ser exibida a tela a


seguir.

No campo name digite and2 e clique em ok, para adicionar uma porta lgica and de 2
entradas. Quando voltar para a rea de trabalho finalize a insero do smbolo com um
clique no local desejado na rea de trabalho.
2- Observe que no smbolo da porta lgica existem dois rtulos, um com o seu
nome e outro com id (no caso, inst). Esse id o nome do objeto no diagrama
atual. Este id ter uma importncia maior para circuitos mais complexos e com
hierarquia, na hora de identificar sinais para realizar a simulao. Os ids
utilizados neste projeto foram: PortaNot, Ax~Sel, BxSel e AorB (Vide
figura Mux_2x1). Para mudar o id dos objetos d um duplo clique sobre o texto
do id e insira o desejado.
3- A ttulo de exerccio, posicione o mouse sobre o smbolo and2, pressione o
boto esquerdo e o mantenha pressionado. Arraste o mouse para qualquer
posio e libere o boto pressionado. Utilize esse procedimento quando voc
quiser mover algum smbolo.
4- Repita os passos 1 e 2 para entrar com os smbolos abaixo (um a um):

and2
not
or2
input (trs cpias ou instncias)
output

5- Procure arranjar os smbolos em posies semelhantes s da Figura Mux_2x1.


6- Para desenhar as linhas de ligao, posicione o mouse sobre o ponto de acesso
do smbolo (entrada/sada). O cursor muda seu formato de flecha para o formato
Cesar Albenes Zeferino & Eduardo Alves da Silva

Introduo ao Quartus II

12

do sinal +. Pressione o boto principal (esquerdo) do mouse e, mantendo-o


pressionado, arraste o mouse em direo ao smbolo com o qual voc deseja
fazer a conexo. Se necessrio quebrar a linha em 900, libere o boto, pressioneo novamente e arraste o mouse na nova direo at alcanar o smbolo destino.
Se necessrio, libere e pressione novamente o referido boto para obter outra
quebra de 90o.
7- Se voc liberar o boto do mouse sobre uma linha j existente, um ponto de n
ser inserido. Se ele no for desejado, selecione a parte da linha que voc no
deseja remova-a pressionando Delete no teclado e a parte selecionada ser
removida.
8- Para entrar com o nome dos pinos de entrada e de sada, faa um duplo-clique
sobre o texto PIN_NAME para selecion-lo e entre com o nome desejado. No
caso deste tutorial, entre com os mesmos nome utilizados na Figura Mux_2x1:
A, B, Sel e Z.
9- Salve o arquivo. Ser sugerido como nome do arquivo o mesmo nome do topo
da hierarquia e no diretrio do projeto, como neste projeto este ser o nico
arquivo, salve-o exatamente com o nome do topo da hierarquia. (Se isso no for
feito no ser possvel compilar o projeto).

Cesar Albenes Zeferino & Eduardo Alves da Silva

Introduo ao Quartus II

13

5. Compilando o projeto.
Clique no boto da barra de ferramentas que est em destaque na figura abaixo, para
realizar a compilao.

Se todos os passos foram realizados corretamente o projeto ser compilado com sucesso
e no apresentar nenhum erro, apenas alguns warnings.

Cesar Albenes Zeferino & Eduardo Alves da Silva

Introduo ao Quartus II

14

6. Simulando o projeto
6.1 Altera University Program Simulator
Nesta seo ser apresentada a ferramenta de simulao da altera que foi desvinculada
do Quartus II a partir da verso 9.1sp2.
Aps feito o Download e instalao da ferramenta execute-a.
OBS: No Linux no necessrio realizar a instalao da ferramenta, a mesma j fica
disponvel na instalao do quartus. Para iniciar o simulador no Linux basta encontrar o
executvel quartus_sh e execut-lo da seguinte forma quartus_sh --qsim
Ser inicializado o executvel em console (cmd no windows) e aps isso aberto a janela
inicial do programa (Figura abaixo).

A partir de ento abra o projeto criado no Quartus II, navegando no menu File->Open
Project.

Cesar Albenes Zeferino & Eduardo Alves da Silva

Introduo ao Quartus II

15

Selecione o arquivo de projeto criado nas sees anteriores. Localizado em


C:\Temp\Altera\Tutorial.
OBS: No linux caso de o erro bad option -readonly: must be group, -owner or
permissions ao abrir o projeto, faa o seguinte:
- Abra o arquivo no editor de texto desejado como administrador qsim/qsim_script.tcl
localizado
a
partir
do
diretrio
de
instalao
do
quartus
/quartus/common/tcl/apps/qsim/.
- Encontre o comando: file attributes db readonly 0 da linha 1413, e comente a
linha colocando # no incio da linha.
- Agora encontre o comando:
"file attributes $qsim::project_dir/qsim/$qsim::project_name.sim.vwf -readonly 1" da
linha 699 e comente-o tambm colocando um # no incio da linha.
Feito isso, agora crie um arquivo de entrada para a simulao. Este arquivo conter as
informaes necessrias para a realizao da simulao, como pinos de entrada e sada e
sinais do componentes presentes no circuito desenhado no Quartus II.
Para criar um arquivo de entrada da simulao v no menu File->New Simulation Input
File.
Quando criado o arquivo ser automaticamente aberta a janela de edio do arquivo.
Como mostra a figura a seguir.
Cesar Albenes Zeferino & Eduardo Alves da Silva

Introduo ao Quartus II

16

Nesta janela iremos adicionar nossas portas de entrada e sada, e atribuiremos valores
para as entradas, com a finalidade de analisarmos se o circuito desenhado est
funcionando de acordo com o que precisamos (sadas corretas de acordo com as
entradas). No nosso exemplo utilizamos um multiplexador simples o qual possui 3
entradas (A, B e Sel), e 1 sada (Z).
O funcionamento deste multiplexador deve ocorrer da seguinte maneira: Se o seletor
(Sel) estiver em valor lgico 0 a sada Z dever apresentar exatamente o mesmo dado
da entrada A, caso o valor lgico de Sel seja 1, dever apresentar o dado presente na
entrada B.
Para adicionarmos os pinos de entrada e sada ao arquivo de formas de onda que est em
edio acesse o menu Edit->Insert->Insert Node or Bus. Como apresentado na figura
abaixo. H duas alternativas alm desta para adicionar os pinos e sinais, que so, efetuar
um duplo clique no local de insero das portas e sinais ou clicar com o boto
secundrio do mouse (geralmente o boto direito do mouse) e escolher a opo Insert
Node or Bus.

Cesar Albenes Zeferino & Eduardo Alves da Silva

Introduo ao Quartus II

17

A seguir ser apresentado a seguinte janela.

Clique no boto Node Finder... (em destaque na figura acima) para buscar os pinos e
sinais disponveis.
Ser apresentada a seguinte janela.
Cesar Albenes Zeferino & Eduardo Alves da Silva

Introduo ao Quartus II

18

Note que os campos named e look in esto preenchidos com *, significa que ser
buscado em todos os nomes e em todos os nveis de hierarquia do projeto. O campo
filter est com a opo Pins: all, indica que ser buscado todos os pinos de I/O do
topo da hierarquia do projeto. Efetue a busca de todos estes itens clicando no boto
List (em destaque na figura acima).
Note que sero exibidos os nodos encontrados na campo Nodes Found:, que so
respectivamente A, B, Sel e Z, onde indicado o tipo de cada um destes pinos
(3 input e 1 output, neste caso). Voc selecionar os pinos desejados a serem verificados
para o seu projeto, neste nosso tutorial utilizaremos todos os pinos, portanto passe para
o campo Selected Nodes: todos os pinos, um a um, ou todos, com o auxlio dos botes
presentes no centro da janela >, >>. Caso em algum momento seja inserido um pino
indesejado, basta selecion-lo no campo dos pinos selecionados e remov-lo com o
boto <, ou todos os pinos no boto <<.
Selelecione todos os pinos e passe-os para o campo de pinos selecionados e clique em
Ok como exibido na figura abaixo.

Cesar Albenes Zeferino & Eduardo Alves da Silva

Introduo ao Quartus II

19

Aps feito isto, basta clicar em Ok (em destaque na figura abaixo) na janela de
insero de nodo ou barramento.

Pinos inseridos no arquivo de simulao, agora s falta realizar a atribuio de valores


as entradas para a realizao da simulao.
Para atribuirmos um valor a uma entrada temos algumas opes que esto disponveis
no menu Edit->Value->*. (o * significa que todas as opes so para atribuio de
valores as entradas). Estas opes tambm esto disponveis na barra de ferramentas do
simulador (em destaque na figura abaixo).

Para este exemplo atribuiremos valores randmicos para as entradas A e B. E para


o Sel, iremos fazer com que metade do tempo de simulao ele fique em 0 e outra
metade em 1.
Para isto selecione a entrada A clicando sobre o nome da mesma. Aps clique no item
com R em destaque na figura abaixo, para atribuir um valor Randmico.
Cesar Albenes Zeferino & Eduardo Alves da Silva

Introduo ao Quartus II

20

Aps efetuar o clique sobre o item aparecer a janela da imagem abaixo.

Selecione Every grid interval e clique em Ok (em destaque na figura acima).


Repita o procedimento para a entrada B. Note que as formas de onda so diferentes,
pois como mencionado um gerador de valores aleatrios. OBS: Se as entradas ficarem
muito parecidas repita o procedimento para uma das entradas.
Agora vamos configurar o valor atribudo para a entrada Sel.
Para conseguirmos deixar metade da simulao a entrada Sel em valor lgico 0 e a
outra metade em valor lgico 1, temos 2 opes.

Cesar Albenes Zeferino & Eduardo Alves da Silva

Introduo ao Quartus II

21

A primeira a seguinte: Selecione somente a parte que deseja atribuir o valor 1 e


clique no boto que possui 1 na barra de ferramentas. Como em destaque na figura
abaixo j com o resultado aps ter realizado a operao.

A segunda opo configurar a entrada do seletor como se fosse um Clock de 1


perodo completo, que metade do tempo de simulao fica com valor lgico em 0 e
outra metade em 1.
Para isto selecione a entrada Sel e clique na opo da barra de ferramentas com o
smbolo de um relgio (Overwrite clock). Como em destaque na figura abaixo.

Ao clicar na opo Overwrite clock ser exibida a janela abaixo. Insira o valor 1.0 us
para o perodo, pois se voc notou o tempo total da simulao de 1000 ns (1.0 us)
(vide grid), e 50% para Duty Cycle. Portanto se o clock for de 1000 ns e a transio
ocorrer exatamente na metade do perodo (Duty Cycle = 50%), ter somente 1 transio
na metade da simulao. Aps isso clique em Ok.
Cesar Albenes Zeferino & Eduardo Alves da Silva

Introduo ao Quartus II

22

O resultado da atribuio de valores para as entradas dever ser algo semelhante ao


apresentado na figura abaixo para o Sel e para A e B os valores ficaro distintos
mas sem problemas, considerando que s devemos nos atentar a criar valores para A
distinto dos valores de B, e assim notarmos diferena na sada Z quando
analisarmos o resultado.

Com os valores atribudos para as entradas, salve o arquivo e podes fechar a janela de
edio das entradas. Retorne a janela principal do simulador.
Antes de realizar a simulao necessrio setar o arquivo recm criado como o arquivo
de simulao do projeto. Para isso v na opo do menu Assign->Simulation Settings.
E selecione o arquivo recm criado (vide figura abaixo).

Cesar Albenes Zeferino & Eduardo Alves da Silva

Introduo ao Quartus II

23

Clique em Ok. Pronto!


Agora simule. Clique no cone de simulao (em destaque na figura abaixo).

OBS: Em alguns casos apresentado uma mensagem de erro, somente feche a


mensagem e clique novamente no boto para realizar a simulao.
Aparecero 2 janelas em que deve ser clicado em Ok, e aps isso automaticamente o
uma janela parecida com a de edio dos sinais ser apresentada automaticamente com
o resultado impresso nas sadas, no nosso caso a sada Z. Vide figura abaixo.

Cesar Albenes Zeferino & Eduardo Alves da Silva

Introduo ao Quartus II

24

Note que o circuito funcionou perfeitamente como o esperado de acordo com o que est
em destaque na figura acima, sendo que enquanto o Sel estava em 0 a sada Z foi
exatamente o que havia na entrada A e no momento em que Sel passou a ser 1 a
sada Z passou a apresentar o resultado de acordo com o que estava contido na
entrada B. Note tambm que existe um pequeno atraso nas transies da sada Z de
acordo com as entradas. Isso ocorre devido ao atraso de propagao pelo circuito, o qual
calculado pela ferramenta de simulao e j incluso no resultado.
A tabela verdade e equao lgica para o circuito desenhado so as seguintes:
Sel Z
0
A
ou seja, Z = Sel.A + Sel.B
1
B

6.2 Model-Sim Starter Edition


Faa o Download da ferramenta e instale-a.
Com o projeto aberto no Quartus verifique se a ferramenta ModelSim-Altera est setada
no projeto atual, como ferramenta selecionada para a simulao.
Para isso v no menu Assignements->Settings. Como exibido na figura abaixo.

Cesar Albenes Zeferino & Eduardo Alves da Silva

Introduo ao Quartus II

25

Aps isso ser aberto uma janela de dilogo onde ter um menu lateral Category (
esquerda). Onde deve ser selecionada a opo EDA Tool Settings. Aps selecionada a
opo no menu, do lado direito aparecero as opes em forma de quadro (tabela).
As opes que nos interessam so Tool Name e Format(s) da linha onde a primeira
coluna (Tool Type), Simulation. Escolha para Tool Name e Format(s)
respectivamente: ModelSim-Altera e VHDL (Vide figura abaixo). Aps isso
conclua clicando em Ok.

Cesar Albenes Zeferino & Eduardo Alves da Silva

Introduo ao Quartus II

26

Voltando a tela principal do Quartus com o projeto j compilado agora de o comando de


simulao para a ferramenta, que o ModelSim ser iniciado automaticamente com o
projeto atual carregado.
Para isso v no menu Tools-> Run Simulation Tool -> Gate Level Simulation. Vide
figura abaixo. OBS: Gate Leve Simulation (Simulao a nvel de portas), como nosso
circuito descrito diagrama esquemtico, onde so inseridas portas lgicas, por isso a
necessidade de simular a nvel de portas. Caso for realizar uma simulao de um
arquivo VHDL, escolha simulao a nvel RTL.

Cesar Albenes Zeferino & Eduardo Alves da Silva

Introduo ao Quartus II

27

Como descrito anteriormente a ferramenta ModelSim ser iniciada automaticamente e


apresentar uma tela similar a da figura abaixo.

V no menu Simulate -> Start Simulation para configurar o que ser simulado. Vide
figura abaixo.
Cesar Albenes Zeferino & Eduardo Alves da Silva

Introduo ao Quartus II

28

Ser apresentada uma janela de dilogo onde voc dever selecionar a unidade de
projeto que desejas simular. Selecione a entidade mux2x1 da biblioteca (Library) work.
O prximo campo que deve ser alterado a resoluo (em unidade de tempo) que ser
utilizada na simulao. Portanto no campo Resolution defina ns (nanosegundos).
Aps isso conclua clicando no boto Ok.
Configurao completa vide figura abaixo.

Cesar Albenes Zeferino & Eduardo Alves da Silva

Introduo ao Quartus II

29

Aps isso ser retornado a tela principal da ferramenta com a aparncia da figura
abaixo.

Agora selecione os pinos que deseja visualizar nas formas de onda (waveform).
Escolheremos os mesmos pinos que usamos no outro simlulador. So eles A, B, Sel e Z.
Cesar Albenes Zeferino & Eduardo Alves da Silva

Introduo ao Quartus II

30

Para isso na janela central (Objects) em azul na figura acima, selecione esses 4 pinos.
Com os 4 pinos selecionados clique e arraste-os para a janela da direita (Wave).
Reordene os pinos e deixe os semelhante a figura abaixo em destaque. Com a seguinte
ordem: Sel, A, B e Z.

Agora iremos atribuir os valores para as entradas Sel, A e B, para realizarmos a


simulao.
Comearemos atribuindo um valor para a entrada Sel.
Para isso selecione a entrada Sel e clique com o boto secundrio do mouse(direito
geralmente) e escolha a opo Clock (vide figura abaixo).

Cesar Albenes Zeferino & Eduardo Alves da Silva

Introduo ao Quartus II

31

Ser aberta uma janela como a da figura abaixo, preencha os campos de acordo com a
figura abaixo e clique em Ok.

Agora repita o procedimento para a entrada A e B, deixando a entrada A com a


configurao da imagem abaixo.

E a entrada B com a configurao de acordo com a figura abaixo.

Cesar Albenes Zeferino & Eduardo Alves da Silva

Introduo ao Quartus II

32

Aps isso as entradas esto com os valores atribudos e iremos ento realizar a
simulao.
Para tal devemos definir o tempo que ser simulado e executar a simulao. A definio
do tempo de simulao ser de 100ns, e aps isso clique no boto Run para executar a
simulao. Local para definio do tempo a ser simulado e boto de execuo em
destaque na figura abaixo.

Aps executar a simulao utilize os botes com smbolos de Lupa da figura acima para
melhor visualizar os resultados.

Cesar Albenes Zeferino & Eduardo Alves da Silva

Introduo ao Quartus II

33

Se todos os passos foram seguidos corretamente o resultado deve ser algo semelhante ao
da figura abaixo.

E como mostrado em destaque na figura o resultado esperado foi alcanado na sada Z,


portanto validamos novamente nosso multiplexador 2x1.

7. Criando um smbolo para o projeto.


Muitas vezes, um projeto pode ser reutilizado em um projeto maior sendo estruturado
dentro de uma hierarquia. Nesse caso, necessrio disponibilizar uma forma de o
arquivo de projeto do nvel superior chamar o arquivo de projeto do nvel inferior na
hierarquia (como uma chamada de funo em uma linguagem de programao). Isso
feito atravs do uso de um smbolo que oferea uma interface de acesso s entradas e
sadas do bloco do nvel inferior.
Para criar um smbolo para o multiplexador, siga os passos indicados abaixo:
Com o projeto aberto no Quartus II abra o diagrama esquemtico do multiplexador.
Vide imagem abaixo.

Cesar Albenes Zeferino & Eduardo Alves da Silva

Introduo ao Quartus II

34

Aps aberto o diagrama esquemtico v at a opo do menu File-> Create/Update ->


Create Symbol File for Current File (vide figura abaixo).

Ser aberta uma janela de dilogo para salvamento do arquivo, salve o arquivo
verificando o diretrio do projeto e o nome desejado para o smbolo.
Cesar Albenes Zeferino & Eduardo Alves da Silva

Introduo ao Quartus II

35

Como mencionado esse smbolo ser utilizado em um projeto hierrquico.


Ser demonstrado na seo seguinte como construir um projeto utilizando hierarquia de
componentes.

Cesar Albenes Zeferino & Eduardo Alves da Silva

Introduo ao Quartus II

36

8. Criando um projeto hierrquico


Agora imagine que voc precise projetar um multiplexador com quatro entradas (4x1)
baseado em instncias do multiplexador 2x1 criado previamente. Para tal, voc
precisar criar um novo projeto (Mux4x1), defini-lo como projeto corrente, instanciar
smbolos do Mux2x1, instanciar smbolos das entradas e da sada, compil-lo e simullo.
A seguir o passo a passo!
Crie um novo projeto como apresentado na seo 3 deste documento. Feche o projeto
atual.
Com a seguinte diferena na configurao.
Na pgina 1 de criao crie um novo diretrio, um novo nome de projeto e novo nome
de topo de nvel da hierarquia. Como apresentado na figura abaixo.

Cesar Albenes Zeferino & Eduardo Alves da Silva

Introduo ao Quartus II

37

Na pgina 2 onde no primeiro projeto no realizamos nenhuma alterao, agora faremos


a adio do simbolo criado no primeiro projeto, com fins de reutilizao de
componente. Criando assim um projeto hierrquico. Para adicionar o smbolo do projeto
anterior clique no boto em destaque da figura abaixo, e v at o diretrio do projeto
anterior e selecione o arquivo do smbolo que foi criado. Aps isso pressione o boto
Add (em destaque na figura abaixo), que ser disponibilizado assim que tiver sido
apontado o local do arquivo do smbolo que se deseja adicionar.

Feito isto avance para a prxima pgina, e deixe a configurao exatamente como a do
primeiro projeto criado neste tutorial:

Family: Cyclone II

Target Device: Specific device selected in Available devices list

Available devices: EP2C35F672C6


E termine a criao da configurao do projeto clicando em Finish.

8.1 Desenhando um circuito utilizando hierarquia de componentes


Crie um arquivo do tipo Block Diagram/Schematic File.
Insira:

6 pinos de entrada (input), com os nomes Sel1, Sel0, A, B, C, D;


Cesar Albenes Zeferino & Eduardo Alves da Silva

Introduo ao Quartus II

1 pino de sada (output), com o nome Z; e

3 smbolos do projeto anterior (Mux2x1).

38

Para adicionar o Smbolo do Mux2x1 do projeto criado anteriormente faa o seguinte:


Na figura abaixo pressione o boto em destaque, v at o diretrio do projeto anterior e
selecione o arquivo do smbolo criado. Aps clique em Ok

E faa as conexes de acordo com o a figura abaixo.


Aps isso salve o arquivo com o mesmo nome do topo da hierarquia, que neste caso
Mux4x1.

Compile o projeto.
Para visualizar a hierarquia do projeto basta selecionar a aba Hierarchy na viso do
Project Navigator (em destaque na figura abaixo).

Cesar Albenes Zeferino & Eduardo Alves da Silva

Introduo ao Quartus II

39

8.2 Simulando um projeto com hierarquia


Faa a simulao do projeto atual de forma semelhante a feita no primeiro projeto.
Baseie-se nas formas de onda da figura abaixo para a atribuio dos valores para as
entradas.

Cesar Albenes Zeferino & Eduardo Alves da Silva

You might also like