You are on page 1of 23

Introduction

In electronics industry the separately manufactured components like resistor, capacitor, diode,
and transistor are joined by wires or by printed circuit board (PCB) to form circuits. These
circuits are called discrete circuits and they have following disadvantages:
1. In a large electronic circuit, there may be very large number of components and as a
result the discrete assembly will occupy a very large space.
2. They are formed by soldering which causes a problem of reliability.
To overcome these problems of space conservation and reliability, the integrated circuits were
developed.
Integrated Circuit (IC).
An IC comprises a number of circuit components like resistors, transistor etc. They are
interconnected in a single small package to perform the desired electronic function. These
components are formed and connected within a small chip of semiconductor material. In IC the
following features are observed.
1. In IC, the various components are integral part of a small semiconductor chip and the
individual components cannot be removed for repair and replacement as in discrete
circuits.
2. It combines both active elements like diodes and transistors with passive components like
resistors and capacitors in a monolithic structure, so the complete unit in a monolithic
circuit. Their size is very small. To see connections between their various components, a
microscope is needed.
3. All the components are formed within the chip and no components is seen projected
above the surface of the chip.
Scale of Integration
The number of components fitted into a standard size IC represents its integration scale, in other
words its a density of components. It is classified as follows:
1. SSI Small Scale Integration
It have less than 100 components (about 10 gates).
2. MSI Medium Scale Integration
It contains less than 500 components or have more than 10 but less than 100 gates.
3. LSI Large Scale Integration
Here number of components is between 500 and 300000 or have more than 100 gates.
4. VLSI Very Large Scale Integration

It contains more than 300000 components per chip


5. ULSI - Ultra Large Scale Integration
It contains more than 1000000 components per chip.

Comparison of IC and Discrete Circuits


Integrated Circuits (ICs)

I.C can work on low voltages.


They can handle limited amount of power.
They are very small in size
They are cheap
Complex circuitry on a chip may be used to obtain improved performance characteristics.

Discrete Circuits

Discrete circuits require comparatively more voltage.


Discrete circuits can handle much more power than IC.
Circuit with discrete components acquires large space.
Discrete circuits are costly than ICs.
The performance is not good.

Fabrication of Components
Usually electronics components such as diodes, resistors and capacitors are made on monolithic
integrated circuit (IC). In order to fabricate these IC components impurities are added or diffused
in specific place in the semiconductor wafer (i.e substrate) so PN junction can be made Figure
(a) shows the cross section area of the basic monolithic components.

All the four components are made inside the P-type substrate or wafer. N-type and P-type
portion are made from N-type and P-type materials inside the P-type substrate.
This is done by diffusion process.
In this process P-type and N-type materials (in the form of gas) are added in
semiconductor wafer at high temperature. Wafer is placed in a high temperature, furnace
(of about 100o C)
A thin layer of silicon diode SiO2 layer is made at particular areas of N-type layer
which are subject to diffusion.
The N-type material is diffused in to substrate. Now the first and large N-type potion is
diffused inside the substrate.
Again a thin layer of SiO2 is grown over the other new place is selected to diffuse P-type
material inside the N-type material.
This same process is repeated to diffuse last potion of N-type material.

Advantages of Integrated Circuits


1. Miniature in size. As fabrication process is used for the integration of active and passive
components on to a silicon chip, the IC becomes a lot smaller. When compared to a
discrete circuit, it may be at least a thousand times smaller.
2. Due to small size, the weight of the IC also reduces, when compared to the discrete
circuit.
3. To produce hundreds of discrete circuits on a PCB for the same logic takes more time
and increase the cost factor. But for the production of hundreds of ICs the cost of
production will be very low and less time consuming.
4. The PCB consisting soldered joints will be less reliable. This problem is omitted in ICs
because of no soldered joints, with fewer interconnections, and thus highly reliable.
5. The small size of ICs causes lesser power consumption and lesser power loss.
6. In a discrete circuitry, if a single transistor becomes faulty, the whole circuit may fail to
work. This transistor has to be desoldered and replaced. It is difficult to find out which
component has failed. This problem can be omitted in an IC by replacing an entire IC as
it is low in cost.
7. Increased operating speed because of absence of parasitic capacitance effect.
8. As the ICs are produced in bulk the temperature coefficients and other parameters will
be closely matching.
9. Improved functional performance as more complex circuits can be fabricated for
achieving better characteristics.
10. All ICs are tested for operating ranges in very low and very high temperatures.
11. As all the components are fabricated very close to each other in an IC, they are highly
suitable for small signal operation, as there wont be any stray electrical pickup.
12. As all the components are fabricated inside the chip, there will not be any external
projections.
Disadvantages of Integrated Circuits
1. Some complex ICs maybe costly. If such integrated circuits are used roughly and
become faulty, they have to be replaced by a new one. They cannot be repaired as the
individual components inside the IC are too small.
2. The power rating for most of the ICs does not exceed more than 10 watts. Thus it is not
possible to manufacture high power ICs.
3. Some components like transformers and inductors cannot be integrated into an IC. They
have to be connected externally to the semiconductor pins.
4. High grade P-N-P assembly is not possible.
5. The IC will not work properly if wrongly handled or exposed to excessive heat.
6. It is difficult to achieve low temperature coefficient.
7. It is difficult to fabricate an IC with low noise.
8. It is not possible to fabricate capacitors that exceed a value of 30pF. Thus, high value
capacitors are to be connected externally to the IC.
9. There is a large value of saturation resistance of transistors.

ASIC (Application Specific Integrated Circuit)


An application-specific integrated circuit (ASIC) /esk/, is an integrated circuit (IC) customized
for a particular use, rather than intended for general-purpose use. For example, a chip designed to
run in a digital voice recorder or a high-efficiency Bitcoin miner is an ASIC.
Modern ASICs often include entire microprocessors, memory blocks including ROM,
RAM, EEPROM, flash memory and other large building blocks. Such an ASIC is often termed a
SoC (system-on-chip). Designers of digital ASICs often use a hardware description language
(HDL), such as Verilog or VHDL, to describe the functionality of ASICs.

Image of ASIC IC

SoC(System on chip)
An SoC, or system-on-a-chip to give its full name, integrates almost all of these components into
a single silicon chip. Along with a CPU, an SoC usually contains a GPU (a graphics processor),
memory, USB controller, power management circuits, and wireless radios (WiFi, 3G, 4G LTE,
and so on). Whereas a CPU cannot function without dozens of other chips, its possible to build
complete computers with just a single SoC.

Image of ASIC IC

NoC(Network on chip)
Network on chip ( NOC) is a communication subsystem on an integrated circuit (commonly
called a "chip"), typically between intellectual property (IP) cores in a system on a chip (SoC). .
NoCs can span synchronous and asynchronous clock domains or use unlocked asynchronous
logic. NoC technology applies networking theory and methods to on-chip communication and
brings notable improvements over conventional bus and crossbar interconnections. NoC
improves the scalability of SoCs, and the power efficiency of complex SoCs compared to other
designs.

Image of Network on Chip(NoC) IC

Introduction to Embedded systems

An embedded

microcomputers(Microcontrollers) like the ARM Cortex,AVR or PIC .


It is configured to perform a specific dedicated application .Software is programmed into

the on chip ROM of the single chip computer.


This software is not accessible to the user , and software solves only a limited range of

problems .
Here the microcomputer is embedded or hidden inside the system. Every embedded

system is an electronic system, which includes a single chip

microcomputer system , accepts inputs, performs computations, and generates outputs


and runs in real time.
For Example

A typical automobile now a days contains an average of ten microcontrollers.


In fact, modern houses may contain as many as 150 microcontrollers and on

average a consumer now interacts with microcontrollers up to 300 times a day.


General areas that employ embedded systems covers every branch of day to day
science and technology, namely Communications, automotive, military, medical,
consumer, machine control etc...

Characteristics of an Embedded System : The important characteristics of an embedded


system are

Speed (bytes/sec) : Should be high speed


Power (watts) : Low power dissipation
Size and weight : As far as possible small in size and low weight
Accuracy (% error) : Must be very accurate
Adaptability : High adaptability and accessibility.
Reliability : Must be reliable over a long period of time.

Categories of Embedded System : Embedded systems can be classified into the following 4
categories based on their functional and performance requirements.

Stand-alone embedded systems


Real-time embedded systems -Hard real-time systems & Soft real-time system

Networked embedded systems


Mobile Embedded systems

and

Based on the performance of the Microcontroller they are also classified into
I.
Small scaled embedded system
II.
Medium scaled embedded system and
III.
Large scaled embedded system.
Stand alone Embedded systems :

A stand-alone embedded system works by itself.


It is a self-contained device which do not require any host system like a computer..
It takes either digital or analog inputs from its input ports, calibrates, converts, and
processes the data, and outputs the resulting data to its attached output device, which

either displays data, or controls and drives the attached devices.


Temperature measurement systems, Video game consoles , MP3 players, digital cameras,
and microwave ovens are the examples for this category.

Real-time embedded systems :


An embedded system which gives the required output in a specified time or which strictly
follows the time dead lines for completion of a task is known as a Real time system. i.e
a Real Time system , in addition to functional correctness, also satisfies the time
constraints .
There are two types of Real time systems.
i.
ii.

Soft real time system and


Hard real time system.

Soft Real-Time system :


i.

A Real time system in which ,the violation of time constraints will cause only the
degraded quality, but the system can continue to operate is known as a Soft real time

ii.

system.
In soft real-time systems, the design focus is to offer a guaranteed bandwidth to each
real-time task and to distribute the resources to the tasks.
Ex: A Microwave Oven , washing machine ,TV remote etc.

Hard Real-Time system :


i.

A Real time system in which, the violation of time constraints will cause critical failure

ii.

and loss of life or property damage or catastrophe is known as a Hard Real time system.
These systems usually interact directly with physical hardware instead of through a
human being .The hardware and software of hard real-time systems must allow a worst
case execution (WCET) analysis that guarantees the execution be completed within a

strict deadline. The chip selection and RTOS selection become important factors for hard
real-time system design.
Ex: Deadline in a missile control embedded system , Delayed alarm during a Gas leakage , car
airbag control system , A delayed response in pacemakers ,Failure in RADAR functioning etc.
Networked embedded systems :
The networked embedded systems are related to a network with network interfaces to access the
resources. The connected network can be a Local Area Network (LAN) or a Wide Area
Network (WAN), or the Internet. The connection can be either wired or wireless.
i.

The networked embedded system is the fastest growing area in embedded systems
applications. The embedded web server is such a system where all embedded devices are

ii.

connected to a web server and can be accessed and controlled by any web browser.
Ex: A home security system is an example of a LAN networked embedded system where
all sensors (e.g. motion detectors, light sensors, or smoke sensors) are wired and running
on the TCP/IP protocol.

Mobile Embedded systems : The portable embedded devices like mobile and cellular phones ,
digital camaras,MP3 players, PDA (Personal Digital Assistants) are the example for mobile
embedded systems. The basic limitation of these devices is the limitation of memory and other
resources.
i.

Small scaled embedded system : An embedded system supported by a single 816 bit
Microcontroller with on-chip RAM and ROM designed to perform simple tasks is a

ii.

Small scale embedded system.


Medium scaled embedded system : An embedded system supported by 1632 bit
Microcontroller /Microprocessor with external RAM and ROM that can perform more

iii.

complex operations is a Medium scale embedded system.


Large scaled embedded system: An embedded system supported by 32-64 bit multiple
chips which can perform distributed jobs is considered as a Large scale embedded

system.
Application Areas of Embedded Systems:
The embedded systems have a huge variety of application domains which varies from very low
cost

to very high cost and

from daily life consumer electronics to industry automation

equipments, from entertainment devices to academic equipments, and from medical instruments

to aerospace and weapon control systems. So,the Embedded systems span all aspects of our
modern life. The following table gives the various applications of embedded systems.
S.No

Embedded System

Home Appliances

Office Automation

Security

Academia

Instrumentation

Telecommunication

Automobile

Entertainment

Aerospace

10

Industrial automation

11

Personal

12

Medical

13

Banking & Finance

14

Miscellaneous:

Application
Dishwasher, washing machine, microwave, Top-set box,
security system , HVAC system, DVD, answering
machine, garden sprinkler systems etc..
Fax, copy machine, smart phone system, modern,
scanner, printers.
Face recognition, finger recognition, eye recognition,
building security system , airport security system,
alarm system.
Smart board, smart room, OCR, calculator, smart cord.
Signal generator, signal processor, power supplier,
Process instrumentation,
Router, hub, cellular phone, IP phone, web camera
Fuel injection controller, anti-locking brake system, airbag system, GPS, cruise control.
MP3, video game, Mind Storm, smart toy.
Navigation system, automatic landing system, flight
attitude controller, space explorer, space robotics.
Assembly line, data collection system, monitoring
systems on pressure, voltage, current, temperature,
hazard detecting system, industrial robot.
PDA, iPhone, palmtop, data organizer.
CT scanner, ECG , EEG , EMG ,MRI, Glucose
monitor, blood pressure monitor, medical diagnostic
device.
ATM, smart vendor machine, cash register ,Share
market
Elevators, tread mill, smart card, security door etc.

Overview of embedded systems architecture:


Every embedded system consists of customer-built hardware components supported

by a

Central Processing Unit (CPU), which is the heart of a microprocessor (P) or microcontroller
(C). A microcontroller is an integrated chip which comes with built-in memory, I/O ports,
timers, and other components. Most embedded systems are built on microcontrollers, which run
faster than a custom-built system with a microprocessor, because all components are integrated

within a single chip. Operating system play an important role in most of the embedded systems.
But all the embedded systems do not use the operating system. The systems with high end
applications only use operating system. To use the operating system the embedded system should
have large memory capability. So, This is not possible in low end applications like remote
systems, digital cameras,MP3 players , robo toys etc.The architecture of an embedded system
with OS can be denoted by layered structure as shown below. The OS will provide an interface
between the hardware and application software.

In the case of embedded systems with OS ,once the application software is loaded into memory it
will run the application with out any host system.
Coming to the hardware details of the embedded system, it consists of the following important
blocks.

CPU(Central Processing Unit)

RAM and ROM

I/O Devices

Communication Interfaces

Sensors etc. (Application specific circuitary)

This hardware architecture can be shown by the following block diagram.

Central Processing Unit :


A CPU is composed of an Arithmetic Logic Unit (ALU), a Control Unit (CU), and many internal
registers that are connected by buses. The ALU performs all the mathematical operations (Add,
Sub, Mul, Div), logical operations (AND, OR), and shifting operations within CPU .The timing
and sequencing of all CPU operations are controlled by the CU, which is actually built of many
selection circuits including latches and decoders .The CU is responsible for directing the flow of
instruction and data within the CPU and continuously running program instructions step by step.
The CPU works in a cycle of fetching an instruction, decoding it, and executing it, known as the
fetch-decode-execute cycle. The cycle begins when an instruction is fetched from a memory
location pointed to by the PC to the IR via the data bus.
For embedded system design, many factors impact the CPU selection, e.g., the maximum size
(number of bits) in a single operand for ALU (8, 16, 32, 64 bits), and CPU clock frequency for
timing tick control, i.e. the number of ticks (clock cycles) per second in measures of MHz.
Memory :

Embedded system memory can be either on-chip or off-chip. On chip memory access is much
fast than off-chip memory, but the size of on-chip memory is much smaller than the size of offchip memory. Usually, it takes at least two I/O ports as external address lines plus a few control
lines such as R/W and ALE control lines to enable the extended memory. Generally the data is
stored in RAM and the program is stored in ROM.
I/O Ports :
The I/O ports are used to connect input and output devices. The common input devices for an
embedded system include keypads, switches, buttons, knobs, and all kinds of sensors (light,
temperature, pressure, etc).
The output devices include Light Emitting Diodes (LED), Liquid Crystal Displays (LCD),
printers, alarms, actuators, etc. Some devices support both input and output, such as
communication interfaces including Network Interface Cards (NIC), modems, and mobile
phones.
Communication Interfaces :
To transfer the data or to interact with other devices ,the embedded devices are provided the
various

communication interfaces like RS232, RS422, RS485 ,USB, SPI(Serial Peripheral

Interface ) ,SCI (Serial Communication Interface) ,Ethernet etc.


Application Specific Circuitry :
The embedded system some times receives the input from a sensor or actuator. In such situations
certain signal conditioning circuitry is needed. This hardware circuitry may contain ADC , Opamps ,DAC etc. Such circuitry will interact with the embedded system to give correct output.
Power supply:
Most of the embedded systems now days work on battery operated supplies. Because low power
dissipation is always required. Hence the systems are designed to work with batteries.

Introduction To VLSI Design

Very-large-scale integration (VLSI) is the process of creating an integrated circuit (IC) by


combining thousands of transistors into a single chip.
VLSI began in the 1970s when complex semiconductor and communication technologies
were being developed.
The microprocessor is a VLSI device. Before the introduction of VLSI technology most
ICs had a limited set of functions they could perform.
An electronic circuit might consist of a CPU, ROM, RAM and other glue logic. VLSI lets
IC designers add all of these into one chip.

Moores Law:-

Gordon Moore: co-founder of Intel predicted that the number of transistors per chip would grow
exponentially (double every 18 months).

Obligatory historical Moores law plot

Chips
Integrated circuits consist of:

A small square or rectangular die, < 1mm thick


Small die: 1.5 mm x 1.5 mm => 2.25 mm2
Large die: 15 mm x 15 mm => 225 mm2

Larger die sizes mean:

More logic, memory


Less volume
Less yield

Dies are made from silicon (substrate)


Substrate provides mechanical support and electrical common point
Chip Architecture

The following picture shows the placement of the main blocks inside the core area.

Main Components

Digital Blocks

Digital Logic is implemented on silicon using CMOS transistors and metal (wires)
connection between these basic components.
Standard technology libraries are used for implementation of digital logic. These
libraries include optimized implementation of basic elements like logic gates (nor, nand,
not etc), flip-flops, etc..
Sometimes when a digital block has a very special requirement it is implemented as a
Hard Macro. This approach can improve the characteristics of this block, but has
negative impact on chip placement.

Analog and mixed signal blocks are always designed in transistor level. So, all these blocks are
integrated as hard macros. The following blocks are commonly implemented in silicon:

Voltage Regulators used for voltage supply of internal logic and pads from external
power supply. The most popular regulator implemented on silicon in 0.18u process is
3.3V to 1.8V
Power On Reset (POR) and Voltage Detectors used to generate system reset for onchip logic during power-up and when external supply is going down and cant provide
sufficient voltage level for internal logic.

On Chip Oscillators and PLLs: Used to create clock for the on-chip logic and systems.
Wide range of clock frequencies for the system clock can be generated.
Digital to Analog and Analog to Digital Converters: Provide interface between the onchip digital logic and the on-chip or the external analog blocks. Wide range of
applications, like audio (MP3) and video, require implementation of these blocks on
silicon.

ASIC Example

ASIC Design Flow

High Level Design


High Level Design

Specification Capture
Design Capture in C, C++, SystemC or SystemVerilog
HW/SW partitioning and IP selection

RTL Design

Verilog/VHDL

System Timing and Logic Verification

Is the logic working correctly?

Physical Design

Floorplanning, Place and Route, Clock insertion

Performance and Manufacturability Verification

Extraction of Physical View


Verification of timing and signal integrity
Design Rule Checking/ LVS

RTL Synthesis Flow

Logic Design and Verification


Design starts with a specification

Text description or system specification language


1. Example: C, SystemC, SystemVerilog

RTL Description

Automated conversion from system specification to RTL possible


2. Example: Cadence C-to-Silicon Compiler

Most often designer manually converts to Verilog or VHDL


Verification

Generate test-benches and run simulations to verify functionality


Assertion based verification
Automated test-bench generation

RTL Synthesis and Verification


RTL Synthesis

Automated generation of generic gate description from RTL description


Logic optimization for speed and area
State machine decomposition, datapath optimization, power optimization
Modern tools integrate global place-and-route capabilities

Library Mapping

Translates a generic gate level description to a netlist using a target library

Functional or Formal Verification

HDL ambiguities can cause the synthesis tool to produce incorrect netlist
Rerun functional verification on the gate level netlist

Formal verification

Model checking: prove that certain assertions are true


Equivalence checking: compare two design descriptions

Static Timing Analysis

Checks temporal requirements of the design


Uses intrinsic gate delay information and estimated routing loads to exhaustively evaluate
all timing paths
Requires timing information for any macro-blocks e.g. memories
Will evaluate set-up and hold-time violations
Special cases need to be flagged using timing constraints (more later) Reports slack
time
Re-synthesize the circuit or re-design to improve delay

Test Insertion and Power Analysis

Insert various DFT features to perform device testing using Automated Test Equipment
(ATE) and system level tests
Scan enabled flip-flops and scan chains
Automatic Test Pattern Generation (ATPG) tools generate test vectors to perform logic
and parametric testing

Built-in Self Test

Logic: Based on LFSR (random-patterns) and MISR (signature) (LBIST)

Memory: Implements various memory testing algorithms (MBIST)

Boundary-Scan/JTAG

Enables board/system level testing


More on DFT and test insertion later

Power Analysis

Power analysis tools predict power consumption of the circuit


Either test vectors or probabilistic activity factors used for estimation

Floorplanning /Placement/Routing

Manually place major modules in the chip depending on connections with


other modules
Standard cell rows are defined next and the gates are placed
1. No routing channels between rows in newer technologies

Timing driven placement tries to minimize delay on critical paths

Routing
Route special nets
Power, Ground
Clock tree synthesis/ routing
Minimize skew
Insert buffers
Global and detailed routing of signal nets

Verification Steps

Parasitic Extraction

Detailed parasitic extraction after routing

2D, 2.5D and 3D extraction possible, output is SPEF, RSPF, ESPF etc.

Timing Analysis

Static timing analysis, iterate if there are problems


If possible, full chip simulation at transistor-level using fast spice simulators
E.g. Nanosim, Ultrasim

Crosstalk, VDD Drop, Electromigration Analysis


Power Analysis

More detailed wire capacitance available for power analysis, iterate if there are
Problems,

Timing Driven Placement

You might also like