Professional Documents
Culture Documents
Menu
Spartan-6 FPGA
Hello World
Project logs
My first FPGA project using
Technolomaniac's board
created by Mike Szczys 08/30/2014 at 15:16 4
Comments
Oldest
1/10
12/15/2015
supported
Wow, Xilinx needs to work on presenting better information on
their webpage. Below I documented how to install Vivado...
their current generation software suite for their FPGAs.
Guess what? Vivado doesn't support Spartan-6. It only
supports 7 Series, Zynq-7000, and UltraScale parts. For
Spartan-6 you need to useEnd-of-Life Xilinx ISE Design Suite.
I'm currently having fun watching the 6.8 GB install "Full
Installer for Linux" creep along. Sheesh!
Getting the Vivado installer running on Ubuntu
Installer crashes on Ubuntu.This thread yields the following
commands which are a workaround.
chmod +x
Xilinx_Vivado_SDK_2014.2_0612_1_Lin64.bin
./Xilinx_Vivado_SDK_2014.2_0612_1_Lin64.bi
n --target Xilinx_Install_temp<br>
cd Xilinx_Install_temp
Now edit the xsetup file and replace "#!/bin/sh" with
"#!/bin/bash"
sudo ./xsetup
Now the GUI setup window will launch. I didn't run as sudo the
first time and a couple of screens in the GUI complains about
write permisisons for /opt/Xilinx.
9.18 GB
https://hackaday.io/project/2930/logs
2/10
12/15/2015
Check that download size and disk space. I'm trying to blink
and LED and I've got a 1.89 GB download standing in my way?
Maybe this is commonplace for the FPGA crowd, but I'm a fan
of programming for embedded using a text editor and
makefile (okay, I do use Eclipse more regularly these days but
you know what I'm talking about).
As I said above, this does me no good because I have a
Spartan-6 I'm trying to work with. I'll post another log on how
to install ISE Design Suite.
3/10
12/15/2015
page:http://www.xilinx.com/support/download/index.html/cont
ent/xilinx/en/downloadNav/design-tools.html
The package is about 6.8 GB so download ahead of time.
4/10
12/15/2015
5/10
12/15/2015
~/.Xilinx/. directory
7) On the Xilinx License Configuration Manager hit the refresh
button at the bottom. This should give you a few green boxes
in the Version Limit column. Now hit close
Now when you launch ISE there should be no license error.
Hey, more Linux fun to get Xilinx drivers working on a "nonsupported" platform (Ubuntu).
Trying to run the cable driver install script bombs out. Finding
my way to a workaround for this really really really sucked. I
think i have at least 2 hours into this. Finally found this page...
follow it religiously:
http://www.georgesmart.co.uk/wiki/Xilinx_JTAG_Linux#Installing_Xilinx_ISE
I was able to poll the FPGA using the Platfrom Cable USB but
then all of the sudden I wasn't. I would get the error telling me
that the cable was being used by another application. This
bug report shows how to clear a bad cable
lock:http://www.xilinx.com/support/answers/21931.htm
impact -batch
cleancablelock
exit
https://hackaday.io/project/2930/logs
6/10
12/15/2015
https://hackaday.io/project/2930/logs
7/10
12/15/2015
use IEEE.STD_LOGIC_ARITH.ALL;
use IEEE.STD_LOGIC_UNSIGNED.ALL;
https://hackaday.io/project/2930/logs
8/10
12/15/2015
entity LED_Blink is
port (
CLK_50MHz: in std_logic;
LED: out std_logic
);
end LED_Blink;
Prescaler: process(CLK_50MHz)
begin
if rising_edge(CLK_50MHz)
then
if Counter <
"1011111010111100001000000" then
Counter <=
Counter + 1;
else
CLK_1Hz <=
not CLK_1Hz;
Counter <=
(others => '0');
end if;
end if;
end process Prescaler;
LED <= CLK_1Hz;
end Behavioral;
pins.ucf:
NET "CLK_50MHz" LOC = "P56";
NET "LED" LOC = "P134";
https://hackaday.io/project/2930/logs
9/10
12/15/2015
Going up?
About Us
Contact Hackaday.io
Give Feedback
Terms of Use
Privacy Policy
2015 Hackaday
https://hackaday.io/project/2930/logs
10/10