You are on page 1of 10

REGISTRO DE DESPLAZAMIENTO

Es un circuito digital que acepta datos binarios de una fuente de entrada y


luego los desplaza, un bit a la vez, a travs de una cadena de flip-flops.
Este sistema secuencial es muy utilizado en los sistemas digitales. Un ejemplo
de esto se ve en las calculadoras comunes, donde al escribir una cifra de
varios nmeros, se nota que el primer nmero pulsado les cede espacio a los
dems corrindose a la izquierda, donde adems se nota que hay
caractersticas de memoria porque se mantienen visualizados los nmeros
pulsados.
Los registros de desplazamiento son construidos a partir de flip-flops. Adems
de tener caractersticas de memoria y la funcin de desplazar datos, tambin
se utilizan para convertir datos serie a paralelo y paralelo a serie.

Ejemplo de registro de desplazamiento

Un mtodo de identificar los registros de desplazamiento es


por la forma en que se introducen y leen los datos en la
unidad de almacenamiento.

Existen cuatro categoras de registro de


desplazamiento:

Entrada serie/Salida serie: slo la entrada del primer flip-flop y la salida del
ltimo son accesibles externamente. Se emplean como lneas de retardo
digitales y en tareas de sincronizacin.

Entrada serie/Salida paralelo: En este tipo de registros con salida en paralelo


se dispone de la salida de cada flip-flop por lo que una vez almacenados los
datos cada bits se representa en su respectiva salida. De esta manera todos
los bits de salida estarn disponibles al mismo tiempo.

Entrada paralelo/salida serie: En este tipo de registros los bits de datos se


introducen simultneamente a travs de lneas paralelo en lugar bit a bit.
La salida serie se hace de igual modo que en el primer caso explicado una vez
que los datos hayan sido almacenados. El funcionamiento de un registro de
desplazamiento de 8bits con carga en paralelo, utilizaremos como ejemplo el
74HC165.
Este dispositivo posee una entrada (pl) que estando a nivel bajo activa todas
las puertas NAND permitiendo la carga de los datos de entrada en paralelo.
Cuando a la entrada nos encontramos un 1 el flip-flop correspondiente pasa al
estado de SET de manera asncrona debido al nivel bajo de la puerta superior.
Por el contrario cuando la entrada es 0 el flip-flop pasar a estado de RESET
de forma asncrona por el mismo motivo. Este dispositivo tiene la opcin de
introducir tambin los datos en serie a travs de la entrada SER. Las salidas de
datos serie del registro son Q7 y Q7.

Entrada
paralelo/Salida
tanto las entradas como las
accesibles.
Se
usan
para
aritmticos.

paralelo:
salidas
son
clculos

APLICACIONES DE LOS REGISTROS DE DESPLAZAMIENTO


Los registros de desplazamiento se pueden utilizar para retardar una seal
digital en una cantidad predeterminada.
Ejemplo:
Un registro de desplazamiento de 8-bit entrada/salida serial tiene un reloj de 40
MHz.
Cul es el retardo total a travs del registro?
Solucin:
El retardo para cada reloj es 1/40 MHz = 25 ns El retardo total es 8 x 25 ns =
200 ns 25 ns =200ns.

Generador pseudoaleatorio. Se construye con un registro de desplazamiento,


realimentando a la entrada una combinacin de varias salidas, normalmente un
or exclusivo entre ellas.
Multiplicador serie. Se realiza la multiplicacin mediante sumas y
desplazamientos. Un ejemplo es el 74LS384.
Registro de aproximaciones sucesivas. Se usa en conversores A/D. Se van
calculando los bits sucesivamente, empezando por el ms significativo.
Mediante un conversor DAC se compara la entrada analgica con los
resultados parciales, generando el siguiente bit.
Retardo. Se pueden utilizar para retardar un bit un nmero entero de ciclos de
reloj (consiste simplemente en un conjunto de biestables en cascada, tantos
como ciclos de reloj deseemos retardar los bits).

Desplazamiento Universal:
Funciones bsicas de los registradores de desplazamiento
Una coleccin de dos o ms biestables D con una entrada comn se conoce
como un registro.
Los registros se usan para almacenar una serie de bits
relacionados, con aplicaciones importantes en almacenamiento y movimiento
de datos.
La entrada nica acepta datos en serie de una fuente externa
Este sistema secuencial es muy utilizado en los sistemas digitales.
Un ejemplo de esto se ve en las calculadoras comunes, donde al escribir una
cifra de varios nmeros, se nota que el primer nmero pulsado le cede espacio
a los dems corrindose a la izquierda, donde adems se nota que hay
caractersticas de memoria porque se mantienen visualizados los nmeros
pulsados.
Los registros de desplazamiento son construidos a partir de flip-flops. Adems
de tener caractersticas de memoria y la funcin de desplazar datos, tambin
se utilizan para convertir datos serie a paralelo y paralelo a serie.
El 74HC194 es un ejemplo de registro de desplazamiento bidireccional
universal en:
Formato integrado. Se denomina universal porque une las capacidades de los
anteriores circuitos integrados estudiados: tiene capacidad de entrada y salida
tanto serie como paralelo.
Se denomina bidireccional porque los desplazamientos pueden ser tanto de
izquierda a derecha como de derecha a izquierda.
Un registro de desplazamiento se puede utilizar
como contador en anillo sin ms que unir la salida a la entrada serie.

FIFO
(First in-firtsout), primero en entrar - primero en salir, es decir, es lo que se
llama una fila de espera. No son de acceso aleatorio, es escasa su incidencia
en sistemas de micrordenadores.
FIFO se utiliza en estructuras de datos para implementar colas. La
implementacin puede efectuarse con ayuda de arrays o vectores, o bien
mediante el uso de punteros y asignacin dinmica de memoria.

Est tipo de memorias esta formado por una disposicin de registros de


desplazamiento. El termin FIFO hace referencia al funcionamiento bsico de
este tipo de memorias, en la que el primer bit de datos que se escribe es el
primero que se lee.
En la siguiente figura se ilustra una diferencia importante entre un registro de
desplazamiento convencional y un registro FIFO.

***Comparacin del funcionamiento de un registro convencional FIFO

Registro de desplazamiento convencional


FIFO

-Entrada

X-- X

-X

0
0 X x
X=bits de datos desconocidos
.1 0 x
1
En un registro de desplazamiento
1
1 1 0
convencional, los dato
0 permanecen
0 1a la1
izquierda hasta que Ent
son desplazados por
medio
rad

Registro de desplazamiento

Sali
X --Salida
da
x
x --= posiciones vacas
x En un registro de desplazamiento FIFO, los
0 datos van cayendo(a la derecha )

a
0
1
1
0

---0

--1
1

-1
1
1

0
0
0
0

En un registro
convencional, un bit
de datos se
desplaza a travs del
registro solo
cuando se introduce
nuevos datos; en un registro FIFO, un bit de datos atraviesa el registro hasta
situarse en la posicin de bit ms a la derecha que este vaca.
En la siguiente diagrama de bloques de una memoria serie FIFO. Esta memoria
en particular tiene cuatro registros de datos de 64 bits y un registro de control
de 64 bits (registro de marca).
Cuando los datos se introducen mediante un impulso de desplazamiento de
entrada automticamente, bajo el control de registro de marca.
se mueve a la posicin vaca ms prxima a la salida. Los datos no pueden
avanzar a las posiciones que estn ocupadas. Sin embargo, cuando un bit de
dato se desplaza mediante un impulso de desplazamiento de salida, los bits de
datos se desplazan mediante un impulso de desplazamiento de salida, los bits

de datos que estn en los registros automticamente se mueve a la posicin


siguiente hacia la salida.
En una memoria FIFO asncrona, los datos se desplazan hacia fuera
independientemente de la entrada de datos, utilizando dos relojes separados.
Diagrama de bloques de una memoria FIFO serie tpica

Reg de desplazamiento de 64 bit

Entrada de datos

Buffers
de
entrada

Reg de desplazamiento de 64 bit

Buffer
de
salida

Salida de datos

Reg de desplazamiento de 64 bit


Reg de desplazamiento de 64 bit

Lgica de control deControles


entrada

Lgica de
y registros de marcas

control de salida

LIFO (LAST INPUT FIRST OUTPUT)


Las memorias LIFO se encuentran en aplicaciones que utilizan
microprocesadores y otros sistemas de computacin .Permiten almacenar
datos y luego extraerlos en orden inverso; es decir, el ltimo byte de datos
almacenado es el primer byte de datos que se recupera, es lo que se llama una
pila o apilamiento.
Tambin llamadas memorias pila.
Tienen dos operaciones:
* Push: escritura.

* Pop: lectura.

Los datos
de
entrada se van apilando haciendo Push y cuando se hace Pop, se lee el
dato que est en la cima de la pila.

Hay de dos tipos de implementacin principalmente:


* LIFO basada en registros de desplazamiento.

Registros con entrada serie y salida serie (SISO)


A continuacin se muestra un registro de desplazamiento con entrada y salida
en serie de 5 bits formado con biestables maestro esclavo RS: Observamos
que la entrada S del primer biestable est conectado a la entrada y est
negada a la entrada R.

Con esto se consigue que, cuando en la entrada haya un 1, el primer biestable


contendr un 1 (Q=1, Q=0) y los dems un 0.
Con la siguiente seal de reloj el bit almacenado en el primer biestable se
desplazar al siguiente y as uno tras otro hasta la salida en serie. Esto sucede
as porque la salida Q est conectada a la S del siguiente biestable.
Tambin podemos observar que los biestables nunca pueden estar en estado
de mantenimiento o en estado prohibido, ya que la entrada enserie pasa
afirmada a la S y negada a la R. Los registros de desplazamiento se
implementan con biestables maestro esclavo, pues son capaces de almacenar
la informacin un flanco, y transmitirla durante el siguiente.

Cuando el registro se efecta de izquierda a derecha se denomina


desplazamiento hacia la derecha. Si el registro combina ambos tipos se llama
bidireccional.

REGISTRO DE ENTRADA Y SALIDA EN PARALELO (PIPO)


Como se puede ver, se ha creado un registro de entrada y salida paralelo a partir de
biestables D con entrada de habilitacin.
La entrada de datos es cada una de las entradas D del biestable; la entrada de
habilitacin se une auna entrada de habilitacin global, de manera que cuando se
activa, permite que se lean los datos. Hay otra entrada (control de salida) que al
activarse permite que se lean las salidas. Aqu hemos utilizado puertas AND, aunque
tambin podramos haber utilizados puertas OR y un inversor, o tambin buffers con
entradas de alta impedancia.

AO DE LA CONSOLIDACIN DEL
MAR DE GRAU
INTEGRANTES: MANY SANGAMA INUMA

CICLO: V
CARRERA: ELECTRICIDAD INDUSTRIAL
PROFESOR:
ALCALDE

LUIS

ALBERTO

SNCHEZ

TEMA: REGISTRO DE DEZPLAZAMIENTO

You might also like