You are on page 1of 3

Qu es VHDL?

Con la creciente complejidad de los diseos digitales ha aparecido una


necesidad de describir un circuito de la forma ms eficiente y prctica
posible. Un lenguaje de programacin ofrece la posibilidad de un alto
nivel de abstraccin y es la solucin adecuada para dicha tarea. Entre
los lenguajes para la descripcin de circuitos digitales, el VHDL es el que
est alcanzando mayor popularidad, por ser un estndar y por su amplio
campo de aplicacin, desde el modelado para la simulacin de circuitos,
hasta la sntesis automtica de circuitos.
El significado de las siglas VHDL es Very high speed integrated circuit
(VHSIC) Hardware Description Language. VHDL es una forma en que los
humanos y las mquinas puedan leer y entender la funcionalidad y la
organizacin de sistemas hardware digitales.
Las ventajas del VHDL son:
- Permite disear y modelar un sistema en varios niveles de abstraccin:
flujo de datos, estructural, algortmico.
- Una descripcin en VHDL es independiente de la implementacin
hardware final del proyecto. Puede ser sintetizado sobre una PLD o un
ASIC. Incluso puede servir para simulacin exclusivamente.
- Permite el diseo Top-Down y modular, es decir, dividir un sistema
complicado en subsistemas ms sencillos, tantas veces como sea
necesario hasta poder resolver cada mdulo (subsistema) por separado.
Ello facilita la prueba de cada mdulo independientemente y da ms
seguridad al correcto funcionamiento del sistema final. VHDL ofrece sus
propias maneras de definir "subprogramas".
- Es un estndar (IEEE Std 1076-1987, IEEE Std 1076-1993). No
obstante, hay que decir que cada fabricante ofrece sus propias libreras
con funciones tiles no definidas en el estndar. Por ello, el paso de un
entorno de programacin a otro no es trivial.
Notacin formal: permite su uso en cualquier circuito electrnico.
Disponibilidad pblica: no est sometido a una patente o fbrica.
Independencia Tecnolgica: soporta varias tecnologas de diseo y
distintas funcionalidades.
Capacidad descriptiva: Permite el diseo en varios niveles de
abstraccin

Reutilizacin de Cdigo: Sin importar la tecnologa (CMOS, Bipolar,


etc.) o la implementacin (FPGA, PLD, etc.)
Caractersticas: de las hojas que tenia escritas.
Inicialmente, VHDL fue diseado para el modelado de circuitos digitales.
Su utilizacin en sntesis (implementacin hardware) no es inmediata,
aunque la sofisticacin de las actuales herramientas es tal que permite
implementar diseos en un alto nivel de abstraccin.
No conviene olvidar que el VHDL en s mismo no est asociado a
dispositivos programables, sino que es una descripcin de un circuito en
alto nivel.
De cualquier modo, una descripcin que sea sintetizable es casi siempre
vlida tambin para simulacin, mientras que una descripcin para
simulacin puede tener ms problemas a la hora de compilarla sobre un
dispositivo hardware.
Fuentes:

http://serdis.dis.ulpgc.es/~itis-dl/Teoria%20VHDL/Lenguaje
%20VHDL%20EUP%20Teruel.pdf
Curso de Microelectrnica PDF

Historia del VHDL

De dnde proviene?

Diversos grupos de investigadores empiezan a crear y desarrollar los


llamados "lenguajes de descripcin de hardware" cada uno con sus
peculiaridades, buscando una solucin a los problemas que presentaba
el diseo de los sistemas complejos.
Ejemplos:
IDL desarrollado por IBM
TI - HDL de Texas Instruments,
ZEUS de General Electric,
Prototipos de universidades
Estos lenguajes nunca alcanzaron el nivel de difusin y consolidacin
necesarias por motivos distintos.
Los industriales, por ser propiedad de la empresa permanecieron
encerrados en ellas y no estuvieron disponibles para su estandarizacin
y mayor difusin,

Los universitarios, perecieron por no disponer de soporte ni


mantenimiento adecuado.
VHDL es un lenguaje diseado para describir sistemas electrnicos
digitales. Surgi del programa VHSIC (Very High Speed Integrated
Circuits) impulsado por Departamento de Defensa del gobierno de los
Estados Unidos de Amrica. El lenguaje VHDL naci para dar respuesta a
numerosos problemas planteados en el desarrollo y documentacin de
hardware digital. La documentacin requerida para describir un sistema
electrnico puede ocupar miles de pginas y suele ser muy costoso
reemplazar la informacin contenida cuando la tecnologa o las
especificaciones cambian. Un lenguaje de descripcin adecuado
resuelve el problema ya que la "documentacin" es ejecutable.
Durante el transcurso del programa se hizo notoria la falta de un
lenguaje para describir circuitos electrnicos. De esta forma se
desarroll el lenguaje VHDL (VHSIC Hardware Design Language).
El primer borrador vio la luz en agosto de 1985 diseado por
Intermetrics, IBM y Texas Instruments e impulsado por el Departamento
de Defensa de los Estados Unidos. En diciembre de 1987 fue aprobado
como estndar del IEEE y posteriormente, en 1993, fue revisado y
registrado como norma IEEE Std 1076-1993.
Cronologa o lnea del tiempo de VHDL: lo que hay en mis hojas
Fuentes:

http://atc2.aut.uah.es/~marcos_s/recursos/GuiaVHDL.pdf Apuntes
de VHDL.
http://edii.uclm.es/~miniesta/intro%20hdl.pdf Lenguaje de
descripcin de Hardware.

You might also like