You are on page 1of 65

UNIVERSIDAD TECNOLGICA DE CAMPECHE

DIRECCIN DE INGENIERA EN MECATRNICA


ALUMNO:
EDGAR PREZ CANTE

PROFESOR:
EDUARDO BOCANEGRA MOO

GRADO Y GRUPO:
10 A

TEMA:
CARACTERISTICAS Y FUNCIONES AVANZADAS DE UN PLC

FECHA DE ENTREGA
13/12/2016

UNIVERSIDAD TECNOLGICA DE CAMPECHE


DIRECCIN DE INGENIERA EN MECATRNICA
INDICE

Tabla de contenido
PLC SIEMENS ........................................................................................................ 4
IDENTIFICAR LOS TIPOS DE DATOS QUE ACEPTAN LOS PLC MODULAR. .. 5
CAPITULO 1. MARCO TEORICO .......................................................................... 5
PLC MODULAR. .................................................................................................. 5
Estructura............................................................................................................. 6
Diferencia entre PLC modular y compacto. .......................................................... 6
Funciones especiales........................................................................................... 7
Estructura general de los PLCs ........................................................................ 11
Componentes de hardware ................................................................................ 13
Seal de entrada y salida. .................................................................................. 14
Cableado del plc. ............................................................................................... 16
Caractersticas CPU ............................................................................................ 19
Configurar los registros...................................................................................... 20
Insertar una CPU ........................................................................................ 21
Detectar la configuracin de una CPU sin especificar ................................ 22
Configurar el funcionamiento de la CPU ............................................................ 24
Configurar RS485 ................................................................................................ 27
Caso 4: RS485: Polarizacin directa.................................................................. 28
Caso 5: RS485: .................................................................................................. 28
Transmisor y receptor ........................................................................................ 29
Alimentacin elctrica ........................................................................................ 29
Asignacin de pines de conectores para CB 1241 RS485 ............................... 30
Configurar RS-232 ............................................................................................. 31
Transmisor y receptor ................................................................................. 31
Alimentacin elctrica ................................................................................. 31
Conector RS-232 ............................................................................................... 32
Configurar los registros...................................................................................... 32
Insertar una CPU ........................................................................................ 33
Detectar la configuracin de una CPU sin especificar ................................ 34

UNIVERSIDAD TECNOLGICA DE CAMPECHE


DIRECCIN DE INGENIERA EN MECATRNICA
Configurar el funcionamiento de la CPU ............................................................ 35
PLC MicroLogix 1400 Allen-Bradley .................................................................. 39
Descripcin del controlador................................................................................ 40
Descripcin entrada y salidas de pines ............................................................ 41
IDENTIFICAR LAS CARACTERSTICAS DE UN PLC AVANZADO, MDULOS,
FUNCIONES, ENTRADAS, SALIDAS, PROGRAMACIN.................................. 41
LA UNIDAD DE ENTRADAS ............................................................................ 41
La unidad de salidas ........................................................................................ 44
La unidad lgica ............................................................................................... 44
La unidad de memoria ........................................................................................ 45
Administracin de entradas y salidas de un PLC ............................................ 46
Bases del montaje.............................................................................................. 46
Mdulos de comunicaciones .............................................................................. 46
Mdulos de control de redundancia ................................................................... 46
Mdulos para conexin de racks remotos .......................................................... 47
Mdulos de almacenamiento de informacin ..................................................... 48
Comprender la configuracin de las funciones PID de un PLC avanzado ..... 49
Funcionamiento de salidas analgicas y PWM de un PLC avanzado. ........... 50
Salida de impulsos y sentido (PWM) ................................................................. 53
Seales del accionamiento................................................................................. 55
Relacin entre el sentido de desplazamiento y el nivel de tensin en la salida de
sentido ............................................................................................................... 56
Tren de impulsos (PTO) ...................................................................................... 58
Pipelining monosegmento de impulsos PTO ................................................... 59
Pipelining multisegmento de impulsos PTO..................................................... 59
Sensores Inductivos ........................................................................................... 60
Control PWM ........................................................................................................ 61

UNIVERSIDAD TECNOLGICA DE CAMPECHE


DIRECCIN DE INGENIERA EN MECATRNICA
PLC SIEMENS

Un controlador lgico programable, ms conocido por sus siglas en ingls PLC


(Programmable Logic Controller), se trata de una computadora, utilizada en la
ingeniera automtica o automatizacin industrial, para automatizar procesos
electromecnicos, tales como el control de la maquinaria de la fbrica en lneas de
montaje o atracciones mecnicas. Sin embargo, la definicin ms precisa de estos
dispositivos es la dada por la NEMA (Asociacin Nacional de Fabricantes Elctricos)
que dice que un PLC es: Instrumento electrnico, que utiliza memoria programable
para guardar instrucciones sobre la implementacin de determinadas funciones,
como operaciones lgicas, secuencias de acciones, especificaciones temporales,
contadores y clculos para el control mediante mdulos de E/S analgicos o
digitales sobre diferentes tipos de mquinas y de procesos.

El campo de aplicacin de los PLCs es muy diverso e incluye diversos tipos de


industrias (ej. automocin, aeroespacial, construccin, etc.), as como de
maquinaria. A diferencia de las computadoras de propsito general, el PLC est
diseado para mltiples seales de entrada y de salida, amplios rangos de
temperatura, inmunidad al ruido elctrico y resistencia a la vibracin y al impacto.
Los programas para el control de funcionamiento de la mquina se suelen
almacenar en bateras copia de seguridad o en memorias no voltiles. Un PLC es
un ejemplo de un sistema de tiempo real duro donde los resultados de salida deben
ser producidos en respuesta a las condiciones de entrada dentro de un tiempo
limitado, que de lo contrario no producir el resultado deseado. Dentro de las
ventajas que estos equipos poseen se encuentran que, gracias a ellos, es posible
realizar operaciones en tiempo real, debido a su disminuido tiempo de reaccin.
Adems, son dispositivos que se adaptan fcilmente a nuevas tareas debido a su
flexibilidad a la hora de programarlos, reduciendo as los costos adicionales a la
hora de elaborar proyectos. Permiten tambin una comunicacin inmediata con otro
tipo de controladores y ordenadores e incluso permiten realizar las operaciones en
red. Como ya se ha mencionado previamente, tienen una construccin estable al

UNIVERSIDAD TECNOLGICA DE CAMPECHE


DIRECCIN DE INGENIERA EN MECATRNICA
estar diseados para poder resistir condiciones adversas sobre vibraciones,
temperatura, humedad y ruidos. Son fcilmente programables por medio de
lenguajes de programacin bastante comprensibles. Sin embargo, presentan ciertas
desventajas como la necesidad de contar con tcnicos cualificados para ocuparse
de su buen funcionamiento.

IDENTIFICAR LOS TIPOS DE DATOS QUE ACEPTAN LOS PLC MODULAR.

CAPITULO 1. MARCO TEORICO

PLC MODULAR.
Un PLC modular contiene muchos mdulos diferentes que se pueden acoplar juntos
para construir un controlador personalizado. Habitualmente el mdulo base contiene
las funciones principales, tales como la regulacin de energa elctrica, el
procesador del sistema y las conexiones de entrada. Los mdulos adicionales,
incluyendo los conversores de seal analgica a digital o salidas adicionales, se
pueden aadir a esta unidad principal segn se necesite. Este diseo modular
permite que un PLC se personalice y adapte fcilmente. El Allen Bradley Micrologix
1200 es un ejemplo usado habitualmente del PLC de tipo modular. Esta unidad es
capaz de manejar entre 23 y 40 entradas y salidas. El nmero real de conexiones
se puede expandir fcilmente aadiendo mdulos. Esto proporciona un amplio
margen de flexibilidad y es tpico de un PLC modular.

Se caracterizan por tener una amplia gama de aplicaciones, gracias a que su


estructura es ampliamente configurable. El usuario tiene as gran flexibilidad para
disear el sistema de automatizacin, conforme a sus exigencias. El acceso a travs
de Ethernet, por ejemplo, es absolutamente esencial para muchas aplicaciones.
Una comunicacin eficaz entre PLCs, por un lado, e intercambio de datos a travs
de estndares de comunicacin como OPC, por el otro.
Se divide en:

UNIVERSIDAD TECNOLGICA DE CAMPECHE


DIRECCIN DE INGENIERA EN MECATRNICA
Estructura Americana.- En la cual se separan los mdulos de entrada/salida
del resto del PLC.
Estructura Europea.- Cada mdulo realiza una funcin especfica; es decir,
un mdulo es el CPU, otro la fuente de alimentacin, etc.
En ambos casos, tenemos la posibilidad de fijar los distintos mdulos
(Estructura Modular) o el PLC (Estructura Compacta) en rieles normalizados.

Estructura.

1. Rack.
2. Barra de compensacin de potencial.
3. Tarjetas de entradas y salidas.
4. Tarjetas de comunicacin.
5. C.P.U.
6. Tarjeta de memoria.
7. Tarjeta de fuente de alimentacin.

Ilustracin 1. estructura de un PLC

Diferencia entre PLC modular y compacto.


El compacto tiene la fuente, el cable de transmisin, las entradas/salidas y el
CPU en el mismo bloque y el modular est dividido.
Admite la configuracin y expansin de hardware que est disponible para
su gama correspondiente.
Puede ser configurado de acuerdo a la expansin y eliminacin de mdulos
extrables y expandibles.
Costos.
En caso de avera, puede aislarse el problema, cambiando el mdulo
averiado sin afectar el funcionamiento del resto.

UNIVERSIDAD TECNOLGICA DE CAMPECHE


DIRECCIN DE INGENIERA EN MECATRNICA
Funciones especiales.
Temporizador con retardo a la conexin: Activa la salida Q una vez que ha
transcurrido el tiempo programado
Temporizador con retardo a la desconexin: Desactiva la salida una vez
transcurrido el tiempo programado.
Relevador de impulsos: Tiene el mismo funcionamiento que un interruptor. La
salida cambia de estado, de 0 a l, cada vez que cambia la seal de entrada de
disparo (Trigger).
Reloj: Permite controlar los instantes de activacin y desactivacin de la salida en
un da de la semana y una hora determinada.
Generador de pulsos: Genera pulsos de reloj a intervalos iguales. Funcionamiento
similar a un intermitente.
Secuenciadores.
Estos dispositivos fueron usados para proveer un cierre de contactos basados en la
posicin de un tambor cilndrico. La programacin consista de colocar los pines en
los orificios de manera que hicieran contacto con interruptores de lmite. El tamao
del programa y la capacidad de salida eran el resultado del tamao fsico del cilindro.

SQO. Sequencer output.


Esta instruccin es usada para controlar salidas especficas dentro de una tabla de
datos interna.
SQC. Sequencer compare.
Esta instruccin es usada para comparar entradas especficas con una tabla de
datos internas y proveer indicacin de una combinacin detectada.

UNIVERSIDAD TECNOLGICA DE CAMPECHE


DIRECCIN DE INGENIERA EN MECATRNICA
SQL. Sequencer Load.
Esta instruccin es usada para cargar una palabra (16 bits) dentro de una posicin
seleccionada de un secuenciador existente.
Registros de corriente.
Usado en instrucciones de salida, almacenamiento de datos, posicin o estatus de
rastreo y almacenamiento temporal.

Manejo de datos.
Instrucciones de salida, organizacin de datos y posicionamiento de datos.

Instrucciones de salida, matemticas, formateo de datos y escalas (unidades de


ingeniera, sumas, restas, multiplicacin, divisin, raz cuadrada, etc).

Instruccin de salida, funciones lgicas (AND, OR, XOR, NOT, NEG), conversin
(TOD, FRD, DCD, ENC).

UNIVERSIDAD TECNOLGICA DE CAMPECHE


DIRECCIN DE INGENIERA EN MECATRNICA

CONECTIVIDAD
El PLC de tipo modular se comunica internamente a travs de buses ubicados en el
fondo del dispositivo o rackdonde se ensambla la arquitectura deseada.
Si el PLC es compacto, los buses estn presentes internamente, pero no admiten
conexin de otros dispositivos externos.
La figura muestra la estructura utilizada para la comunicacin mediante buses.

UNIVERSIDAD TECNOLGICA DE CAMPECHE


DIRECCIN DE INGENIERA EN MECATRNICA

Conectividad con un Computador Personal Programacin Automtica

Conectividad con una consola de programacin manual.

UNIVERSIDAD TECNOLGICA DE CAMPECHE


DIRECCIN DE INGENIERA EN MECATRNICA
PLCs Modulares
Componentes Mix N Match

Procesadores, Fuentes de Poder y mdulos de I/O enchufadas en un rack o


chasis.

Disponible en plataformas pequea, mediana y grande.

Flexibilidad de resultados en costos ms altos cuando es comparado con el


PLC Fijo. PLCs modulares son basados en rack o sin l.

Allen-Bradley SLC-500 y PLC 5 son PLCs modulares

Estructura general de los PLCs


El siguiente diagrama de flujo muestra los componentes y la estructura de un PLC:

Como puede observarse en la figura, para que el sistema funcione es necesario que
exista un suministro de potencia cuyo propsito principal es garantizar los voltajes
de operacin internos del controlador y sus bloques. Los valores ms
frecuentemente utilizados son 5V, 12V y 24V y existen principalmente dos
mdulos de suministro de potencia: los que utilizan un voltaje de entra de la red de
trabajo los que utilizan suministradores de potencia operacionales para el control de
los objetos.

UNIVERSIDAD TECNOLGICA DE CAMPECHE


DIRECCIN DE INGENIERA EN MECATRNICA
La parte principal es la denominada unidad central de procesamiento o CPU que
contiene la parte de procesamiento del controlador y est basada en un
microprocesador que permite utilizar aritmtica y operaciones lgicas para realizar
diferentes funciones. Adems, la CPU, testea tambin frecuentemente el PLC para
lograr encontrar errores en su debido tiempo. Los primeros PLCs utilizaron chips
que haban sido procesados mediante la tcnica denominada bit-slice, como el
AMD2901, 2903, etc.

La transferencia de datos y/o direcciones en los PLCs es posible gracias a cuatro


tipos de buses diferentes:

Bus de datos, para la transferencia de datos de los componentes individuales

bus de direcciones, para aquellas transferencias entre celdas donde se


haban guardado datos

Bus de control, para las seales de control de los componentes internos

Bus de sistema, para conectar los puertos con los mdulos de E/S.

El lugar donde se guardan los datos y las instrucciones es la memoria que se divide
en memoria permanente, PM, y memoria operacional, conocida como memoria de
acceso aleatorio o RAM. La primera, la PM, se basa en las ROM, EPROM, EEPROM
o FLASH; es donde se ejecuta el sistema de operacin del PLC y puede ser
reemplazada. Sin embargo, la RAM, es donde se guarda y ejecuta el programa en
cuestin utilizado y es la de tipo SRAM la que se utiliza habitualmente. La condicin
comn para las entradas de dos componentes digitales de un PLC se guarda en
una parte de la RAM y se denomina tabla PII o entrada imagen de proceso. La salida
controlada, o el ltimo valor de la salida calculado por las funciones lgicas, se
guardan en la parte de la RAM denominada tabla PIO, salida de la imagen del
proceso. El programa utilizado tambin puede guardarse en una memoria externa
permanente (EPROM o EEPROM) que, para ciertos PLCs, puede ser un mdulo
externo que se coloca en una toma del panel frontal.

UNIVERSIDAD TECNOLGICA DE CAMPECHE


DIRECCIN DE INGENIERA EN MECATRNICA
Finalmente, los mdulos de E/S, son aquellos mdulos de seal (SM) que coordinan
la entrada y salida de las seales, con aquellas internas del PLC. Estas seales
pueden ser digitales (DI, DO) y analgicas (AI, AO), y provienen o van a dispositivos
como sensores, interruptores, actuadores, etc. Los SMs analgicos utilizan en
general un voltaje en DC y una corriente directa. De este modo, opto acopladores,
transistores y rels son empleados en la salida digital del SMs para cambiar los
estados de la seal de salida con el fin de proteger a estos dispositivos de
situaciones como un cortocircuito, una sobrecarga o un voltaje excesivo. El nmero
de entradas y/o salidas de los SMs digitales es tambin bastante ms elevado que
en los analgicos, siendo los primeros ms de 8,16 o 32, mientras que los segundos
son, a lo sumo 8. Finalmente, los trminos Sinking y Sourcing explican cmo se
realiza la conexin de las PLC a los sensores y actuadores:

Sinking = Lnea GND comn (-) tierra comn

Sourcing = Lnea VCC comn (+) suministro de potencia comn

Componentes de hardware
Una PLC puede contener un casete con una va en la que se encuentran diversos
tipos de mdulos, como puede observarse en la siguiente figura, correspondiente a
una PLC de la empresa Siemens:

UNIVERSIDAD TECNOLGICA DE CAMPECHE


DIRECCIN DE INGENIERA EN MECATRNICA
Como puede observarse en la figura, el PLC dispone de los siguientes mdulos que,
aunque en este tipo no puede ser intercambiada, esto s es posible para PLCs de
otras compaas. Los mdulos ms importantes son:

Mdulo de interfaz (IM), conecta diferentes casetes individuales con un nico


PLC; Mdulo funcional (FM), procesamiento complejo en tiempo-crtico de
procesos independientes de la CPU, por ejemplo, conteo rpido;

Regulador PID o control de la posicin;

Procesador de la comunicacin (CP), conecta el PLC en una red de trabajo


industrial, ej. Industrial Ethernet, PROFIBUS, AS interfaz, conexin serie
punto-a-punto;

Interfaz hombre-mquina (HMI), ej. panel de operaciones;

Entradas/salidas remotas;

Mdulos de seal de alta-velocidad.

Cada mdulo de PLC module tiene su propia interfaz-HIM bsica, utilizada


para la visualizacin de los errores y las condiciones de comunicacin, la
batera, entradas/salidas, operacin de los PLC, etc. Pequeos displays con
cristal lquido (LCD) o diodos emisores de luz (LED) se utilizan para la
interfaz-HMI.

Seal de entrada y salida.

La declaracin de una determinada entrada o salida dentro del programa se


denomina direccionamiento. Las entradas y salidas de los PLCs estn
comprendidas en general, en 8 grupos de entradas y salidas digitales. Estas 8
unidades se denominan Byte. Cada uno de estos grupos contiene un nmero
llamado dirrecin de Byte. Para tratar una entrada o una salida dentro de un byte,
se ha de descomponer cada byte en ocho Bits individuales. Estos se numeran del
Bit 0 al Bit 7. As se guarda la direccin del Bit. El PLC que se describe a
continuacin tiene las entradas byte 0 y 1 as como las salidas byte 4 y 5.

UNIVERSIDAD TECNOLGICA DE CAMPECHE


DIRECCIN DE INGENIERA EN MECATRNICA

Para el ejemplo, al cual le corresponde la quinta entrada, se da la siguiente


direccin:

Para el ejemplo, al cual le corresponde la salida ms baja, se da la siguiente


direccin:

UNIVERSIDAD TECNOLGICA DE CAMPECHE


DIRECCIN DE INGENIERA EN MECATRNICA
Cableado del plc.

Unin UND en FUP:


En el diagrama de funciones FUP se programa la unin UND a travs de la
representacin grfica y su forma es la siguiente:

Ejemplo de una unin ODER:


Una lmpara debe brillar, si uno o los dos interruptores estn cerrados.

UNIVERSIDAD TECNOLGICA DE CAMPECHE


DIRECCIN DE INGENIERA EN MECATRNICA

Aclaracin:
La lmpara slo brillar, si uno de los dos interruptores est cerrado. Por lo tanto, si
el interruptor S1 oder S2 est cerrado, brilla la lmpara H1.

Cableado del PLC:


Para transportar esta lgica en un programa PLC, se han de cerrar naturalmente
ambos interruptores con las entradas del PLC. Aqu se asigna S1 a la entrada E 0.0
y S2 a la entrada E 0.1. Adems se ha de cerrar la salida con la lmpara H1 p.e. A
4.0.

Unin ODER en FUP:


En el diagrama de funciones FUP se programa la unin ODER a travs de la
representacin grfica y su forma es la siguiente:

UNIVERSIDAD TECNOLGICA DE CAMPECHE


DIRECCIN DE INGENIERA EN MECATRNICA

NEGACIN
En las uniones lgicas es necesario preguntar repetidamente, si un contacto cerrado
no est ocupado o si un contacto abierto est ocupado y por eso no se aplica
ninguna tensin a la correspondiente entrada.

Esto se produce a travs de la programacin de una negacin en la entrada de la


unin UND as como en la entrada de la unin ODER.

En el diagrama de funciones FUP se programa la negacin de una entrada con la


unin UND a travs de la representacin de siguiente smbolo:

UNIVERSIDAD TECNOLGICA DE CAMPECHE


DIRECCIN DE INGENIERA EN MECATRNICA
Caractersticas CPU

La familia S7-1200 ofrece diversos mdulos y placas de conexin para ampliar las
capacidades de la CPU con E/S adicionales y otros protocolos de comunicacin. Para ms
informacin sobre un mdulo en particular.

Mdulos de seales digitales y Signal Boards

UNIVERSIDAD TECNOLGICA DE CAMPECHE


DIRECCIN DE INGENIERA EN MECATRNICA
Mdulos de seales analgicas y Signal Boards

Interfaces de comunicacin

Configurar los registros


Para crear la configuracin de dispositivos del PLC es preciso agregar una CPU y mdulos adicionales al
proyecto.

UNIVERSIDAD TECNOLGICA DE CAMPECHE


DIRECCIN DE INGENIERA EN MECATRNICA

1. Mdulo de comunicacin (CM): mx. 3, insertados en los slots 101, 102 y 103.
2. CPU: Slot 1.
3. Puerto Ethernet de la CPU.
4. Signal Board (SB): mx. 1, insertada en la CPU.
5. Mdulo de seales (SM) para E/S digitales o analgicas: mx. 8, insertados en los slots
2 a 9 (La CPU 1214C permite 8, la CPU 1212C permite 2, la CPU 1211C no permite
ninguno).
Para crear la configuracin de dispositivos, agregue un dispositivo al proyecto.

En la vista del portal, seleccione "Dispositivos y redes" y haga clic en "Agregar


dispositivo".

En la vista del proyecto, bajo el nombre del proyecto, haga doble clic en "Agregar nuevo
dispositivo".

Insertar una CPU


La configuracin de dispositivos se crea insertando una CPU en el
proyecto. Al seleccionar la CPU en el dilogo "Agregar nuevo
dispositivo" se crean el rack y la CPU.

UNIVERSIDAD TECNOLGICA DE CAMPECHE


DIRECCIN DE INGENIERA EN MECATRNICA
Dilogo "Agregar nuevo dispositivo"

Dilogo "Agregar nuevo dispositivo"

Al seleccionar la CPU en la vista de dispositivos se visualizan las propiedades de la CPU en la ventana de


inspeccin.

Detectar la configuracin de una CPU sin especificar

Cargar una configuracin hardware existente es muy fcil Si existe una


conexin con una CPU, es posible cargar su configuracin en el mdulo

UNIVERSIDAD TECNOLGICA DE CAMPECHE


DIRECCIN DE INGENIERA EN MECATRNICA
(incluidos los mdulos). Tan slo hay que crear un proyecto nuevo y seleccionar la "CPU sin
especificar" en lugar de una especfica. (Tambin es posible omitir la configuracin de
dispositivo por completo seleccionando "Crear un programa PLC" en "Primeros pasos".
Entonces STEP 7 Basic crea automticamente una CPU sin especificar.) En el editor de
programacin, seleccione el comando "Deteccin de hardware" del men "Online".

En el editor de configuracin de dispositivos, seleccione la opcin de deteccin del dispositivo


conectado.

Figura 1.-Ejemplo de deteccin de dispositivo en la CPU.

Tras seleccionar la CPU en el cuadro de dilogo online, STEP 7 Basic carga la


Configuracin hardware de la CPU, incluyendo todos los mdulos (SM, SB o CM). Entonces pueden
configurarse los parmetros de la CPU y de los mdulos.

Figura 2.-Seleccin de dispositivo virtual en la CPU.

UNIVERSIDAD TECNOLGICA DE CAMPECHE


DIRECCIN DE INGENIERA EN MECATRNICA
Configurar el funcionamiento de la CPU
Para configurar los parmetros operativos de la CPU, seleccinela en la vista de dispositivos (recuadro azul
alrededor de la CPU) y utilice la ficha "Propiedades" de la ventana de inspeccin.

Figura 3.-Configuracin de la CPU (Parmetros.)

Edite las propiedades para configurar los siguientes parmetros:

Interfaz PROFINET: Permite configurar la direccin IP de la CPU y la sincrozacin


horaria.

DI, DO y AI: Permite configurar la reaccin de las E/S locales (integradas) digitales y
analgicas.

Contadores rpidos y generadores de impulsos: Permite habilitar y configurar los contadores


rpidos (HSC) y generadores de impulsos utilizados para las operaciones de tren de impulsos
(PTO) y modulacin del ancho de pulso (PWM)
Si las salidas de la CPU o Signal Board se configuran como generadores de impulsos (para
su utilizacin con la PWM o instrucciones de Motion Control bsicas), las direcciones de las
salidas correspondientes (Q0.0, Q0.1, Q4.0 y Q4.1) se eliminarn de la memoria Q y no podrn
utilizarse para ningn otro fin en el programa de usuario. Si el programa de usuario escribe un
valor en una salida utilizada como generador de impulsos, la CPU no escribir ese valor en la
salida fsica.
Arranque: Permite configurar la reaccin de la CPU a una transicin de OFF a ON, p. ej. el
arranque en estado operativo STOP o la transicin a RUN tras un arranque en caliente.
Hora: Permite ajustar la hora, la zona horaria y el horario de verano/invierno.
Proteccin: Permite ajustar la proteccin de lectura/escritura y la contrasea para acceder a
la CPU
Marcas de sistema y de ciclo: Permite habilitar un byte para "marcas de sistema" (paralos
bits "Primer ciclo", "Siempre 1 (high)" y "Siempre 0 (low)") y un byte para "marcas de ciclo"
(cada bit se activa y desactiva con una frecuencia predefinida).
Tiempo de ciclo: Permite definir un tiempo de ciclo mximo o un tiempo
de ciclo mnimo fijo

UNIVERSIDAD TECNOLGICA DE CAMPECHE


DIRECCIN DE INGENIERA EN MECATRNICA
Carga de comunicacin: Permite asignar el porcentaje del tiempo de la CPU que debe
dedicarse a las tareas de comunicacin

Agregar mdulos a la configuracin


El catlogo de hardware se utiliza para agregar mdulos a la CPU. Hay tres tipos de mdulos,
a saber:
Los mdulos de seales (SM) proveen E/S digitales o analgicas adicionales. Estos mdulos
se conectan a la derecha de la CPU.
Las Signal Boards (SB) proveen unas pocas E/S adicionales a la CPU. La SB se inserta en
el frente de la CPU.
Los mdulos de comunicacin (CM) proveen un puerto de comunicacin adicional (RS232
o RS485) a la CPU. Estos mdulos se conectan a la izquierda de la CPU. Para insertar un
mdulo en la configuracin de hardware, seleccinelo en el catlogo de hardware y haga doble
clic en l, o bien arrstrelo hasta el slot resaltado.

Configurar los parmetros de los mdulos


Para configurar los parmetros operativos de un mdulo, seleccinelo en la vista de
dispositivos y utilice la ficha "Propiedades" de la ventana de inspeccin.

Configurar un mdulo de seales (SM) o una Signal Board (SB)

UNIVERSIDAD TECNOLGICA DE CAMPECHE


DIRECCIN DE INGENIERA EN MECATRNICA
E/S digitales: Las entradas pueden configurarse para detectar flancos ascendentes o
descendentes(asociando cada una de ellas a un evento y una alarma de proceso) y para la
"captura de impulsos" (para que permanezcan activadas tras un impulso momentneo) hasta
la siguiente actualizacin de la memoria imagen de proceso de las entradas. Las salidas
pueden congelarse o utilizar un valor sustitutivo.

E/S analgicas: Es posible configurar los parmetros de las distintas entradas, tales como
el tipo de medicin (tensin o intensidad), el rango y el alisamiento, as como habilitar el
diagnstico de rebase por defecto o por exceso. Las salidas ponen a disposicin parmetros
tales como el tipo de salida (tensin o intensidad) y para el diagnstico, p. ej. Cortocircuito
(para salidas de tensin) o diagnstico de lmite superior/inferior.

Direcciones E/S de diagnstico: Permite configurar la direccin inicial de las entradas y


salidas del mdulo.

Configurar un mdulo de comunicacin (CM)


Configuracin del puerto: Permite configurar los parmetros de comunicacin, p. ej. Velocidad
de transferencia, paridad, bits de datos, bits de parada, control de flujo, caracteres XON y
XOFF y tiempo de espera

Configuracin de la transferencia de mensajes: Permite habilitar y configurar las opciones


relativas a la transferencia.

Configuracin de la recepcin de mensajes: Permite habilitar y


configurar los parmetros de inicio y fin del mensaje

UNIVERSIDAD TECNOLGICA DE CAMPECHE


DIRECCIN DE INGENIERA EN MECATRNICA

El programa de usuario puede cambiar estos parmetros de configuracin.


Crear una conexin de red
Utilice la "Vista de red" de la "Configuracin de dispositivos" para crear las conexiones de red
entre los dispositivos del proyecto. Tras crear la conexin de red, utilice la ficha "Propiedades"
de la ventana de inspeccin para configurar los parmetros de la red.

Configurar RS485

Para el modo RS485, slo hay un estado operativo. Segn la seleccin


que se realice en Inicializacin de la lnea de recepcin, se podran dar
los casos que se indican a continuacin.

UNIVERSIDAD TECNOLGICA DE CAMPECHE


DIRECCIN DE INGENIERA EN MECATRNICA
Modo semidplex (RS485) de dos hilos. Inicializacin de la lnea de recepcin:
Seleccione Ninguno si suministra la polarizacin y la terminacin (caso 5).
Seleccione Polarizacin directa para utilizar la polarizacin y terminacin internas (caso 4).
Caso 4: RS485: Polarizacin directa

Modo de operacin: RS485

Inicializacin de la lnea de recepcin: Polarizacin directa (polarizada con R(B) > R(A)
> 0V)

Caso 5: RS485:

Sin polarizacin (polarizacin externa)


Modo de operacin: RS485
Inicializacin de la lnea de recepcin: Sin polarizacin (polarizacin externa necesaria).

UNIVERSIDAD TECNOLGICA DE CAMPECHE


DIRECCIN DE INGENIERA EN MECATRNICA
Transmisor y receptor

Alimentacin elctrica

UNIVERSIDAD TECNOLGICA DE CAMPECHE


DIRECCIN DE INGENIERA EN MECATRNICA

1. Conecte "TA" y TB" tal y como se indica para finalizar la red. (En la red RS485 slo
deben finalizarse los dispositivos terminadores.)
2. Utilice un cable de par trenzado apantallado y conctelo a tierra.

Slo se terminan los dos extremos de la red RS485. Los dispositivos que estn entre los dos
dispositivos terminadores no se terminan ni polarizan. Consulte la seccin "Polarizar y terminar
un conector de red RS485" del Manual de sistema S7-1200.

Asignacin de pines de conectores para CB 1241 RS485

UNIVERSIDAD TECNOLGICA DE CAMPECHE


DIRECCIN DE INGENIERA EN MECATRNICA

Configurar RS-232

Transmisor y receptor

Alimentacin elctrica

UNIVERSIDAD TECNOLGICA DE CAMPECHE


DIRECCIN DE INGENIERA EN MECATRNICA

Conector RS-232

Configurar los registros


Para crear la configuracin de dispositivos del PLC es preciso agregar una CPU y mdulos adicionales al
proyecto.

6. Mdulo de comunicacin (CM): mx. 3, insertados en los slots 101, 102 y 103.
7. CPU: Slot 1.
8. Puerto Ethernet de la CPU.
9. Signal Board (SB): mx. 1, insertada en la CPU.
10. Mdulo de seales (SM) para E/S digitales o analgicas: mx. 8, insertados en los slots
2 a 9 (La CPU 1214C permite 8, la CPU 1212C permite 2, la CPU 1211C no permite
ninguno).
Para crear la configuracin de dispositivos, agregue un dispositivo al proyecto.

En la vista del portal, seleccione "Dispositivos y redes" y haga clic en "Agregar


dispositivo".

En la vista del proyecto, bajo el nombre del proyecto, haga doble


clic en "Agregar nuevo dispositivo".

UNIVERSIDAD TECNOLGICA DE CAMPECHE


DIRECCIN DE INGENIERA EN MECATRNICA

Insertar una CPU


La configuracin de dispositivos se crea insertando una CPU en el proyecto. Al seleccionar la
CPU en el dilogo "Agregar nuevo dispositivo" se crean el rack y la CPU.
Dilogo "Agregar nuevo dispositivo"

Dilogo "Agregar nuevo dispositivo"

Al seleccionar la CPU en la vista de dispositivos se visualizan las propiedades de la


CPU en la ventana de inspeccin.

UNIVERSIDAD TECNOLGICA DE CAMPECHE


DIRECCIN DE INGENIERA EN MECATRNICA

Detectar la configuracin de una CPU sin especificar

Cargar una configuracin hardware existente es muy fcil Si existe una conexin con una
CPU, es posible cargar su configuracin en el mdulo (incluidos los mdulos). Tan slo hay
que crear un proyecto nuevo y seleccionar la "CPU sin especificar" en lugar de una especfica.
(Tambin es posible omitir la configuracin de dispositivo por completo seleccionando "Crear
un programa PLC" en "Primeros pasos". Entonces STEP 7 Basic crea automticamente una
CPU sin especificar.) En el editor de programacin, seleccione el comando "Deteccin de
hardware" del men "Online".

En el editor de configuracin de dispositivos, seleccione la opcin de deteccin del dispositivo


conectado.

UNIVERSIDAD TECNOLGICA DE CAMPECHE


DIRECCIN DE INGENIERA EN MECATRNICA
Tras seleccionar la CPU en el cuadro de dilogo online, STEP 7 Basic carga la
Configuracin hardware de la CPU, incluyendo todos los mdulos (SM, SB o CM). Entonces pueden
configurarse los parmetros de la CPU y de los mdulos.

Configurar el funcionamiento de la CPU


Para configurar los parmetros operativos de la CPU, seleccinela en la vista de dispositivos (recuadro azul
alrededor de la CPU) y utilice la ficha "Propiedades" de la ventana de inspeccin.

Edite las propiedades para configurar los siguientes parmetros:

Interfaz PROFINET: Permite configurar la direccin IP de la CPU y la sincrozacin


horaria.

DI, DO y AI: Permite configurar la reaccin de las E/S locales (integradas) digitales y
analgicas.

Contadores rpidos y generadores de impulsos: Permite habilitar y configurar los contadores


rpidos (HSC) y generadores de impulsos utilizados para las operaciones de tren de impulsos
(PTO) y modulacin del ancho de pulso (PWM)
Si las salidas de la CPU o Signal Board se configuran como generadores de impulsos
(para su utilizacin con la PWM o instrucciones de Motion Control
bsicas), las direcciones de las salidas correspondientes (Q0.0, Q0.1,
Q4.0 y Q4.1) se eliminarn de la memoria Q y no podrn utilizarse para

UNIVERSIDAD TECNOLGICA DE CAMPECHE


DIRECCIN DE INGENIERA EN MECATRNICA
ningn otro fin en el programa de usuario. Si el programa de usuario escribe un valor en
una salida utilizada como generador de impulsos, la CPU no escribir ese valor en la salida
fsica.

Arranque: Permite configurar la reaccin de la CPU a una transicin de OFF a ON, p. ej.
el arranque en estado operativo STOP o la transicin a RUN tras un arranque en caliente.
Hora: Permite ajustar la hora, la zona horaria y el horario de verano/invierno.
Proteccin: Permite ajustar la proteccin de lectura/escritura y la contrasea para
acceder a la CPU
Marcas de sistema y de ciclo: Permite habilitar un byte para "marcas de sistema" (paralos
bits "Primer ciclo", "Siempre 1 (high)" y "Siempre 0 (low)") y un byte para "marcas de ciclo"
(cada bit se activa y desactiva con una frecuencia predefinida).
Tiempo de ciclo: Permite definir un tiempo de ciclo mximo o un tiempo de ciclo mnimo
fijo
Carga de comunicacin: Permite asignar el porcentaje del tiempo de la CPU que debe
dedicarse a las tareas de comunicacin
Agregar mdulos a la configuracin
El catlogo de hardware se utiliza para agregar mdulos a la CPU. Hay tres tipos de
mdulos, a saber:
Los mdulos de seales (SM) proveen E/S digitales o analgicas adicionales. Estos
mdulos se conectan a la derecha de la CPU.
Las Signal Boards (SB) proveen unas pocas E/S adicionales a la CPU. La SB se inserta
en el frente de la CPU.
Los mdulos de comunicacin (CM) proveen un puerto de comunicacin adicional
(RS232 o RS485) a la CPU. Estos mdulos se conectan a la izquierda de la CPU. Para
insertar un mdulo en la configuracin de hardware, seleccinelo en el catlogo de
hardware y haga doble clic en l, o bien arrstrelo hasta el slot resaltado.

UNIVERSIDAD TECNOLGICA DE CAMPECHE


DIRECCIN DE INGENIERA EN MECATRNICA

Configurar los parmetros de los mdulos


Para configurar los parmetros operativos de un mdulo, seleccinelo en la vista de
dispositivos y utilice la ficha "Propiedades" de la ventana de inspeccin.

Configurar un mdulo de seales (SM) o una Signal Board (SB)


E/S digitales: Las entradas pueden configurarse para detectar flancos ascendentes o
descendentes (asociando cada una de ellas a un evento y una alarma de proceso) y para
la "captura de impulsos" (para que permanezcan activadas tras un impulso momentneo)
hasta la siguiente actualizacin de la memoria imagen de proceso de las entradas. Las
salidas pueden congelarse o utilizar un valor sustitutivo.

E/S analgicas: Es posible configurar los parmetros de las distintas entradas, tales
como el tipo de medicin (tensin o intensidad), el rango y el alisamiento, as como
habilitar el diagnstico de rebase por defecto o por exceso. Las salidas ponen a
disposicin parmetros tales como el tipo de salida (tensin o intensidad) y para el
diagnstico, p. ej. Cortocircuito (para salidas de tensin) o diagnstico de lmite
superior/inferior.

Direcciones E/S de diagnstico: Permite configurar la direccin inicial


de las entradas y salidas del mdulo.

UNIVERSIDAD TECNOLGICA DE CAMPECHE


DIRECCIN DE INGENIERA EN MECATRNICA

Configurar un mdulo de comunicacin (CM)


Configuracin del puerto: Permite configurar los parmetros de comunicacin, p. ej.
Velocidad de transferencia, paridad, bits de datos, bits de parada, control de flujo, caracteres
XON y XOFF y tiempo de espera

Configuracin de la transferencia de mensajes: Permite habilitar y configurar las opciones


relativas a la transferencia.

Configuracin de la recepcin de mensajes: Permite habilitar y configurar los parmetros


de inicio y fin del mensaje

El programa de usuario puede cambiar estos parmetros de configuracin.

Crear una conexin de red


Utilice la "Vista de red" de la "Configuracin de dispositivos" para crear las conexiones
de red entre los dispositivos del proyecto. Tras crear la conexin de red, utilice la ficha
"Propiedades" de la ventana de inspeccin para configurar los parmetros de la red.

UNIVERSIDAD TECNOLGICA DE CAMPECHE


DIRECCIN DE INGENIERA EN MECATRNICA

PLC MicroLogix 1400 Allen-Bradley

Los controladores MicroLogix 1400 pueden usarse en entornos industriales siempre y


cuando se instalen segn las instrucciones siguientes. Especficamente, este equipo
est diseado para ser empleado en entornos limpios y secos (grado de contaminacin

2).con circuitos que no excedan la categora de sobrevoltaje II (IEC 60664-1). Los


productos alimentados con CA deben conectarse al secundario de un transformador de
aislamiento. Instale el controlador segn estas instrucciones de instalacin.

UNIVERSIDAD TECNOLGICA DE CAMPECHE


DIRECCIN DE INGENIERA EN MECATRNICA
Descripcin del controlador

UNIVERSIDAD TECNOLGICA DE CAMPECHE


DIRECCIN DE INGENIERA EN MECATRNICA
Descripcin entrada y salidas de pines

IDENTIFICAR

LAS

CARACTERSTICAS

DE

UN

PLC

AVANZADO,

FUNCIONES, ENTRADAS, SALIDAS, PROGRAMACIN.

Las unidades funcionales y la administracin de entradas-salidas

LA UNIDAD DE ENTRADAS
Constituyen la etapa de entrada del PLC. Desde la parte externa del PLC
lucen como una bornera donde se deben colocar los cables con las

MDULOS,

UNIVERSIDAD TECNOLGICA DE CAMPECHE


DIRECCIN DE INGENIERA EN MECATRNICA
seales que provienen de los transductores, pero internamente estn conformadas por
circuitos electrnicos que acoplan esas seales a las especificaciones de seales que
el PLC puede manipular.
Segn la naturaleza de la seal que se recibe de los transductores, las entradas se
clasifican en:

a-) Entradas digitales: Estas entradas se disean para recibir seales cuantizadas de
los sensores de campo. Dichas seales varan slo entre dos estados. El PLC codifica
estas seales segn su amplitud en: 1 lgico para el valor de amplitud mayor, y 0 lgico
para el nivel de amplitud menor. Los niveles de amplitud que el PLC entender son
definidos por el fabricante. Este tipo de seales generalmente provienen de
transductores como: interruptores, botoneras, sensores de fin de carrera, etc.

b-) Entradas analgicas: son las que reciben seales analgicas de los transductores
de campo. Estas seales generalmente provienen de sensores que miden el valor
instantneo de una variable fsica. Ejemplos de este tipo de seales son: la salida de
una tacomtrica, de un fotosensor o de un sensor de nivel. El valor de la seal analgica
se transforma en una seal digital de tal forma que el procesador la pueda manipular.
Un aspecto importante de esta transformacin es la resolucin con que se realiza en el
interior del PLC. Por resolucin se entender la cantidad valores cuantizados
disponibles para representar una seal analgica. Por ejemplo, si se tiene slo dos
valores cuantizados para representar una seal que vara de 0 a 5 V, se dice que se
tiene una resolucin de dos. La resolucin depende de las caractersticas de la entrada.
La cantidad de valores cuantizados es igual a 2n, con n el nmero de bits del registro
donde se almacena la variable digital que resulta de la transformacin. Generalmente,
en los controladores ms sofisticados, se asocia un registro de 16 bits a cada una de
las entradas analgicas, con lo que se tiene una resolucin de 216

La unidad de entradas proporciona el aislamiento elctrico necesario del entorno y


adecua el voltaje de las seales elctricas que recibe el PLC que provienen de los
interruptores de los contactos. Las seales se ajustan a los niveles de voltaje que marca
la Unidad Lgica
A este mdulo se unen elctricamente los captadores (interruptores,
finales de carrera, pulsadores,...). La informacin recibida en l, es
enviada a la CPU para ser procesada de acuerdo la programacin
residente.

UNIVERSIDAD TECNOLGICA DE CAMPECHE


DIRECCIN DE INGENIERA EN MECATRNICA

Figura 4 capacitor. Activo - capacitor Pasivo

Se pueden diferenciar dos tipos de captadores que se pueden conectar al mdulo de


entradas: Los Pasivos y los Activos.
Los Captadores Pasivos son aquellos que cambian su estado lgico, activado - no
activado, por medio de una accin mecnica. Ejemplo de ellos son los Interruptores,
pulsadores, finales de carrera, etc.

Los Captadores Activos son dispositivos electrnicos que necesitan de la alimentacin


por una tensin para variar su estado lgico. Este es el caso de los diferentes tipos de
detectores (Inductivos, Capacitivos, Fotoelctricos).

Muchos de estos aparatos pueden ser alimentados por la propia fuente de


alimentacin del autmata. Todos los que conocen los circuitos de automatismos
industriales realizados con contactores, saben que se pueden utilizar, como
captadores, contactos elctricamente abiertos o elctricamente cerrados dependiendo
de su funcin en el circuito (hablaremos de este tema un poco ms adelante).
Como ejemplo podemos ver un simple arrancador paro / marcha. En l se distingue el
contacto usado como pulsador de marcha que es normalmente abierto y el usado
como pulsador de parada que es normalmente cerrado (Ver figura izquierda en la
siguiente pgina)
Sin embargo en circuitos automatizados por autmatas, los captadores son
generalmente abiertos. El mismo arrancador paro / marcha realizado con un autmata
(figura de la derecha en la pgina siguiente) contempla esta variedad.
En l se ve que ambos pulsadores y el rel trmico auxiliar son abiertos.

UNIVERSIDAD TECNOLGICA DE CAMPECHE


DIRECCIN DE INGENIERA EN MECATRNICA

La unidad de salidas
Salidas: Internamente son circuitos electrnicos que realizan el acople entre las
seales digitales utilizadas por el PLC y las seales analgicas o cuantizadas que
utilizan los actuadores. Externamente lucen como una bornera donde se realizan las
conexiones entre el PLC y los actuadores.

Las salidas se clasifican, al igual que en el caso de las entradas, en digitales y


analgicas. Las salidas digitales se aplican a actuadores como bobinas de
contactores, electrovlvulas, etc.

Existen salidas digitales: de voltaje y de rel. Las salidas de voltaje asignan una
magnitud de voltaje, que depende del fabricante, al estado 1 lgico y de 0 V al estado
0 lgico. Las salidas de rel consisten en un contacto seco que se cierra en el estado1
y se abre en el estado 0.

En el caso de salidas analgicas, los valores de salida estn generalmente entre 0 Vd.
a 10 Vdc para las salidas de voltaje y de 4 mA a 10 mA para las de corriente, aunque
estos valores varan segn el fabricante. Estas seales comandan actuadores como
vlvulas solenoides, servomotores, etc.
A las salidas se les asigna un espacio de memoria del PLC llamado imagen de salida,
el cual contiene la informacin de todas las salidas en todo momento.

La unidad lgica
El corazn de un PLC es la unidad lgica, la cual se basa en un
microprocesador. Esta unidad ejecuta las instrucciones programadas en

UNIVERSIDAD TECNOLGICA DE CAMPECHE


DIRECCIN DE INGENIERA EN MECATRNICA
la memoria, para desarrollar los esquemas de control lgico que se han diseado
previamente.
Algunos equipos antiguos contienen en la unidad lgica elementos discretos, como
por ejemplo: Compuertas NAND, NOR, FLIPFLOP, CONTADORES, etc. Este tipo de
controladores son de HARDWARE (fsicos), mientras que aquellos que utilizan
memorias se llaman de SOFTWARE (lgicos).

La unidad de memoria
Memoria del PLC: es el lugar fsico donde residen el sistema operativo, el programa,
los datos de ejecucin y las imgenes de entrada y salida. El sistema operativo es un
programa que utiliza el PLC para iniciar su operacin y realizar las configuraciones
propias de su funcionamiento.
La memoria del PLC se clasifica en diferentes clases dependiendo de su modo de
acceso y volatilidad.
a-) EEPROM: es una memoria de slo lectura que puede ser escrita por medios
electrnicos. No necesita de una fuente de poder para mantener sus datos. Por su
caracterstica no voltil, se utiliza para guardar datos esenciales, tal como el sistema
operativo y el programa.
b-) RAM: es una memoria reescribidle de acceso aleatorio que se utiliza para guardar
los datos generados mientras se ejecuta el programa. Es voltil, por lo que los datos
almacenados se pierden si se le suspende la alimentacin.

UNIVERSIDAD TECNOLGICA DE CAMPECHE


DIRECCIN DE INGENIERA EN MECATRNICA
Administracin de entradas y salidas de un PLC
Bases del montaje

El montaje de los diversos mdulos del PLC se realiza en slots o espacios preparados
ubicados en racks o armarios.
Los mdulos bsicos de un PLC son: Fuente de alimentacin CPU Interfaces de
entrada y salida Dependiendo del modelo y la marca, existen en el mercado racks de
diversos tamaos, que pueden contener por ejemplo 4, 6, 8, 12, 14 y 16 slots.
Segn la aplicacin y los equipos a montar, se debe escoger el tamao adecuado de
rack. En todo caso, siempre es posible instalar un mdulo de ampliacin, que permite
la conexin de un rack adicional.
Otros mdulos existentes son:

Mdulos de comunicaciones (TCP/IP, DH+, etc.)

Mdulos de control de redundancia Mdulos para conexin de racks remotos

Mdulos de interfaz hombre-mquina (teclado, monitores, etc.)

Mdulos de almacenamiento de informacin Mdulos controladores PID

Mdulos de comunicaciones
Los mdulos de comunicaciones permiten la conexin del PLC a otros sistemas de
informacin, tales como computadores y otros
PLC.
Existen por ejemplo redes tipo Data Highway (Conjunto de dispositivos electrnicos PCs, servidores, mdems, routers - y elementos de comunicacin - redes telefnicas,
fibras pticas, satlites - que permiten a empresas y particulares el acceso a grandes
cantidades de informacin) para establecer una red de PLC conectados a un
computador Host, utilizada comnmente en sistemas de control distribuido.
Mdulos de control de redundancia
Son utilizados para asegurar la operacin de un mdulo redund ante en
caso de fallos (el mdulo redundante es aquel que se emplea por

UNIVERSIDAD TECNOLGICA DE CAMPECHE


DIRECCIN DE INGENIERA EN MECATRNICA
duplicado para garantizar que el sistema no se cae aunque falle alguno de los
subsistemas que lo atienden).
Generalmente se utiliza redundancia para el mdulo de fuente de alimentacin y la
CPU

Mdulos para conexin de racks remotos


En muchas aplicaciones los sensores y los actuadores estn localizados a gran
distancia del PLC. En estos casos se utilizan los racks remotos, que se conectan por
medio de un cable al rack central del PLC. De este modo se consiguen distancias de
hasta 300 metros.

Para establecer esta comunicacin se utiliza un mdulo denominado canal controlador


de entradas y salidas (IOCC) en el rack local y otro llamado controlador de base (DBC)
en el rack remoto, al que se le puede conectar otro rack remoto, establecindose as
una arquitectura distribuida con distintos niveles de jerarqua

UNIVERSIDAD TECNOLGICA DE CAMPECHE


DIRECCIN DE INGENIERA EN MECATRNICA
Mdulos de interfaz hombre-mquina
Se utilizan para establecer la comunicacin entre el PLC y el usuario. En la mayora
de los casos se emplea con este fin, un computador PC conectado seriamente, desde
el cual se puede programar el PLC y ver los estados de los registros internos y los
puntos de entrada/salida. En otros casos se usa un Hand held monitor, que es un
dispositivo pequeo con teclas funcionales y pantalla de caracteres

Mdulos de almacenamiento de informacin


Por lo general se utilizan medios de almacenamiento magnticos tales como cintas y
discos, en los que se puede guardar informacin de los valores de los puntos de
entrada y salida y registros internos.
Mdulos controladores PID
Se utilizan en el control de procesos, en el que se pretende conseguir que una variable
de salida de un proceso sea igual a una variable de referencia
Puntos de entrada y salida
Los puntos de entrada y salida del PLC son las entradas y salidas fsicas que ste
puede manejar. Cada punto tiene su representacin interna en la memoria del PLC,
en la que se utilizan nmeros para identificarlos.
Por lo general los mdulos de entrada y salida vienen configurados en grupos de 8
puntos y pueden llegar hasta 1024, ampliables a ms.
Los puntos de entrada son designados como X0, X1, X2, X3..., mientras que los
puntos de salida se identifican como Y0, Y1, Y2, Y3... En el grfico que vers a
continuacin se muestra una configuracin bsica de un PLC de 16 entradas y 16
salidas:

UNIVERSIDAD TECNOLGICA DE CAMPECHE


DIRECCIN DE INGENIERA EN MECATRNICA
Comprender la configuracin de las funciones PID de un PLC avanzado

Este bloque de instruccin aplica el algoritmo PID sobre variables internas tipo entero
de 16 bits. Se utiliza generalmente en lazos cerrados de control de procesos de
variables fsicas como temperaturas, presiones, niveles o flujos.
Las variables del sistema de control en lazo cerrado que son monitoreadas por el
controlador son: la variable controlada (VC) y el valor deseado (VD). As mismo, la
salida de controlador (SC) manipular el actuador del sistema de control.
La figura 3.2 muestra el control del nivel de un tanque mediante un lazo cerrado en
modo servomecanismo, donde el controlador es el PLC.

Es importante notar que las variables fsicas son medidas y transformadas a seales
elctricas por los transductores, que sirven como parte del enlace entre el sistema
hidrulico y el controlador digital. Los dos transductores que se muestran son: la
vlvula de control y el sensor de nivel. Todas las seales digitales estn definidas
dentro del controlador, los mdulos de entradas y salidas analgicas realizan las
conversiones de analgico al digital. El valor de consigna o valor deseado es una seal
digital, la cual es manipulada por el usuario mediante una interface hombre-mquina.
Esta instruccin necesita de un bloque de 23 palabras de un archivo tipo entero para
operar debidamente. Ese bloque contiene los valores de resultados parciales que la
instruccin obtiene mientras realiza la operacin PID. Adems contiene
palabras con parmetros del bloque y bits de control.
La salida de la instruccin es escrita como un elemento tipo nmero
entero. Este elemento puede estar en el mismo archivo donde est el bloque de

UNIVERSIDAD TECNOLGICA DE CAMPECHE


DIRECCIN DE INGENIERA EN MECATRNICA
parmetros, pero no puede ser parte de l. Este elemento es la seal de salida del
controlador SC, por ello al final del programa debe ser movido a la imagen de salidas
del PLC.
El valor consigna VD es una palabra de 16 bits creada en una direccin en el mismo
bloque de control.
La variable controlada VC reside en un elemento tipo entrada correspondiente al
mdulo de entradas analgicas y debe ser movida a un elemento de 16 bits tipo entero
en el mismo archivo donde est el bloque de control de la instruccin PID.
Un valor adicional de nivel dc puede ser sumado a la seal de salida del algoritmo de
control. La ecuacin PID que es implementada por el PLC es la siguiente.

Con E=VD-VC.
Aqu se nota que el modo derivativo es aplicado slo a la variable controlada. Los
trminos KC, KC/TI y KC*TD son las ganancias de los modos proporcional, integral y
derivativo, respectivamente.
Los parmetros del bloque de funcin son: ganancia del controlador KC, trmino de
restablecimiento TI, trmino de razn de cambio TD. La relacin de stos con los
trminos ganancia proporcional Kc, tiempo integral Ti y tiempo derivativo Td en un
algoritmo PID ideal es:

KC (sin unidades) = Kc (sin unidades)


TI (minutos) = Ti / 60 (Ti en segundos)
TD (minutos) = Td / 60 (Td en segundos)

Funcionamiento de salidas analgicas y PWM de un PLC avanzado.

En la siguiente representacin se muestran las relaciones que se producen entre los


componentes de hardware y software cuando se utiliza el objeto tecnolgico "Eje":

UNIVERSIDAD TECNOLGICA DE CAMPECHE


DIRECCIN DE INGENIERA EN MECATRNICA

Hardware de la CPU

El hardware de la CPU permite controlar y supervisar el accionamiento fsico.

Accionamiento

El accionamiento representa la unidad formada por la etapa de potencia y el


motor. Se pueden utilizar motores pasos a paso o servomotores con interfaz
de impulsos.

Objeto tecnolgico "Eje"

El accionamiento fsico, mecanismo incluido, se representa en el portal TIA


como TO "Eje". Configure el objeto tecnolgico "Eje" con los siguientes
parmetros:

Seleccin del PTO que va a utilizarse (Pulse Train Output) y configuracin de


la interfaz del accionamiento

Parmetros mecnicos y de multiplicacin del engranaje del accionamiento (o


de la mquina o instalacin)

Parmetros para la monitorizacin de posicin, para parmetros de dinmica


y para referenciacin

La configuracin del objeto tecnolgico "Eje" se guarda en el objeto tecnolgico


(bloque de datos). Este bloque de datos conforma simultneamente la interfaz
entre el programa de usuario y el firmware de la CPU. Durante el tiempo de
ejecucin del programa de usuario los datos actuales del eje se guardan en el
bloque de datos del objeto tecnolgico.
Programa de usuario
El programa de usuario le permite iniciar peticiones en el firmware de la
CPU mediante instrucciones de Motiln Control. Se pueden realizar las
siguientes peticiones para controlar el eje:

UNIVERSIDAD TECNOLGICA DE CAMPECHE


DIRECCIN DE INGENIERA EN MECATRNICA

Posicionar eje de forma absoluta

Posicionar eje de forma relativa

Mover eje con reajuste de velocidad

Ejecutar peticiones de eje como secuencia de movimientos (a partir de la


tecnologa V2.0).

Mover eje en modo de pulsacin o 'jog'

Parar eje

Referenciar eje; fijar punto de referencia

Acusar errores

Normalizacin y escalado de un valor de entrada analgica


Una entrada analgica de un mdulo de seales analgicas o Signal Board que usa
entrada de intensidad se encuentra en el rango de valores vlidos entre 0 y 27648.
Suponiendo que una entrada analgica representa una temperatura en la que el valor
0 de la entrada analgica representa -30,0 grados C y 27648 representa 70,0 grados
C. Para transformar el valor analgico en las correspondientes unidades de ingeniera,
normalice la entrada a un valor entre 0,0 y 1,0 y a continuacin esclelo entre -30,0 y
70,0. El valor resultante es la temperatura representada por la entrada analgica en
grados Celsius:

Normalizacin y escalado de un valor de salida analgico


Una salida analgica de un mdulo de seales analgicas o Signal Board que utiliza
una salida de intensidad debe estar en el rango de valores vlidos entre 0 y 27648.
Supongamos que una salida analgica representa un ajuste de temperatura en el que
el valor 0 de la entrada analgica representa -30,0 grados Celsius y 27648 representa
70,0 grados Celsius. Para convertir un valor de temperatura guardado
que se encuentra entre -30,0 y 70,0 en un valor para la salida analgica
dentro del rango entre 0 y 27648, es necesario normalizar el valor

UNIVERSIDAD TECNOLGICA DE CAMPECHE


DIRECCIN DE INGENIERA EN MECATRNICA
correspondiente a unidades de ingeniera en un valor entre 0,0 y 1,0, y a continuacin
escalarlo al rango de la salida analgica, de 0 a 27648:

Recuerde que si la salida analgica estaba destinada a un mdulo de seales


analgicas o una Signal Board con tensin, el valor MIN para la instruccin SCALE_X
sera -27648 en lugar de 0.

Salida de impulsos y sentido (PWM)

La CPU dispone de una salida de impulsos o una salida de sentido para controlar un
montor paso a paso o un servomotor con interfaz de impulsos. El accionamiento recibe
a travs de la salida de impulsos los impulsos necesarios para mover el motor. La
salida de sentido controla el sentido de desplazamiento del accionamiento.

La salida de impulsos y la salida de sentido se encuentran firmemente asignadas entre


s. Como salidas de impulsos y sentido se pueden utilizar salidas integradas de la CPU
o bien salidas de una Signal Board. Puede elegir entre la salidas integradas de la CPU
y las salidas de la Signal Board en la configuracin de dispositivos, bajo Generadores
de impulsos (PTO/PWM) en la rbrica "Propiedades".

La asignacin posible de direcciones de las salidas de impulsos y sentido se recoge en la


siguiente tabla:

CPU S71200

Sin Signal
Board

Signal Board

Signal Board

UNIVERSIDAD TECNOLGICA DE CAMPECHE


DIRECCIN DE INGENIERA EN MECATRNICA
DI2/DO2 *)

CPU 1211C,

DO4 **)

Salidas PTO1

Salidas PTO2

Salidas PTO1

Salidas PTO2

Salidas PTO1

Salidas PTO2

Imp
.

Sentid
o

Imp
.

Sentid
o

Imp
.

Sentid
o

Imp
.

Sentid
o

Imp
.

Sentid
o

Imp
.

Sentid
o

Ax.1

Ax.
2

Ax.3

Ax.
0

Ax.1

Ax.
2

Ax.3

Ax.
0

Ax.1

Ax.
2

Ax.3

Ay.1

Ay.
2

Ay.3

Ay.1

Ay.
2

Ay.3

Ay.1

Ay.
2

Ay.3

Ax.
0

CPU 1212C,

Ay.
0

Ay.1

Ay.
0

Ay.1

Ay.
0

Ay.
0

CPU 1214C
(DC/DC/DC)
CPU 1211C,

Ay.
0

Ay.
0

CPU 1212C,
CPU 1214C
(AC/DC/RLY
)
CPU 1211C,

Ay.1

CPU 1212C,
CPU 1214C
(DC/DC/RLY
)

x = direccin inicial de byte de las salidas On-board de la CPU (valor estndar = 0) y =


direccin inicial de byte de las salidas Signal Board (valor estndar = 4)

Si se utiliza una variante de CPU DC/DC/DC con una Signal Board DI2/DO2, las seales del
PTO1 pueden ser emitidas a travs de las salidas integradas de la CPU o a travs de las
salidas de la Signal Board.

Si se utiliza una variante de CPU DC/DC/DC con una Signal Board DO4, tanto las seales
del PTO1 como del PTO2 pueden ser emitidas a travs de las salidas
integradas de la CPU o a travs de las salidas de la Signal Board.

UNIVERSIDAD TECNOLGICA DE CAMPECHE


DIRECCIN DE INGENIERA EN MECATRNICA
Seales del accionamiento
Para Motiln Control puede parametrizar opcionalmente una interfaz de accionamiento para
"Accionamiento habilitado" y "Accionamiento listo". Si se utiliza la interfaz de accionamiento
es posible seleccionar libremente la salida digital para el accionamiento habilitado y la
entrada digital para "Accionamiento listo".

Si se ha activado el PTO (Pulse Train Output) y se ha asignado a un eje, el firmware asume


el control sobre la salida de impulsos y sentido correspondiente.
Al asumir el control se interrumpe tambin la conexin entre la memoria imagen de proceso
y la salida de periferia. Si bien el usuario tiene la posibilidad de escribir en la memoria imagen
de proceso de la salida de impulsos y sentido con el programa de usuario o la tabla de
observacin, sta no se transmite a la salida de periferia. Por consiguiente, tampoco es
posible observar la salida de periferia a travs del programa de usuario o la tabla de
observacin. Las informaciones ledas reflejan el valor de la memoria imagen de proceso,
las cuales no coinciden con el estado verdadero de la salida de periferia.
En las dems salidas de la CPU no asignadas fijamente por el firmware de la CPU, el estado
de la salida de periferia puede ser controlado u monitorizado de la forma habitual a travs
de la memoria imagen de proceso.

Principio de la interfaz de impulsos


En funcin de los ajustes del motor paso a paso, cada impulso hace que el motor paso a
paso se desplace en un ngulo definido. Si el motor paso a paso est ajustado, p. ej., a 1000
impulsos por revolucin, el motor paso a paso rotar 0,36 por impulso.
La velocidad del motor paso a paso viene determinada por el nmero de impulsos por unidad
de tiempo.
OHQWR

UNIVERSIDAD TECNOLGICA DE CAMPECHE


DIRECCIN DE INGENIERA EN MECATRNICA
USLGR

Lo aqu enunciado tambin rige para los servomotores con interfaz de impulsos

Relacin entre el sentido de desplazamiento y el nivel de tensin en la salida


de sentido
La salida de sentido de la CPU predetermina el sentido de desplazamiento del
accionamiento. El sentido de direccin se configura en la configuracin del eje, en
el rea "Mecnica". La siguiente representacin muestra las relaciones entre la
configuracin, la salida de sentido y el sentido de desplazamiento:

Si la opcin "Invertir sentido de direccin" est desactivada en la configuracin, en


caso de sentido de desplazamiento positivo se emitir un nivel de 5V / 24 V en la
salida de sentido (la tensin indicada depende del hardware empleado). Si "Invertir

UNIVERSIDAD TECNOLGICA DE CAMPECHE


DIRECCIN DE INGENIERA EN MECATRNICA
sentido" ha sido activado en la configuracin, en caso de sentido de
desplazamiento positivo se emite un nivel de 0 V en la salida de sentido.
Salida de impulsos La operacin Salida de impulsos (PLS) se utiliza para controlar
las funciones Tren de impulsos (PTO) y Modulacin de ancho de impulsos (PWM)
disponibles en las salidas rpidas (Q0.0 y Q0.1). El asistente de control de
posicin mejorado crea operaciones personalizadas para la aplicacin que
simplifican las tareas de programacin, permitiendo aprovechar las nuevas
funciones de las CPUs S7--200. En el captulo 9 encontrar ms informacin
acerca del asistente de control de posicin.

La operacin PLS antigua se puede seguir utilizando para crear aplicaciones de


movimiento. No obstante, slo las operaciones creadas con el asistente de control
de posicin mejorado soportan la rampa lineal del PTO. PTO ofrece una onda
cuadrada (con un ciclo de trabajo de 50%), pudiendo el usuario controlar el tiempo
de ciclo y el nmero de impulsos. PWM provee una salida continua con un ciclo
de trabajo variable, pudiendo el usuario controlar el tiempo de ciclo y el ancho de
impulsos. El S7--200 dispone de dos generadores PTO/PWM que crean trenes de
impulsos rpidos, o bien formas de onda moduladas por ancho de impulsos. Uno
de estos generadores est asignado a la salida digital Q0.0 y, el otro, a la salida
digital Q0.1. Una marca especial (SM) almacena los siguientes datos para cada
uno de los generadores: un byte de control (8 bits), un valor de contaje de impulsos

UNIVERSIDAD TECNOLGICA DE CAMPECHE


DIRECCIN DE INGENIERA EN MECATRNICA
(valor de 32 bits sin signo), as como un valor de tiempo de ciclo y un valor de
ancho de impulsos (valores de 16 bits sin signo).
Los generadores PTO/PWM y la imagen de proceso comparten el uso de las
salidas Q0.0 y Q0.1. Cuando est activa una funcin PTO o PWM en Q0.0 o Q0.1,
el generador PTO/PWM controla la salida e inhibe el uso normal de la misma. La
forma de onda de la salida no se ve afectada por el estado de la imagen de
proceso, ni por el valor forzado de la salida o la ejecucin de las operaciones
directas de salida. Si el generador PTO/PWM est desactivado, el control de la
salida retornar a la imagen de proceso. sta determina los estados inicial y final
de la forma de onda de la salida, para que la forma de onda comience y termine
en un nivel alto o bajo.

Tren de impulsos (PTO)


PTO ofrece una salida en cuadratura (con un ciclo de trabajo de 50%) para un
nmero determinado de impulsos y un tiempo de ciclo determinado (v. fig. 6-28).
La funcin Tren de impulsos (PTO) puede producir uno o varios trenes de impulsos
(utilizando un perfil de impulsos). El nmero de impulsos y el tiempo de ciclo
pueden indicarse en incrementos en microsegundos o milisegundos.

La operacin PTO permite efectuar unpipelining, es decir, concatenar o canalizar


los trenes de impulsos. Tras haber finalizado el tren de impulsos activo,
comenzar inmediatamente la salida de un nuevo tren de impulsos. As se
garantiza la continuidad de los trenes de impulsos subsiguientes.

Utilizar el asistente de control de posicin


El asistente de control de posicin procesa automticamente el pipelining
monosegmento y multisegmento de los impulsos PTO, la modulacin de ancho de

UNIVERSIDAD TECNOLGICA DE CAMPECHE


DIRECCIN DE INGENIERA EN MECATRNICA
impulsos y la configuracin de las marcas especiales. Adems, crea una tabla de
perfiles. La informacin contenida aqu se suministra a ttulo de referencia. Es
recomendable que utilice el asistente de control de posicin. Para ms informacin
acerca de este asistente, consulte el captulo 9.

Pipelining monosegmento de impulsos PTO


En este modo, el usuario debe actualizar las direcciones de las marcas especiales
para el siguiente tren de impulsos. Tras haber arrancado el segmento PTO inicial,
el usuario debe modificar inmediatamente las direcciones de las marcas
especiales conforme a lo requerido para la segunda forma de onda, y ejecutar
nuevamente la operacin PLS.
Los atributos del segundo tren de impulsos se conservan en unapipeline hasta
finalizar el primer tren de impulsos. En lapipeline slo se puede almacenar un
registro. Tras haber finalizado el primer tren de impulsos, comenzar la salida de
la segunda forma de onda y lapipeline quedar libre para acoger un nuevo tren
de impulsos. Este proceso se puede repetir entonces para ajustar las
caractersticas del siguiente tren de impulsos. Entre los trenes de impulsos se
producen transiciones suaves, a menos que cambie la base de tiempo o si el tren
de impulsos activo finaliza antes de que un nuevo tren de impulsos sea capturado
al ejecutarse la operacin PLS.

Pipelining multisegmento de impulsos PTO


En este modo, el S7--200 lee automticamente las caractersticas de todos los
trenes de impulsos en una tabla de perfiles almacenada en la memoria de
variables (memoria V). Las marcas especiales utilizadas en este modo son el byte
de control, el byte de estado y el offset inicial de la tabla de perfiles en la memoria
V (SMW168 o SMW178).
La base de tiempo se puede indicar en microsegundos o milisegundos, pero la
seleccin es aplicable a todos los valores de tiempo de ciclo en la tabla de perfiles,
no pudiendo modificarse durante la ejecucin del perfil. Al ejecutarse la operacin
PLS se inicia el modo multisegmento.

UNIVERSIDAD TECNOLGICA DE CAMPECHE


DIRECCIN DE INGENIERA EN MECATRNICA

Sensores Inductivos

Paso No. 1: Primero debemos saber que tipo de Entradas tenemos en nuestro
PLC. Los PLC vienen con dos tipos de entradas, Entradas PNP Entradas NPN.
Si conectamos un sensor a una entrada de otro tipo este no va a funcionar.
23
Paso No. 2: Analizar el cdigo de colores que trae nuestro Sensor. Por lo general
estos bienen con 3 Hilos, El de color Cafe es ( + ), el Azul ( - ) y el color Negro Es
el

cable

de

seal,

que

es

el

que

va

en

la

entrada

del

PLC.

Paso No. 3: Hacer las Conexiones, El cable Cafe al Positvo del PLC, el cable Azul
al Negativo del PLC y el cable Negro a una de las Entradas del PLC.
Ejemplo de Conexin de Sensor PNP en PLC con entradas PNP:

Todos los registros de segmentos tienen una longitud de 8 bytes, comprendiendo


un valor de tiempo de ciclo de 16 bits, un valor delta de tiempo de ciclo de 16 bits
y un valor de contaje de impulsos de 32 bits. La tabla 6-34 muestra el formato de
la tabla de perfiles. El tiempo de ciclo se puede incrementar o decremento
automticamente programando una cantidad determinada para cada impulso.
Este tiempo se prolonga o se acorta programando en el campo delta un valor
positivo o negativo, respectivamente, y permanece inalterado si se programa el
valor0. Mientras se est ejecutando el perfil PTO, el nmero del segmento activo
actualmente se indica en SMB166 (o SMB176).

UNIVERSIDAD TECNOLGICA DE CAMPECHE


DIRECCIN DE INGENIERA EN MECATRNICA
Control PWM

Configurar un canal de impulsos para PWM

Para preparar la modulacin de ancho de impulsos (PWM), configure primero un


canal de impulsos en la configuracin de dispositivos, seleccionando la CPU,
luego el generador de impulsos (PTO/PWM) y elija PWM1 o PWM2. Habilite el
generador de impulsos (casilla de verificacin). Si est habilitado un generador de
impulsos, se le asigna un nombre predeterminado y unvoco. Este nombre puede
cambiarse editando el campo de edicin "Nombre:", pero debe ser un nombre
unvoco. Los nombres de los generadores de impulsos habilitados se convierten
en variables en la tabla de variables "Constantes" y estn disponibles para ser
utilizados como parmetro PWM de la instruccin CTRL_PWM.

Es posible cambiar el nombre del generador de impulsos, agregar un


comentario y asignar parmetros como se indica a continuacin:

Generador de impulsos utilizado: PWM o PTO (seleccione PWM)

Fuente de salida: CPU o SB integrada

Base de tiempo: milisegundos o microsegundos

Formato de la duracin de impulso:

Centsimas (0 a 100)

Milsimos (0 a 1000)

Diezmilsimos (0 a 10000)

Formato analgico S7 (0 a 27648)

UNIVERSIDAD TECNOLGICA DE CAMPECHE


DIRECCIN DE INGENIERA EN MECATRNICA

Tiempo de ciclo: Introduzca el valor del tiempo de ciclo. Este valor slo se
puede modificar en la "Configuracin de dispositivos".

Duracin de impulso inicial: Introduzca la duracin de impulso inicial. El


valor de la duracin de impulso puede modificarse en runtime.

Nota:
29 Para el procesamiento de los valores analgicos son muy importantes los tipos
de datos "INT" y "REAL", ya que los valores analgicos ledos tienen formato de
nmeros enteros "INT" y para que el procesamiento posterior sea exacto,
teniendo en cuenta los errores de redondeo de "INT", solo se consideran los
nmeros en coma flotante "REAL".Lectura/emisin de valores analgicos Los
valores analgicos se leen o se emiten como palabras en el PLC. El acceso a esas
palabras se realiza, por ejemplo, mediante los operandos: %EW 64 Palabra de
entrada analgica 64 %AW 80 Palabra de salida analgica 80 Cualquier valor
analgico ("Canal") tiene asignada una palabra de entrada o de salida. El formato
es "int", un nmero entero. El direccionamiento de las palabras de entrada o
salida se rige por el direccionamiento en la vista general de dispositivos. Por
ejemplo: La direccin de la primera entrada analgica sera %EW 64, la de la
segunda entrada analgica, %EW 66, la de la salida analgica, %AW 80. La
transformacin del valor analgico para su posterior procesamiento en el PLC es
el mismo en las entradas y en las salidas analgicas.Los rangos de valores
digitalizados tienen el siguiente aspecto:
30
Normalizar valores analgicos Si se dispone de un valor de entrada analgico
en forma digitalizada, este debe adems normalizarse por regla general para que
los valores numricos coincidan con las magnitudes fsicas en el proceso. Del
mismo modo, habitualmente la salida analgica en la palabra de salida de periferia
tiene lugar despus de haber normalizado el valor de salida. En los programas
STEP 7, para la normalizacin se recurre a las operaciones aritmticas. Para que
estas se realicen con la mayor exactitud posible, para la normalizacin deben
transformarse los valores al tipo de datos REAL, para minimizar los errores de

UNIVERSIDAD TECNOLGICA DE CAMPECHE


DIRECCIN DE INGENIERA EN MECATRNICA
redondeo. En los siguientes captulos se muestra un ejemplo basado en la
vigilancia del nivel de llenado de un depsito.

Esquema para un sensor de temperatura Para hacer el esquema de control del


PLC podra ser de la siguiente forma O dicho control de entrada analgica va
conjuntamente con los 24 DC de salida del PLC ? que quizas seria tipo asi: Al
conectar una fuente externa y el 2M, quedara de la siguiente forma

Sensores capacitivos Este tipo de sensor tiene la misin de detectar aquellos


materiales cuya constante dielctrica sea mayor que la unidad. El sensor
capacitivo basa su operacin en el campo elctrico que puede ser almacenado en
un capacitor, el cual dependiendo del material dielctrico la carga almacenada
ser muy grande o pequea, teniendo como 31 base la constante dielctrica del
aire que es igual que 1, cualquier otro material que puede ser plstico, vidrio, agua,
cartn, etc, tienen una constante dielctrica mayor que 1. Pues bien para detectar
un material que no sea el aire, el sensor capacitivo tiene que ser ajustado para
que sepa que material debe detectar. Un ejemplo para emplear este tipo de sensor
es en una lnea de produccin en donde deben llenarse envases transparentes ya
sean de vidrio o plstico, con algn lquido que inclusive puede ser transparente
tambin.

Ilustracin 1 sensor capacitivo Con toda la variedad de sensores tanto discretos


como analgicos que han sido revisados en esta oportunidad, se han cubierto una
buena cantidad de variables fsicas que se pueden medir y cuantificar, de hecho
se encuentran las ms comunes, pero aun as falta tomar en cuenta mas variables
fsicas como pueden ser las qumicas (pH, CO2, etc.) tambin los niveles de
humedad ya sea relativa del medio ambiente, de la tierra o dentro de algn
proceso, y as podemos continuar enumerando variables fsicas, pero para cada
una de estas existe un sensor que adecuadamente reportara los niveles de su
magnitud. Por otra parte, todos los sensores que se encuentran inmersos dentro
de los procesos industriales de una empresa se encuentran normalizados, esto

UNIVERSIDAD TECNOLGICA DE CAMPECHE


DIRECCIN DE INGENIERA EN MECATRNICA
es, que no importa la marca ni el fabricante de estos sensores, ya que todos deben
cumplir con las distintas normas que rigen a los sistemas automticos, y como
ejemplo de estas normas se tienen las siguientes: *ANSI (Normas Americanas).
*DIN (Normas Europeas). *ISO (Normas Internacionales). *IEEE (Normas
elctricas y electrnicas). *NOM (Normas Mexicanas).

32 Todas las normas establecen medidas de seguridad, niveles de voltaje,


dimensiones fsicas de los sensores, etc. Por ltimo queremos recordar que los
sensores son elementos importantes en el proceso de automatizacin, razn por
la cual se deben seleccionar adecuadamente y posteriormente cuando se este
diseando el programa para el PLC que normalmente el que manejamos es el
llamado lenguaje en escalera, representemos la actividad de los sensores
mediante los smbolos que ya hemos revisado en entregas anteriores, que a
manera de recordatorio las enlistamos a continuacin. *.- Accionamiento de
entrada momentneo (para los sensores). Recapitulando, se puede mencionar
que los sensores representan a los ojos del sistema de control automtico,
mientras que la otra parte importante y es la que manipula al proceso dependiendo
de los datos alimentados al sistema de control, se le conoce con el nombre de
actuadores. Para conectar un sensor se debe tener en cuenta lo siguiente:

Paso No. 1: Primero debemos saber que tipo de Entradas tenemos en nuestro
PLC. Los PLC vienen con dos tipos de entradas, Entradas PNP Entradas NPN.
Si conectamos un sensor a una entrada de otro tipo este no va a funcionar.
Paso No. 2: Analizar el cdigo de colores que trae nuestro Sensor. Por lo general
estos bienen con 3 Hilos, El de color Cafe es ( + ), el Azul ( - ) y el color Negro Es
el

cable

de

seal,

que

es

el

que

va

en

la

entrada

del

PLC.

Paso No. 3: Hacer las Conexiones, El cable Cafe al Positvo del PLC, el cable Azul
al Negativo del PLC y el cable Negro a una de las Entradas del PLC.
Ejemplo de Conexin de Sensor capacitivo en PLC con entradas PNP:
33

UNIVERSIDAD TECNOLGICA DE CAMPECHE


DIRECCIN DE INGENIERA EN MECATRNICA

Ejemplo de Conexin de Sensor capacitivo en PLC con entradas NPN: Como


podemos observar en las grficas anteriores, mientras que el tipo de salida del
sensor sea compatible con el tipo de entrada del PLC se vera que se conectan
igual. Ojo, si el Sensor es PNP el PLC debe ser del mismo tipo PNP. Ahora bien,
el problema se presenta cuando tenemos que alambrar un Botn pulsador,
Selector, Limit switch, cualquier otro tipo de entrada que sea una simple
conexin mecnica. Antes de hacer la conexin debemos saber el tipo de entradas
del PLC, NPN PNP, asi sabremos si una de las terminales del Botn va a la
terminal Positiva Negativa y la Otra a la Entrada del PLC.

You might also like