You are on page 1of 4

Systems Test

BUILT-IN SELF-TEST

A new technology
for System-on-Chip
The use of Automatic Test Pattern semiconductor manufacturing tech-
Traditional test Generation (ATPG) programs to nology. The increased size and
technologies, such as generate manufacturing tests for complexity of System-on-Chip (SoC)
VLSI designs became popular in the designs have, however, reduced the
ATPG, cannot cope with early 1990s. Soon, it was also effectiveness of ATPG. SoC designers
recognized that test circuitry must be may not have the entire gate level
the manufacturing added to a design to simplify ATPG. model available for performing
This technique is called Design For ATPG. Also the CPU time and
challenges posed by Test (DFT). memory requirements of ATPG grow
System-on-Chip (SoC). non-linearly with the number of gates
ATPG limitations on a chip.
Use Built-In Self-Test It is now possible to efficiently Thus full-chip ATPG techniques do
fabricate ICs with several million not scale to handle SoC designs. Scan
(BIST) to get adequate gates due to the rapid advances in ATPG patterns for SoC also exceed
fault coverage in SoCs.

Tap

Controller
O
I u
n t
p S R
p
u t e
u
P t i s P
t
a m p a
RAM d
d l u o
By Bejoy G. Oomman s l n l s
o o
President g u s
g
Genesys Testware Inc. i s e
i
c c

Figure 11: BIST is the only test technology that performs at-speed testing since the circuitry uses the
same technology as the CUT.

2 Electronics Engineer April 2000


Systems Test
Whats Online
BUILT-IN SELF-TEST
Testing mixed-signal ICs
using digital BIST
As the number of complex, mixed-signal
circuits increase, designers are looking
for a test solution that will reduce
ORE
costs and development time. An all-
digital BIST approach to mixed-signal
Result test will offer you the industrial
BIC strength solution.
DPG www.ee.globalsources.com/
ART_8800009576.HTM

Design for test


Command APG FBS RAM
considerations for ATPG
This article describes techniques for
designing ICs to facilitate ATPG, and
Address explains the importance of compact
Data test vector generation in the overall
Control design flow.
www.ee.globalsources.com/
ART_8800018027.HTM
Figure 2
2: The FBS circuit is used to select between the BIST stimulus and the functional inputs to
the memory.

the test-time and tester-memory generation by using BIST on reusable www.ee.globalsources.com


capabilities of the current generation designs (cores) or on large blocks
of Automatic Test Equipment (ATE). within the SoC. Cores with embedded ries due to the absence of any package
This reduces the effective fault test circuitry free SoC developers limitations. The standard memory
coverage affecting product quality or from the responsibility of generating algorithms can be extended to large
increases test application time in- manufacturing tests. This enables a memories by using multiple data
creasing unit cost. In addition, deep true plug-and-play SoC design. backgrounds.
submicron ICs also exhibit dynamic Once BIST circuits are embedded The block diagram of a typical
failure mechanisms that can only be into most of the ICs in a system, it can BIST circuit for embedded SRAM is
detected by at-speed testing. ATE also be used for simplifying manufac- shown in figure 2. The major blocks
cannot keep up with the high clock turing test and system integration at are a Function to BIST Selector
rates of the latest ICs since they are the Multi-Chip Module (MCM), (FBS), BIST Interface Controller
built using older semiconductor board- or system-level. With the (BIC), Address Pattern Generator
technology. widespread adoption of BIST tech- (APG), Data Pattern Generator
Because of the limitations of niques in SoC, manufacturing manag- (DPG), and Output Response Evalua-
conventional ATPG techniques, a ers can reduce capital expenditures by tor (ORE).
relatively new DFT method called using simpler ATE since few external Embedded DRAMs are now widely
Built-In Self-Test (BIST) was devel- test patterns are needed. used in portable graphics and net-
oped. Instead of storing test patterns working chips. In addition to the
in an ATE system, a stimulus circuit Testing embedded memory static faults exhibited by SRAMs,
and a response circuit are added to the Various types of embedded memories DRAMs exhibit various dynamic
circuit under test (CUT) as shown in (SRAM, FIFO, DRAM, ROM, failure mechanisms, such as retention
figure 1. The stimulus circuit gener- FLASH, EEPROM, CAM) occupy a faults, recovery faults and address
ates large amounts of stimulus on the large percentage of the die area of an decoder stuck-open faults. Additional
fly, applies it to the CUT and the SoC. Memories exhibit complex tests have to be performed on
response circuit evaluates the re- failure mechanisms due to their dense DRAMs for detecting these faults.
sponse of the CUT. Unlike ATE, BIST layout. The common memory prob- Embedded DRAMs, unlike stand-
performance is not limited by the lems are address, cell stuck-at, cell- alone DRAMs, tend to have de-
electrical characteristics of the load transition, coupling, pattern-sensitive multiplexed address buses. They also
board or the test head. and dynamic faults. contain spare rows and columns such
It is also possible to significantly Embedded memories tend to be that a single-bit failure does not cause
reduce the complexity of SoC test much wider than stand-alone memo- a rejection of the manufactured SoC.

3 Electronics Engineer April 2000


Systems Test
BUILT-IN SELF-TEST

flops creates a pseudo random


sequence of states that repeats after
(2N 1) cycles. The all 0 state is an
orc
illegal state for an LFSR. The length
of the LFSR must be made larger
than the number of pseudo random
channels driven by it to compensate
for this.
Result The response evaluator is imple-
mented using a circuit called a
RSC
Multiple Input Signature Register
Control (MISR). The major blocks are the
FBS ROM BIC, PRPG and MISR (figure 4).
Note that there is a PRPG that drives
parallel inputs and a PRPG that drives
serial scan chains. There is also an
MISR that compresses parallel
output responses and another that
Address
compresses the response of the serial
Clock scan chains.
The test application time for scan-
Figure 3
3: Typical ROM BIST circuit block diagram. You need to calculate the signature of the ROM based BIST depends primarily on the
contents using a CRC circuit. length of the longest scan chain in the
Faulty cells are substituted with spare performing indirect reads using the circuit. You would usually create
cells by blowing fuses using a laser. match output port. The comparator separate scan chains for flip-flops in
This capability is essential for produc- present in each CAM cell also has to separate clock domains. For ATPG
ing SoCs with embedded DRAM be tested thoroughly to achieve high patterns the number of scan chains in
having adequate yield. So, an embed- fault coverage. the design is usually limited to eight
ded test circuit for DRAM should not A typical SoC will have several due to ATE limitations.
only perform self-test, but also self- small SRAMs and FIFOs, a few A much larger number of scan
diagnosis and self-repair as well. ROMs and a few large SRAMs. It is chains is used for BIST applications
You can test a ROM by calculating important to share the BIST circuit since the number of scan tests
the signature of its contents using a among the small FIFOs and SRAMs generated by the embedded test
cyclic redundancy check (CRC) to reduce the area overhead of BIST. circuitry is much higher. The maxi-
circuit (figure 3). The major blocks mum scan-chain length is usually
are the FBS, the ROM State Control- Logic test limited to 256 in order to reduce test
ler (RSC) and the Output Response Logic BIST circuits typically gener- application time. It is not unusual for
Compressor (ORC). ate pseudo random stimuli, which are logic BIST circuits to interface to 32
Flash memories operate like an transported using scan chains into or 64 scan chains.
SRAM with a very long write cycle. the core under test. The response of Since the circuit response is not
In some flash memories only block the CUT is shifted out and com- compared with the expected response
writes are allowed. The unit cost of pressed into a signature after each for each test pattern, it is possible
embedded flash memory can be test. After all the BIST tests are that some faults, if present in the
high due to the high test application applied, the final signature is manufactured circuit, would result in
time caused by the slow writes. BIST scanned out and compared with the a signature that is identical to the
can significantly reduce test applica- expected signature. expected signature. This problem is
tion time by testing multiple arrays The stimulus generator is imple- called aliasing and can reduce the
in parallel. mented using a circuit called the effective fault coverage of the test.
Content Addressable Memories Parallel Random Pattern Generator Fortunately the aliasing probability
(CAMs) are widely used for cache (PRPG). The PRPG is often imple- for an N bit MISR is approximately
applications and for network packet mented using a class of circuits 2-N. In practice, the aliasing probabil-
processing. You can extend the called Linear Feedback Shift Regis- ity can be practically eliminated by
SRAM test algorithms to CAMs by ters (LFSR). An LFSR with N flip- making N large.

4 Electronics Engineer April 2000


Systems Test
BUILT-IN SELF-TEST

The fault coverage achieved by synthesis tools used for large SoCs becomes all X within a few cycles
logic BIST depends on the functional- produce a fully placed netlist to after an X state is captured in any of
ity of the CUT. Hence, calculate the simplify the problem of timing its flip-flops.
fault coverage achieved by logic BIST convergence. So gate-level test-point The best solution to the problem of
test patterns on a particular CUT insertion in the post-synthesis netlist unknown states is to create a circuit
using fault simulation programs. can invalidate the placement and that cannot produce unknown states.
Higher fault coverage can usually be timing results produced by the Pass transistor logic should be
achieved by increasing the test length. physical synthesis process. You can designed such that illegal states
A control point is implemented by use other techniques, such as disable all paths to the output node,
adding a multiplexer, which selects weighted random and biased random- and the output node has a resistive
between the functional node and a pattern generators, to increase fault pullup or pulldown circuit. Synchro-
control point, to a node with poor coverage in random pattern resistant nous memories can be used to prevent
controllability. The control point is circuits without test point insertion. timing violations.
You can also solve the signature
corruption problem by adding appro-
priate mechanism to the CUT. The
MISR outputs of an asynchronous memory
can be forced to a fixed value (all 0 or
all 1) during logic BIST operation
using scan flip-flops and output
P M multiplexers. This functionality can
R I
PI P
CUT PO be easily added to the BIST wrapper
S
G R of the embedded memory.
It is also possible to mask the scan
flip-flops or primary outputs where
the unknown states can be observed in
PRPG the logic BIST controller. You can
constrain certain scan flip-flops or
primary inputs to a fixed 0 or 1 value
during logic BIST operation.
BIC Scan-based logic BIST is the logical
extension of a synchronous, full-scan
design methodology. The circuit
TDI structures that reduce the effective-
TDO
ness of full-scan ATPG, like internally
generated clocks, resets and clears,
Figure 4
4: If the core under test is fully registered, the parallel PRPG and the parallel MISR can be
eliminated. can also significantly impact the
effectiveness of logic BIST.
selected during BIST operation. Another major issue with response SoC presents a new paradigm in
Control points are controlled by scan compression using MISR is signature the design and manufacture of
flip-flops or primary inputs. Observe corruption. An internal tristate bus can electronic systems. It is not sufficient
points are usually passed through create unknown states (X) due to bus to extrapolate proven manufacturing
exclusive OR gates before being contention if the bus control logic is test generation techniques such as
observed using scan flip-flops or in an illegal state. A pass transistor ATPG from VLSI to SoC. Wide-
primary outputs. logic circuit can also produce an X at spread adoption of BIST techniques
its output due to wire contention if the by core developers is essential for
Pitfalls inputs are in an illegal state. producing of a cost-effective SoC in
Control points can affect the perfor- It is difficult to prevent such illegal a timely manner.
mance of the design by increasing the stimulus from causing unknown states
delay of the critical paths in your in the circuit, since BIST stimulus is
You may e-mail your comments to Bejoy
design. Another problem with test random. Also, since the feedback G. Oomman at bejoygo@genesystest.com
point insertion is its incompatibility logic in an MISR is entirely made of or fax: 1-510-4988734.
with high-level design flows. Physical exclusive OR gates, its state rapidly

5 Electronics Engineer April 2000

You might also like