You are on page 1of 17

UNIDAD I

PASO 2: DISEAR EL CIRCUITO COMBINACIONAL Y SECUENCIAL.

CURSO:
SISTEMAS DIGITALES SECUENCIALES
90178-3

JOHNNATAN BERMDEZ QUICENO


JOS GUAQUETA
OSCAR RANGEL SABOGAL

UNIVERSIDAD NACIONAL ABIERTA Y A DISTANCIA


ESCUELA DE CIENCIAS BSICAS
PROGRAMA INGENIERA DE TELECOMUNICACIONES
MAYO 2017
TABLA DE CONTENIDO
INTRODUCCIN...................................................................................................... 3
OBJETIVOS ............................................................................................................. 4
DESARROLLO DE LA ACTIVIDAD ......................................................................... 5
DIAGRAMA DE FLUJO ............................................................................................ 5
DIAGRAMA DE BLOQUES ...................................................................................... 6
DESARROLLO DEL DISEO .................................................................................. 6
MAPA DE KARNAUGH ............................................................................................ 7
DIAGRAMA ESQUEMTICO DEL PUENTE H...................................................... 11
CONCLUSIONES ................................................................................................... 16
BIBLIOGRAFA ...................................................................................................... 17
INTRODUCCIN

Los ltimos aos se han visto marcados por el diseo y construccin de sistemas
autnomos para la optimizacin de los procesos. Los robots son esencialmente
sistemas tienen un gran nmero de implementaciones en la industria.

El presente trabajo muestra el diseo de un carro evasor de obstculos, utilizando


dispositivos electrnicos tales como flip-flop, temporizadores, etc, se mostrarn
algunos aspectos importantes como el diagrama de bloques, diagrama de flujo,
descripcin de la forma en que los flip-flop pueden resolver el problema planteado.
La implementacin del diseo ser ilustrada mediante simulacin en el software
Proteus.

Con el presente informe se evidencia la asimilacin de las temticas vistas en


Sistemas Digitales Secuenciales en su unidad 1, se logr disear y poner en
funcionamiento un carro evasor de obstculos; utilizando como estrategia
pedaggica el trabajo en equipo.
OBJETIVOS

Objetivo general:

Cumplir con el desarrollo del trabajo colaborativo 1 del curso sistemas digitales
secuenciales, por medio de la aplicacin de los conceptos de cerrojos S-R, JK, T, D
y sus aplicaciones con Flip Flops.

Objetivos especficos:

Profundizar los conocimientos de electrnica digital en circuitos


combinacionales, con el fin de dar la mejor solucin al problema planteado.

Aplicar los conocimientos tericos de lgica secuencial y combinacional a


problemas prcticos de la vida real.

Comprobar la operatividad del circuito diseado por medio del simulador


Proteus.

Aprender a disear circuitos digitales combinacionales y secuenciales, como


parte primordial para dar la mejor solucin a los diferentes problemas
planteados.
DESARROLLO DE LA ACTIVIDAD

PASO 2: DISEAR EL CIRCUITO COMBINACIONAL Y SECUENCIAL.

Dar solucin al siguiente problema: Usando una circuitera combinacional y circuitos


secuenciales, se debe disear un pequeo vehculo impulsado por dos motores DC.
El carro contar con dos sensores en la parte frontal que servirn para detectar el
impacto del carro con un obstculo. Una vez que uno de los sensores haya
detectado el impacto del carro, ste deber retroceder por un tiempo de tres (3)
segundos y reiniciar su marcha hacia adelante, este tiempo debe ser controlado
usando un temporizador 555 en modo monoestable.

DIAGRAMA DE FLUJO

El diagrama de flujo propuesto contempla en el diseo un switch principal SW3, este


interruptor ser el principal el que energizar el carro, por tanto si se encuentra
apagado (SW3=0), se deber regresar al inicio y empezar de nuevo el proceso, pero
si se encuentra encendido (SW3=1) vamos a tener dos acciones, una que ser
avanzar y en caso que los sensores (SW1,SW2) estn en 1 (perciban un obstculo)
el carro deber retroceder durante 3 segundos.
DIAGRAMA DE BLOQUES

DESARROLLO DEL DISEO

Nuestro diseo contar con dos microswitches (SW1,SW2) que funcionaran como
sensores en la parte frontal del carro y un interruptor principal (SW3), con el que
energizaremos nuestro vehculo, por tanto esas 3 entradas nos darn las ordenes
de salida a nuestro carro, las cuales sern dos avanzar o retroceder; as las cosas
nuestra tabla de verdad sera:
SW3 SW2 SW1 SALIDA 1 SALIDA 2
PRINCIPAL SENSOR 2 SENSOR 1 ORDEN ORDEN DE
DE RETROCEDER
AVANZAR
0 0 0 0 0
0 0 1 0 0
0 1 0 0 0
0 1 1 0 0
1 0 0 1 0
1 0 1 0 1
1 1 0 0 1
1 1 1 0 1
En color rojo se resaltan las condiciones necesarias para que el carro avance, la
cual es que el switch 3 Principal este en 1 (sea que nuestro carro est energizado)
y que ninguno de los sensores perciba un obstculo.
En color verde se resalta las condiciones necesarias para que el carro retroceda,
las cuales son en primer lugar que el carro este energizado a travs del switch 3
Principal y que uno de los sensores perciba un obstculo (lo cual significar un 1
lgico).
Con las condiciones anteriores podemos entonces disear nuestro mapa de
Karnaugh para identificar las condiciones requeridas y que nuestro carro avance.
MAPA DE KARNAUGH

BC
00 01 11 10
A 0 0 0 0
1 0 0 0

Solucin : ABC

Con las condiciones anteriores podemos entonces disear nuestro mapa de


Karnaugh para identificar las condiciones requeridas para que nuestro carro
retroceda:

BC
00 01 11 10
A 0 0 0 0
0 1 1 1

Solucin : AC + AB
: A(C + B)
Nuestro circuito resultante sera el siguiente

Tomado del software DSCH

Ahora comprobamos el funcionamiento del circuito simulandolo en el software


DSCH:
La seal de avanzar debe generarse cuando el SW3 Principal este en 1 y los
sensores en 0 (cero)

Tomado del software DSCH


Observamos como a la salida la seal de retroceder (Led azul) esta apagado y la
seal de avanzar (led verde) se enciende cuando en el SW3 (switch principal)
tenemos un 1.
Ahora suponemos que el SW2 sensa un obstaculo y cambia de estado de 0 (cero)
a 1 (uno):

Tomado del software DSCH

Observamos que ahora la seal de avanzar se apaga y se enciende la seal de


retroceder.
Sucede lo mismo cuando la seal es percibida por el otro sensor SW1

Tomado del software DSCH


Y en el caso que los dos sensores perciban un obstaculo la respuesta tambien
seria retroceder:

Tomado del software DSCH

Ahora estas seales son las que debemos procesar y traducir en movimiento en los
motor DC; para cumplir este objetivo se emplearan dos motor de DC acoplado a
cada una de las llantas traseras del vehculo de esta forma nuestro carro se
desplazar hacia delante y para cumplir el requisito de que nuestro carro se
desplace hacia atrs se invertir el sentido de giro de los motores utilizando la
configuracin del puente H.
El puente H o puente en H es un circuito electrnico que permite a un motor elctrico
DC girar en ambos sentidos, avanzar y retroceder.1

Tomado de https://es.wikipedia.org/wiki/Puente_H_(electr%C3%B3nica)


1
Tomado de : https://es.wikipedia.org/wiki/Puente_H_(electr%C3%B3nica)
Un puente H se construye con 4 interruptores (mecnicos o mediante transistores).
Cuando los interruptores S1 y S4, estn cerrados (y S2 y S3 abiertos) se aplica una
tensin positiva en el motor, hacindolo girar en un sentido. Abriendo los
interruptores S1 y S4 (y cerrando S2 y S3), el voltaje se invierte, permitiendo el giro
en sentido inverso del motor.
Con la nomenclatura que estamos usando, los interruptores S1 y S2 nunca podrn
estar cerrados al mismo tiempo, porque esto cortocircuitara la fuente de tensin. Lo
mismo sucede con S3 y S4.2
En nuestro caso como interruptores se usaran transistores NPN 2N2222A y un
motor de DC a 9 Vdc factor que nos facilitar la alimentacin del circuito empleando
una batera.

DIAGRAMA ESQUEMTICO DEL PUENTE H.

El diseo requiere un motor por cada rueda por tanto la configuracin del puente H
seria la siguiente:

Tomado de http://recursostic.educacion.es/observatorio/web/es/component/content/article/952-monografico-robot-
bichos?showall=1


2
Tomado de : http://blutintegrado.blogspot.com.co/2012/05/puente-h.html
A continuacin, se presenta un diagrama esquemtico con la solucin al problema
planteado, se encierra en un crculo rojo la lgica que resulto del anlisis con el
mapa de Karnaugh:

Tomado de https://easyeda.com/

Aplicamos el resultado obtenido con el mapa de Karnaugh de esta forma


resolvemos la lgica del circuito, pero este anlisis nos entrega dos salidas una que
ser la seal de que el carro debe avanzar y la otra que indica cuando el carro debe
retroceder.
Estas dos seales ser las que llevaremos a nuestro puente H para hacer que
nuestro carro cumpla el requerimiento de la gua.
Nos falta un anlisis an y es la aplicacin del circuito integrado 555 en modo
monoestable de manera que la seal de retroceder dure 3 segundos:

Tomado de https://easyeda.com
Para calcular el tiempo que deber retroceder nuestro vehculo tenemos:

Tiempo = 1.1(R1)*(C1)

Ahora teniendo en cuenta los valores comerciales de las resistencias y de los


condensadores es ms fcil partir desde el valor del condensador y mediante una
resistencia variable complementar nuestro circuito; as las cosas tenemos que:

Tiempo = 1.1 (R1)*(C1)

3 seg = 1.1(R1)*(220f)

! #$%
= R1
&.&*))*+,

12.39 K = R1
De esta forma tenemos resuelto el requerimiento del tiempo.

En nuestro caso la propulsin de nuestro carro se configurara de la siguiente


forma:

Tomado de https://easyeda.com/

Ahora se configura como indica la gua un temporizador 555 en modo monoestable,


para los sensores del vehculo se emplear un par de micro switches (SW1, SW2)
que se instalaran en la parte frontal del mismo y para cumplir el requerimiento al
detectar el impacto con uno u otro sensor debemos hacer retroceder el vehculo
durante 3 segundos, estos estados de los switches habilitaran o no el circuito.

Nuestro circuito quedara as:

Tomado de https://easyeda.com

En la parte superior se encuentra la parte lgica del circuito que nos entrega las
dos seales (avanzar - Retroceder).
En la parte inferior se encuentra la parte del circuito que nos da propulsin con un
puente H para alimentar dos motores.

DESARROLLO DE CIRCUITO

Se disea y simula un carro que al detectar obstculos en su camino debe cambiar


su rumbo dependiendo la activacin de los respectivos switchs; el vehculo consta
de dos motores DC que pueden funcionar a 5 vdc. Para cambiar el sentido de giro
de un motor DC lo que se debe hacer es invertir su polaridad de alimentacin y esto
es posible con el puente H en nuestro montaje empleamos el L293D.

Los sensores tienen la funcin de detectar los obstculos, luego la seal de los
sensores o microswiches pasa a ser controlada por los las compuertas resultantes
de aplicar el concepto del teorema de Karnaught, la seal de salida de las
compuertas va al circuito integrado 555, configurado en modo monoestabe que es
el encargado de hacer retroceder el carro evasor por un tiempo de 3 segundos.

La seal de salida del temporizador 555 va al puente H L293D que se encarga de


controlar directamente el movimiento de los dos motores. El puente H puede usarse
para invertir el giro de un motor o para frenarlo para que el carro evasor avance,
retroceda, gire a la derecha o a la izquierda.

La comunicacin entre cada una de las partes del carro evasor debe estar
sincronizada, es decir que en el momento de capturar las seales provenientes de
los sensores, el micro controlador 555 debe responde lo ms rpido posible a estos
estmulos haciendo mover de manera adecuada los motores.

LISTA DE COMPONENTES PARA IMPLEMENTAR

REFERENCIA DESCRIPCION

74LS04 Compuerta not

74LS32 Compuertas or

L293D Puente H

4013 Flip-flop tipo D

5 Vdc Motores

SWITCH NA Sensores

100F, 0.1 F Condensadores

7905 Regulador de tensin

50K (para ajustar a Potenciometro

27.3K)
1K y 220 Resistencias

Led amarillo Led indicador de 3seg


CONCLUSIONES

El diseo del carro evasor nos permiti afianzar nuestros conocimientos en el campo
de los circuitos secuenciales, entrando en el anlisis de cada uno de los
componentes empleados en su elaboracin.

Los flip-flop permiten el almacenamiento de un solo bit, y de esta manera no se


pierde la informacin, adems facilita el proceso de control, ya que al retener la
informacin de los detectores, permite que se evada al obstculo en el momento
apropiado.

La utilizacin del puente H permiti cambiar la polaridad de los motores a partir de


una fuente sencilla, y de esta manera obtener el cambio de giro.

El Simulador Proteus es una gran herramienta, antes del montaje fsico del circuito,
porque nos muestra el funcionamiento y las posibles correcciones que se pueden
realizar.

En este diseo podemos decir varias cosa como que el diseo de sistemas digitales
secuenciales pueden existir diferentes soluciones si se tiene una buena
comprensin inicial del problema y de los elementos o circuitos electrnicos digitales
nos permiten generar soluciones, ms sencillas y eficientes.

Los mapas de Karnaugh son una herramienta muy poderosa de simplificacin,


aunque su dificultad aumenta con el nmero de variables como en este caso.

Los flip-flop tipo D son dispositivos muy adecuados para el diseo de contadores o
generadores de secuencias, Las ecuaciones con flip-flops de tipo D pueden
implementarse directamente con lgica programable.
BIBLIOGRAFA

Muoz. J. (2012) Introduccin a los sistemas digitales. Editorial Autoedicin


Openlibra. Recuperado de: http://www.etnassoft.com/biblioteca/introduccion-
a-lossistemas-digitales/

Huerta. M,(2011). Lgica y lgebra de Boole. Editorial OUC.Recuperado de:


https://openlibra.com/es/book/download/logica-y-algebra-de-boole

Snchez. M (2012). Introduccin a la Programacin VHDL. Editorial


Computlense de Madrid. Recuperado
de:http://www.etnassoft.com/biblioteca/introduccion-a-laprogramacion-en-
vhdl/

Parra. M, (2012). Anlisis y diseo de circuitos secuenciales. Departamento


de Tecnologa Electrnica. Universidad de Sevilla. Recuperado de:
http://www.dte.us.es/docencia/etsii/gii-
ti/cedti/temario_2012_2013/tema7n/view

Parra Fernndez Mara del pilar. Anlisis y diseo de circuitos secuenciales.


Departamento de Tecnologa Electrnica. Universidad de Sevilla.
Recuperado Julio 6 de 2014, de:
http://www.dte.us.es/docencia/etsii/giiti/cedti/temario_2012_2013/tema7n/vi
ew

(2014, 03). Mapas de Karnaugh Simplificacin de funciones


booleanas. Unicrom. Obtenido 04, 2017, de http://unicrom.com/mapas-de-
karnaugh-simplificacion-de-funciones/

(2015, 01). Multivibrador monostable con temporizador 555. Unicrom .


Obtenido 04, 2017, de http://unicrom.com/multivibrador-monostable-con-
temporizador-555/

(2016, 11). Puente H. Wikipedia. Obtenido 04, 2017, de


https://es.wikipedia.org/wiki/Puente_H_(electr%C3%B3nica)

You might also like