You are on page 1of 71

University of California at Berkeley

Competitive Semiconductor Manufacturing (CSM) Program

Spreadsheet Models for Fab Economic Analysis

Prepared by: Robert C. Leachman, Shengwei Ding and Nancy S. Sato-Misawa


Last Updated: December 19, 2001

Introduction

This Excel workbook provides spreadsheet models for calculating revenues and costs of wafer fabrication.
The intent is to provide the engineering analyst with the means to evaluate the economic impacts of
changes to process and equipment, changes in the timing of when process or equipment are installed and
qualified, changes in the time to ramp up die yield, and changes in manufacturing cycle time. Cash flows
for both expenditures and revenues from fabrication are computed.

At present, the models assume the fabrication line operates a single process technology consisting
of up to 300 major process steps utilizing up to 50 major types of process equipment. The user may
compute costs and revenues for one of two basic cases: (1) wafer start volumes are pre-specified over time,
or (2) equipment counts are pre-specified over time. In case (1), higher equipment efficiencies or reduced
process times result in reduced investment in equipment and facilities to accommodate the pre-specified
wafer starts. Higher yields in this case result in reduced investment costs as well as higher revenues for
the given wafer start volumes. In case (2), higher equipment efficiencies or reduced process times result in
higher wafer throughput for the given equipment set and hence both higher revenues and lower costs per
wafer. Higher yields in this case result in higher die throughput for the given equipment set and both higher
revienues and lower costs per wafer.

The calculation of fab expenses uses an enhanced version of SEMATECH's Cost-Resource Model (CRM).
This model accounts for expenses of fab construction and operation. In order to compute wafer and die costs,
all wafers and die produced over the life of the technology are burdened equally with capital expenses.
Important differences compared to CRM include (1) staffing costs are computed for varying levels of fab
automation, and (2) equipment performance is defined by the user in terms of theoretical throughput
rates and capability for equipment efficiency (CEE), rather than average throughput rates and equipment
availability as is traditionally done using CRM.

The calculation of fab revenues accounts for a user-specified decline of die prices over time. Delays for
fab construction, process development and qualification, equipment installation and qualification, yield ramp,
and manufacturing cycle time depress revenues obtained from the process technology over its life. Our Delay
Cost Model expresses a die cost equivalent to the foregone revenues resulting from delays for process
development and qualification, equipment installation and qualification, factory construction, time to ramp die
yield, and manufacturing cycle time.

These models are explained in detail for the static wafer-starts case in the Competitive Semiconductor
Manufacturing (CSM) Program Report "Understanding Fab Economics", CSM-47, authored by Robert C.
Leachman, John Plummer and Nancy Sato-Misawa. This report may be ordered at
http://euler.berkeley.edu/esrc/csm/csmreports.html
The important mathematical formulas also are displayed below.

This workbook is organized into seven spreadsheets to facilitate data input and visualization of results:

1. The "Introduction" spreadsheet briefly explains these cost models, summarizes the required input data
and provides the formulae used in the calculations.

2. The "Input Data" spreadsheet includes shaded cells where the user defines the parameters in the cost models
that can be modified to simulate different situations. These input parameters include unit costs of equipment,
masks, staff and space; space consumption by equipment and staff; equipment efficiencies and lifetimes;
wafer start rates or tool counts; yields and yield ramp times; process lifetime; factory life; time until process
qualification; total cycle time; initial revenue per die (at start of process development), and rate of revenue decline.

The user must define additional more detailed input parameters for the Expense Model in the "Process Data"
spreadsheet (discussed below).

3. The "Process Data" spreadsheet contains the detailed process data. Input parameters for each step of the
process, including machine type, mask type (if any), theoretical wafer throughput for the step, direct and indirect
material costs for the step, and actual step cycle times (or step batch size and handling time). These factors
should be input in the appropriate shaded cell . The only allowable machine types and mask types are those
appearing in the tables of machine types and mask types appearing on the "Input Data" spreadsheet.
SEMATECH nomenclature for tool types and mask types is used. At present it is not possible to include more
than 300 process steps or more than 50 tool types in the spreadsheet. It is possible to rename the tool types from
the names given in the sample data. Renaming of a tool type is allowed in the "Tool Set" table (any name change
in this list will be automatically updated in the "Expense Cost Calculation" and "Number of tools" spreadsheets).
After modifying tool type names or other input data, be sure to press "control-n" to make the changes take effect.

4. The "Expense Cost Calculation" spreadsheet contains the model that calculates the fab expense per wafer. This
spreadsheet uses the data in the "Input Data" spreadsheet and process data in the '"Process" spreadsheet.
After modifying data in this spreadhseet, press "control-u" to update calculations of required numbers of tools.
Cells shaded in 'blue' provide partial cost totals.

5. The "Total Cost" spreadsheet summarizes the results of cost and revenue calculations. First it presents
a table of cost components (fab expenses) for four possible levels of automation and for two calculation
assumptions (fractional and integer numbers of tools). Below this table is shown the number of die
produced over the life of the factory (TD). This is used to calculate the Expense Cost per die and the
Delay Cost per die which are shown next. Finally, the Total Cost per die (the sum of these two costs) is shown.

6. The "Number of Tools" spreadsheet presents a table of the calculated number of tools per type, for the two
cases (fractional numbers of process tools and integer numbers of tools). It also shows the CEE and OEE factors.

7. The "Personnel Requirements" spreadsheet presents the caculated numbers of each personnel type, for each of
the four alternative automation levels. One table corresponds to the case in which fractional tools are assumed
and the other case corresponds to the assumption of integer tools.

8. The "Phased Capacity" spreadsheet presents the results for each of the capacity phases. The expense related
cost table shows the expense in each phase. The revenue related cost table calculates the fab's real die output
andrevenue over product life. And the tool requirement table shows the number of tools and OEE in each phase.
Automation Levels

We classify automation into two major types, material handling automation (MHA) and information handling
automation (IHA). Possible combinations of information and material handling automation are indicated
as an ordered pair (MHA,IHA) with values as defined below.

Material handling automation refers to wafer and reticle movement, both within processing bays, as well as
between bays. The four possible levels of MHA are as follows.

MHA Level Description


0 None
1 Interbay rail system only
1.5 Interbay system plus AGVs in photolithography and diffusion areas
2 Interbay system plus AGVs in all areas

Information handling automation involves automation of the download of processing instructions and upload
results to/from processing equipment. The four levels of IHA evaluated here are as follows.

IHA Level Description


0 None
1 100% auto recipe download
1.5 100% auto recipe download and 100% auto metrology upload
2 100% auto recipe download, 100% auto metrology upload,
100% auto track-in/track-out, 100% auto lot and machine selection

Fab expenses are automatically computed for the automation levels (0, 0), (1, 1), (1.5, 1.5) and (2, 2).
At present, capital and maintenance expenses for automation are excluded from cost calculations.
Summary of Sample Input Data

The default values for the input variables considered in the calculation of fab expenses were taken from
SEMATECH's CRM dataset for a 0.25um logic process as well as from data collected by the CSM program.
These default values are summarized below.

Fab Expense Parameters

General Variable Default value


Line Yield (LY) 0.98
Wafer starts per month (W) 25000
Blank wafer cost ($) 200

Mask Type Purchase Cost ($/unit) Life (wafer passes)


248_Mask_8 25,000 40,000
248C_Mask_8 80,000 40,000
Iw_Mask_8 4,000 40,000
I_Mask_8 7,000 40,000

Personnel Annual Salary ($)


Operator 60,000
Supervisor 80,000
Manager 125,000
Overhead 70,000
Engineer 125,000
Technicians 70,000

Factory Space Default Value


Life expectancy (years) 25
Construction cost ($ per sq. ft.)
Cleanroom 3500
Non-cleanroom 175
Non-manufacturing 175
Annual occupancy cost ($ per sq. ft.)
Cleanroom 175
Non-cleanroom 50
Non-manufacturing 50
Space/person (sq.ft.) 150
Space/tool (sq.ft.) various (see "Input Data" spreadsheet)

Tool Set Default value


CEE various (see "Input Data" spreadsheet) Note: CEE (capability for equipment
Purchase ($mm) various (see "Input Data" spreadsheet) efficiency) is the OEE of the
Additional tools ($mm) various (see "Input Data" spreadsheet) equipment if it is the bottleneck.
Installation ($mm) various (see "Input Data" spreadsheet) The OEE will be less when some
Maintenance ($mm/year) various (see "Input Data" spreadsheet) other equipment is the bottleneck
or due to rounding to integer tools.
Process Data Default values
Step number Default process is the "250_Al_82" process flow
Process description created by SEMATECH. This is a 19 mask, 5 metal
Tool type process for logic devices with 250nm design rule
Mask type fabricated on 8-inch wafers. User may enter a different
Wafers/hour process that uses the same or fewer steps and tool types.
Indirect material ($ per wafer pass)
Direct material ($ per wafer pass)

Delay-Related Cost Parameters

Description Input Variable Default value


Mature Yield YF 0.95
Initial Yield Y0 0.5
Factory Life (days) H 1800
Yield ramp time (days) RT 120
Yield learning rate * 0.67
Initial Revenue R0 10000
discount factor per year** 0.25
duration until process is qualified
and salable wafer starts begin (days) VT 210
total manufacturing cycle time CT TOTAL 30

* NOTE: The yield learning rate is the fraction of the total yield improvement completed halfway through the ramp.
For example, 0.67 means that 2/3 of the yield improvement is completed in time 0.5 RT.

**NOTE: The discount factor per year indicates how fast the revenue per wafer is decreasing. For example,
if R0=10,000 and the discount factor is 0.25, then the revenue per wafer after one year is 7,500.
Cost Model Formulae

Cost model formulas

Number of process tools

In option 2 (fixed equipment set), the number of process tools of type i, Ni, is pre-specified. In option 1 (fixed wafer
starts), Ni is computed from the given wafer starts as follows:
j1
( W ) ( LY )
1

Ni =
ji
( UPH )
J

(720 )(CEE i )
where W is the given wafer starts per month, LY is the line yield of the process flow, jei denotes that process step j
is performed using equipment type i, UPHj is the theoretical wafers-per-hour processing rate for step j, and CEEi is
the capability for equipment efficiency of tool type i. In the case that the integer tools options is specified,
the expression for Ni is rounded up to an integer.

When time-varying wafer starts and equipment efficiencies are specified by phase under option 1, Ni is computed
each phase. However, the number of process tools of each type is not allowed to decline in subsequent for phases.

Number of wafer starts

In option 1, the number of wafer starts per 30-day month is pre-specified by the user for each phase. In option 2,
the number of wafer starts is calculated from the pre-specified numbers of tools as follows:

W = W max =
i
[
min ( 720 ) ( N i )( CEE i )
ThPT j
ji
]
where ThPTj is the theoretical process time per wafer for step j (i.e., the reciprocal of UPHj).

Fab expense

Fab expenses are calculated in terms of four basic expense pools: process tools, staff, factory space, and materials.
Staffing requirements are assumed to be linear functions of the number of wafer starts and the numbers of process
tools, added to a certain minimum fixed staff. Space requirements also are assumed to be a linear function of the
numbers of the process tools and the number of wafer starts, added to a certain minimum fixed space requirement.
Materials consumption at each process step is assumed to be proportional to wafer throughput of that step. These
linear functions are stored as coefficients in the spreadsheets. Capital expenses for process tools and factory
space are annualized uniformly over pre-specified lifetimes.

The total fab expense per year is calculated as


EPY = ( Cei + Le i + Sei ) N i+( Lw + M w + S w ) ( 12 ) W + ( Lf + S f )
i

where Cei denotes the annualized installation and purchase cost of tool type i, Lei denotes the portion of annual
labor cost that is proportional to the number of tools of type i (per tool), Sei denotes the annualized cost of factory
space per tool of type i, Ni denotes the number of tools of type i, Lw denotes the portion of total labor cost that is
proportional to wafer starts (per wafer start), Mw denotes the total materials and mask costs per wafer start, Sw
denotes the factory space cost per wafer start, Lf denotes the portion of total labor cost that is fixed, and Sf
denotes the portion of total space cost that is fixed. In the case that wafer starts are held constant at rate W per
month, the fab expense per wafer, EPW, is calculated by dividing EPY by 12W.

The total die output over the process life in the case of constant wafer starts is calculated as

TD=
(W )
30 [
Y F H +RT (Y F Y 0 )(1
1
1ebRT
+
1
bRT
)
]
where Y0 is the die yield times line yield at the time of process qualification, YF is the mature die yield times line
yield, H is the process lifetime, RT is the duration from process qualification until mature die yield is attained,
and b is the yield learning rate. The fab expense per die is calculated as the total fab expense over the process
life divided by the total die output.

Revenues and delay costs

The ideal revenue realized over the process life is calculated in the case of constant wafer starts as

Y F R0 1eaH
IR= W
30 a

where R0 is the initial selling price for a 100%-yielding wafer, and a is the rate of sales price decline. Considering
the delays for process qualification, yield ramp and manufacturing cycle, the total revenue actually realized over
the process life is

TR=
(
ea VT+CT R0
) (W )
30 [YF
eaRT eaH
a
+ Y0
[1 eaRT
a
+
](
1 ebRT a )[
Y F Y 0 1 eaRT 1 e( a+b) RT

a+b ]]
where VT is the duration until salable wafer starts commence and CT is the manufacturing cycle time.

The total delay cost is computed as

TDC = IRTR
=R 0
(W ) 1eaH
30 a
{ Y Fe
a (VT +CT )
[Y F
eaRT eaH
a
+ Y0
1 eaRT
a [ ]
)[ ]
Y F Y 0 1 eaRT 1 e a+b) RT

(
(
+ ]}
1 ebRT a a+b
Extension to time-varying wafer starts

The input formats allow the user to specify up to eight phases of process life. Either the wafer starts rate in each
phase is pre-specified (option 1), or the wafer starts rate is calculated from the pre-specified number of tools in
each phase (option 2). To illustrate, suppose the process life is divided into n phases spanning the intervals
[ti-1, ti], i=1, 2, , n, and suppose the wafer starts rate in phase i is aiW, where an = 1.

The expense per wafer is calculated as


Ni L +S
EPW = (Ce i+ Lei + Sei ) + f f +( Lw + M w + Sw )
i 12 W i 12 W i
For the phases before RT, the die output is calculated as
Wi Y F Y 0 Y F Y 0 bt i+1 bt
TD i= ((Y 0 + )(t i+1 t i )+ (e e i
))
30 1e bRT
b(1ebRT
)

the actually realized revenue is calculated as


bRT
R 0 W i a( CT+VT ) Y F Y 0 e at at Y FY 0 (a+ b)t ( a+b )t
TR i = e ( ( e i e i+ 1 )+ (e i+ 1
e i
))
30 a(1e bRT
) (a+ b)(1e
bRT
)

for the phases after RT, the die output is calculated as


WiY F
TDi= (t i +1t i )
30
the actually realized revenue is calculated as
R 0 W i Y F a( CT+VT ) at i ati +1
TRi = e (e e )
30 a

The summation of the actually realized revenue for all the phases is the total realized revenue. Thus the total delay
cost is calculated as the total realized revenue subtracted from the ideal revenue .

Queueing model for calculation of step cycle times

For multi-machine, general inter-arrival distribution and general service time distribution, an easy estimation
of cycle time for each equipment in each step can be done by Heavy-traffic approximation. The formula is

CT = 1+
[ (
1
c 2 2a + 2s
) 2cs 2
s
]
s = (# wafers in one load) / (tool UPH)
(utilizaion ) is taken to be CEE /0 . 9
c is number of machines

For semiconductor equipment with production scheduling, the varances are quite small and the following values
are used:

2s = 2a =0. 1s 2
To the value of CT derived using the above formulae, we add the handling time specified by the user to determine
the total step cycle time.

(This approach is applied only in the case the user does not specify actual step cycle times.)
Input data

Fab Expense Inputs

Please change data only in the cells shaded this color.

Blank wafer cost ($) 200

DO NOT SORT THIS TABLE


Mask Type Purch cost ($/unit) Life Exp(wafers)
248_Mask 25000 40000
248C_Mask 80000 40000
Iw_Mask 4000 40000
I_Mask 7000 40000

Personnel Annual Salary


Operator 60000
Supervisor 80000
Manager 125000
Overhead staff 70000
Engineer 125000
Technicians 70000

Space Costs Life (years) Construction ($) Occupancy cost ($/yr) space/person (sq.ft.)
Direct
Cleanroom 25 3500 175
Non-cleanroom 25 175 50
Indirect
Non-manufacturing 25 175 50 150

Delay Cost Inputs

Description Input Variable Value


Line Yield (LY) LY 0.98
Mature Yield YF 0.95
Initial Yield Y0 0.5
Factory Life (days) H 1800
Ramp time (days) RT 120
Yield learning rate * 0.67
Initial Revenue # R0 10000 # NOTE: Initial revenue applies at start of
discount factor per year** 0.25 and qualification.
process dev & qual (days)*** VT 120
manufacturing cycle time CT TOTAL
Derived Variables
manufacturing cycle time ## CT 26.8463923715 ## NOTE: For Cycle Time Calculation,
Wafer starts per month (W) WS 25000
designed die output capacity (per m D 23750
learning curve factor b 0.0183102048
discount factor per day a 0.0007991169

* NOTE: The yield learning rate is the fraction of the total yield improvement completed halfway through the yield ramp.
For example, 0.67 means that 2/3 of the yield improvement is completed at time 0.5 RT.

**NOTE: The discount factor per year indicates how fast the revenue per wafer is decreasing.
For example, if R0=10,000 and the discount factor is 0.25, then the revenue per wafer one year after start of process developme

***NOTE: VT is the time when wafers that will be sold are first started, accounting for all delays for process development
and qualification, factory construction, equipment installation and qualification.

Wafer start rates (wafers per month)


Fixed wafer starts case only

Phase No. Start time Wafer start rate


1 0 15000
2 120 25000
3
4
5
6
7
8

NOTE: Time 0 in this table is right after process qualification, i.e., after the duratio
A Phase is a time interval with either a fixed wafer start rate or a fixed eq
Time before and after RT must be placed in different phases.
The last phase is automatically assumed to end at the process life time H.

BE SURE TO INCLUDE "RT" IN THE START TIMES.

Calculation Type

Fixed wafer starts, variable eqpt counts:


TO DO:
Fill in Start times and Wafer start rates above
Fill in CEEs in each phase
in table at immediate right

Fixed eqpt counts, unlimited market:


TO DO:
Fill in Start times above (Wafer start rates will be overwritten by calculation)
Fill in CEEs and tool quantities in each pahse
in table at immediate right
Tool Costs and Space Requirements

DO NOT SORT THIS TABLE.


Tool Set Cost per unit of equipment
purchase add'l tools install maintce
Tool Type $mm $mm $mm $mm/yr
CMP_Ins 2 0.4 0.3 0.14
CMP_Ins(C) 2 0.4 0.3 0.14
CMP_Ins(I) 2 0.4 0.3 0.14
CMP_Met 2 0.4 0.3 0.14
CVD_Ins 3 0.6 0.45 0.21
CVD_Ins(C) 3 0.6 0.45 0.21
CVD_Ins(I) 3 0.6 0.45 0.21
CVD_Ins_Th 2 0.4 0.3 0.14
CVD_Met 3.5 0.7 0.525 0.245
CVD_Met(C) 3.5 0.7 0.525 0.245
CVD_MetW 3.5 0.7 0.525 0.245
CVD_MetW(C 3.5 0.7 0.525 0.245
Dry_Etch 2.5 0.5 0.375 0.175
Dry_Etch(A) 2.5 0.5 0.375 0.175
Dry_Etch(C) 2.5 0.5 0.375 0.175
Dry_Etch(I) 2.5 0.5 0.375 0.175
Dry_Etch_Me 2.5 0.5 0.375 0.175
Dry_Strip 1 0.2 0.15 0.07
Dry_Strip(D) 1 0.2 0.15 0.07
Dry_Strip(I) 1 0.2 0.15 0.07
Furn_FastRm 1 0.2 0.15 0.07
Furn_Nitr 1 0.2 0.15 0.07
Furn_OxAn 1 0.2 0.15 0.07
Furn_OxAn(I 1 0.2 0.15 0.07
Furn_Poly 1 0.2 0.15 0.07
Furn_TEOS 1 0.2 0.15 0.07
Implant_HiE 3 0.6 0.45 0.21
Implant_LoE 3 0.6 0.45 0.21
Insp_PLY 3 0.6 0.45 0.21
Insp_Visual 0.5 0.1 0.075 0.035
Litho_248 9 1.8 1.35 0.63
Litho_I 6 1.2 0.9 0.42
Litho_Iw 5 1 0.75 0.35
Meas_CD 1.5 0.3 0.225 0.105
Meas_Film 0.7 0.14 0.105 0.049
Meas_Overla 1 0.2 0.15 0.07
PVD_Met 4 0.8 0.6 0.28
PVD_Met(C) 4 0.8 0.6 0.28
RTP_OxAn(C 1.5 0.3 0.225 0.105
Test 1 0.2 0.15 0.07
VP_HF_Clea 0.8 0.16 0.12 0.056
Wet_Bench 2 0.4 0.3 0.14
Initial revenue applies at start of process development Wet_Bench(I 2 0.4 0.3 0.14

: For Cycle Time Calculation, there are 3 possible sources:


1. If the cell "CT TOTAL" has a value, that value will be used as CT. Step cycle times in the "Process Data" sheet will be automatically scal
2. If the cell "CT TOTAL" is left blank, the sum of "Actual CT" and handling time for all steps in sheet "Process Data" will be taken to be th
WARNING: If some values of "Actual CT" are filled in but others are left blank, the steps with blank cells will be assumed to have 0 cyc
3. If both "CT TOTAL" and "Actual CT" are left blank, then step cycle time will be calculated from equipment CEE and numbers of tools, t
with handling time for all steps to compute CT.

the yield ramp.

after start of process development is 7,500.

ess development

er starts case only Tool CEE and Tool Quantities by Phase of Wafer Starts

DO NOT RESORT THIS TABLE. ALSO, PHASES MUST MATCH THOSE IN THE
Phase Numb 1 2 3 4
Tool Type CEE CEE CEE CEE
CMP_Ins 0.23
CMP_Ins(C) 0.23
CMP_Ins(I) 0.23
CMP_Met 0.23
CVD_Ins 0.64
CVD_Ins(C) 0.64
CVD_Ins(I) 0.64
CVD_Ins_Th 0.64
ualification, i.e., after the duration 'VT'. CVD_Met 0.48
xed wafer start rate or a fixed equipment set. CVD_Met(C) 0.48
in different phases. CVD_MetW 0.48
to end at the process life time H. CVD_MetW(C 0.48
Dry_Etch 0.59
Dry_Etch(A) 0.53
Dry_Etch(C) 0.53
Dry_Etch(I) 0.53
Dry_Etch_Me 0.59
Dry_Strip 0.65
Click to Run Dry_Strip(D) 0.65
Dry_Strip(I) 0.65
Furn_FastRm 0.5
Furn_Nitr 0.5
Furn_OxAn 0.5
Furn_OxAn(I 0.5
Furn_Poly 0.5
Furn_TEOS 0.5
Implant_HiE 0.37
Implant_LoE 0.42
Click to Run Insp_PLY 0.3
Insp_Visual 0.35
Litho_248 0.8
Litho_I 0.8
Litho_Iw 0.8
Meas_CD 0.35
Meas_Film 0.35
Meas_Overla 0.3
PVD_Met 0.51
PVD_Met(C) 0.51
RTP_OxAn(C 0.57
Test 0.39
VP_HF_Clea 0.45
Wet_Bench 0.54
Wet_Bench(I 0.54
Space consumed per tool
tool life Cleanroom(sq.ft.) non-cleanroom(sq.ft.)
5 400 1600
5 400 1600
5 400 1600
5 400 1600
5 400 1600
5 400 1600
5 400 1600
5 400 1600
5 400 1600
5 400 1600
5 400 1600
5 400 1600
5 400 1600
5 400 1600
5 400 1600
5 400 1600
5 400 1600
5 280 1120
5 280 1120
5 280 1120
5 240 960
5 240 960
5 240 960
5 240 960
5 240 960
5 240 960
5 1400 5600
5 800 3200
5 120 480
5 120 480
5 500 2000
5 400 1600
5 400 1600
5 120 480
5 120 480
5 120 480
5 500 2000
5 500 2000
5 400 1600
5 120 480
5 320 1280
5 500 2000
5 500 2000

" sheet will be automatically scaled to match CT.


ocess Data" will be taken to be the value of CT.
ls will be assumed to have 0 cycle time.
ment CEE and numbers of tools, then summed

Tool quantities
MUST MATCH THOSE IN THE TABLE AT LEFT.
5 6 7 8 1 2
CEE CEE CEE CEE Tool Type Quantity Quantity
CMP_Ins 1 2
CMP_Ins(C) 5 10
CMP_Ins(I) 1 2
CMP_Met 4 7
CVD_Ins 1 2
CVD_Ins(C) 3 5
CVD_Ins(I) 1 2
CVD_Ins_Thin 1 1
CVD_Met 1 1
CVD_Met(C) 2 3
CVD_MetW 1 1
CVD_MetW(C) 2 3
Dry_Etch 1 2
Dry_Etch(A) 1 2
Dry_Etch(C) 4 7
Dry_Etch(I) 2 4
Dry_Etch_Met 3 5
Dry_Strip 1 1
Dry_Strip(D) 1 1
Dry_Strip(I) 2 3
Furn_FastRmp 3 5
Furn_Nitr 1 2
Furn_OxAn 4 7
Furn_OxAn(I) 1 2
Furn_Poly 1 2
Furn_TEOS 1 2
Implant_HiE 1 1
Implant_LoE 1 2
Insp_PLY 7 13
Insp_Visual 1 1
Litho_248 1 2
Litho_I 3 6
Litho_Iw 3 6
Meas_CD 4 8
Meas_Film 3 6
Meas_Overlay 3 6
PVD_Met 1 1
PVD_Met(C) 3 5
RTP_OxAn(C) 1 2
Test 6 11
VP_HF_Clean 2 3
Wet_Bench 3 6
Wet_Bench(I) 3 5
Fixed eqpt counts case only

3 4 5 6 7 8
Quantity Quantity Quantity Quantity Quantity Quantity
3 3
15 20
3 3
10 13
3 4
8 10
3 3
2 2
2 2
4 5
2 2
4 5
3 3
3 3
11 14
6 7
8 10
2 2
2 2
5 6
7 9
3 3
11 14
3 4
3 3
3 3
2 2
3 4
19 25
1 1
3 4
9 11
9 11
12 15
9 12
9 11
2 2
7 9
3 3
17 22
4 5
9 11
8 10
Input data Macros: To sort by step: ctrl-f
partial cost total To sort by Tool Name: ctrl-r

Please change input data only in the cells shaded this color.

Notes: Make sure that step numbers are distinct consecutive integer numbers. In column J, only use the exact
tool and mask names appearing in the "Input Data" spreadsheet. (For convenience, these are listed at lower left.)
The reciprocal of theoretical process time for each step is entered in column L (Wafers/hour).

There are two options for step cycle time data. One option is to enter actual cycle times in column O, including
all waiting, process and material handling times. The other option is to enter step batch size in column P and step
handling time in column Q, and let the system calculate step cycle times.
If data for Actual CT is entered, it must be entered for every step. If some are left blank, they will be assumed
to be zero. If Actual CT is left blank for ALL steps, then CT will be calculated automatically for all steps based on
queuing analysis of equipment counts and CEE factors and the user input data from columns P and Q. If the total
of step cycle times is different from the "CT TOTAL" entry on the "Input Data" page, then step cycle times will
be automatically re-scaled to be consistent with "CT TOTAL". If "CT TOTAL" is left blank, no re-scaling is performed.

Process ID :250_Al_82

Number of process steps 283

Total material a month 3.89 0.00 0.00

Material Cost per Wafer Indirect Direct Mask Wafer

$/wafer 0.000158891 0 0 200

$/wafer 0.000158891 200

From "Input data" spreadsheet


Tool Name Mask Name
CMP_Ins 248_Mask
CMP_Ins(C) 248C_Mask
CMP_Ins(I) Iw_Mask
CMP_Met I_Mask
CVD_Ins
CVD_Ins(C)
CVD_Ins(I)
CVD_Ins_Thin
CVD_Met
CVD_Met(C)
CVD_MetW
CVD_MetW(C)
Dry_Etch
Dry_Etch(A)
Dry_Etch(C)
Dry_Etch(I)
Dry_Etch_Met
Dry_Strip
Dry_Strip(D)
Dry_Strip(I)
Furn_FastRmp
Furn_Nitr
Furn_OxAn
Furn_OxAn(I)
Furn_Poly
Furn_TEOS
Implant_HiE
Implant_LoE
Insp_PLY
Insp_Visual
Litho_248
Litho_I
Litho_Iw
Meas_CD
Meas_Film
Meas_Overlay
PVD_Met
PVD_Met(C)
RTP_OxAn(C)
Test
VP_HF_Clean
Wet_Bench
Wet_Bench(I)
step numbeProcess description Tool_type Mask type wafers/hou
118 CMP_BPSG CMP_Ins 60
151 CMP_Oxide CMP_Ins(C) 30
184 CMP_Oxide CMP_Ins(C) 30
217 CMP_Oxide CMP_Ins(C) 30
use the exact 248 CMP_Oxide CMP_Ins(C) 30
are listed at lower left.) 21 CMP_AA CMP_Ins(I) 60
132 CMP_W CMP_Met 60
165 CMP_W CMP_Met 60
n column O, including 198 CMP_W CMP_Met 60
p batch size in column P and step 231 CMP_W CMP_Met 60
262 CMP_W CMP_Met 60
ft blank, they will be assumed 113 CVD_Nitr/TEOS CVD_Ins 50
tomatically for all steps based on 115 CVD_BPSG CVD_Ins 40
from columns P and Q. If the total 121 APCVD_Ox CVD_Ins 50
ge, then step cycle times will 148 CVD_ILD CVD_Ins(C) 30
eft blank, no re-scaling is performed. 181 CVD_ILD CVD_Ins(C) 30
214 CVD_ILD CVD_Ins(C) 30
245 CVD_ILD CVD_Ins(C) 30
276 CVD_TEOS/Nitride CVD_Ins(C) 25
18 Oxide_STI CVD_Ins(I) 50
135 CVD_Oxide CVD_Ins_Thin 100
168 CVD_Oxide CVD_Ins_Thin 100
201 CVD_Oxide CVD_Ins_Thin 100
130 CVD_Ti/TiN CVD_Met 60
163 CVD_Ti/TiN CVD_Met(C) 60
196 CVD_Ti/TiN CVD_Met(C) 60
229 CVD_Ti/TiN CVD_Met(C) 60
260 CVD_Ti/TiN CVD_Met(C) 60
131 CVD_W CVD_MetW 70
164 CVD_W CVD_MetW(C) 70
197 CVD_W CVD_MetW(C) 70
230 CVD_W CVD_MetW(C) 70
261 CVD_W CVD_MetW(C) 70
9 Etch_AA Dry_Etch 25
126 Etch_Contact Dry_Etch(A) 25
140 Etch_Mask Dry_Etch(C) 60
158 Etch_Via Dry_Etch(C) 30
173 Etch_Mask Dry_Etch(C) 60
191 Etch_Via Dry_Etch(C) 30
206 Etch_Mask Dry_Etch(C) 60
224 Etch_Via Dry_Etch(C) 30
255 Etch_Via Dry_Etch(C) 30
279 Etch_PAD Dry_Etch(C) 40
55 Etch_Gate Dry_Etch(I) 25
82 Etch_Spacer Dry_Etch(I) 30
103 Dry_Strip Dry_Etch(I) 30
141 Etch_Metal Dry_Etch_Met 30
174 Etch_Metal Dry_Etch_Met 30
207 Etch_Metal Dry_Etch_Met 30
238 Etch_Metal Dry_Etch_Met 30
269 Etch_Metal Dry_Etch_Met 30
23 Dry_Strip Dry_Strip 30
105 Plasma_Strip Dry_Strip(D) 80
127 Plasma_Strip Dry_Strip(D) 80
280 Plasma_Strip Dry_Strip(D) 80
10 Plasma_Strip Dry_Strip(I) 80
34 Plasma_Strip Dry_Strip(I) 80
43 Plasma_Strip Dry_Strip(I) 80
58 Plasma_Strip Dry_Strip(I) 80
70 Plasma_Strip Dry_Strip(I) 80
77 Plasma_Strip Dry_Strip(I) 80
92 Plasma_Strip Dry_Strip(I) 80
99 Plasma_Strip Dry_Strip(I) 80
2 Oxidation_Sac Furn_FastRmp 50
16 Oxidation Furn_FastRmp 50
20 Densification Furn_FastRmp 50
25 Oxidation_Sac Furn_FastRmp 50
47 Oxidation_Gate Furn_FastRmp 50
63 Oxidation Furn_FastRmp 50
4 LPCVD_Nitride Furn_Nitr 30
117 Densification Furn_OxAn 50
145 Anneal_Metal Furn_OxAn 35
178 Anneal_Metal Furn_OxAn 35
211 Anneal_Metal Furn_OxAn 35
242 Anneal_Metal Furn_OxAn 35
273 Anneal_Metal Furn_OxAn 35
282 Anneal_Metal Furn_OxAn 35
85 Anneal/Ox Furn_OxAn(I) 35
102 Anneal Furn_OxAn(I) 35
49 LPCVD_Poly Furn_Poly 30
80 LPCVD_TEOS Furn_TEOS 30
31 Implant Implant_HiE 200
32 Implant Implant_HiE 200
40 Implant Implant_HiE 200
41 Implant Implant_HiE 200
33 Implant Implant_LoE 150
42 Implant Implant_LoE 150
69 Implant Implant_LoE 150
76 Implant Implant_LoE 150
91 Implant Implant_LoE 150
98 Implant Implant_LoE 150
7 Inspect_PLY Insp_PLY 200
13 Inspect_PLY Insp_PLY 200
29 Inspect_PLY Insp_PLY 200
38 Inspect_PLY Insp_PLY 200
53 Inspect_PLY Insp_PLY 200
60 Inspect_PLY Insp_PLY 200
67 Inspect_PLY Insp_PLY 200
74 Inspect_PLY Insp_PLY 200
89 Inspect_PLY Insp_PLY 200
96 Inspect_PLY Insp_PLY 200
120 Inspect_PLY Insp_PLY 200
124 Inspect_PLY Insp_PLY 200
133 Inspect_PLY Insp_PLY 200
138 Inspect_PLY Insp_PLY 200
144 Inspect_PLY Insp_PLY 200
150 Inspect_PLY Insp_PLY 200
153 Inspect_PLY Insp_PLY 200
156 Inspect_PLY Insp_PLY 200
161 Inspect_PLY Insp_PLY 200
166 Inspect_PLY Insp_PLY 200
171 Inspect_PLY Insp_PLY 200
177 Inspect_PLY Insp_PLY 200
183 Inspect_PLY Insp_PLY 200
186 Inspect_PLY Insp_PLY 200
189 Inspect_PLY Insp_PLY 200
194 Inspect_PLY Insp_PLY 200
199 Inspect_PLY Insp_PLY 200
204 Inspect_PLY Insp_PLY 200
210 Inspect_PLY Insp_PLY 200
216 Inspect_PLY Insp_PLY 200
219 Inspect_PLY Insp_PLY 200
222 Inspect_PLY Insp_PLY 200
227 Inspect_PLY Insp_PLY 200
232 Inspect_PLY Insp_PLY 200
236 Inspect_PLY Insp_PLY 200
241 Inspect_PLY Insp_PLY 200
247 Inspect_PLY Insp_PLY 200
250 Inspect_PLY Insp_PLY 200
253 Inspect_PLY Insp_PLY 200
258 Inspect_PLY Insp_PLY 200
263 Inspect_PLY Insp_PLY 200
267 Inspect_PLY Insp_PLY 200
272 Inspect_PLY Insp_PLY 200
57 Inspect_Visual Insp_Visual 200
109 Inspect_Visual Insp_Visual 200
51 Expose_Gate Litho_248 248C_Mask 25
122 Expose_Contact Litho_248 248_Mask 25
6 Expose_AA Litho_I I_Mask 30
136 Expose_Line Litho_I I_Mask 30
154 Expose_Via Litho_I I_Mask 30
169 Expose_Line Litho_I I_Mask 30
187 Expose_Via Litho_I I_Mask 30
202 Expose_Line Litho_I I_Mask 30
220 Expose_Via Litho_I I_Mask 30
27 Expose_Implant Litho_Iw Iw_Mask 40
36 Expose_Implant Litho_Iw Iw_Mask 40
65 Expose_Implant Litho_Iw Iw_Mask 40
72 Expose_Implant Litho_Iw Iw_Mask 40
87 Expose_Implant Litho_Iw Iw_Mask 40
94 Expose_Implant Litho_Iw Iw_Mask 40
234 Expose_Line Litho_Iw Iw_Mask 40
251 Expose_Via Litho_Iw Iw_Mask 40
265 Expose_Line Litho_Iw Iw_Mask 40
277 Expose_Pad Litho_Iw Iw_Mask 40
8 Meas_CD Meas_CD 200
14 Meas_CD Meas_CD 200
30 Meas_CD Meas_CD 200
39 Meas_CD Meas_CD 200
54 Meas_CD Meas_CD 200
61 Meas_CD Meas_CD 200
68 Meas_CD Meas_CD 200
75 Meas_CD Meas_CD 200
90 Meas_CD Meas_CD 200
97 Meas_CD Meas_CD 200
125 Meas_CD Meas_CD 200
129 Meas_CD Meas_CD 200
139 Meas_CD Meas_CD 200
143 Meas_CD Meas_CD 200
157 Meas_CD Meas_CD 200
160 Meas_CD Meas_CD 200
172 Meas_CD Meas_CD 200
176 Meas_CD Meas_CD 200
190 Meas_CD Meas_CD 200
193 Meas_CD Meas_CD 200
205 Meas_CD Meas_CD 200
209 Meas_CD Meas_CD 200
223 Meas_CD Meas_CD 200
226 Meas_CD Meas_CD 200
237 Meas_CD Meas_CD 200
240 Meas_CD Meas_CD 200
254 Meas_CD Meas_CD 200
257 Meas_CD Meas_CD 200
268 Meas_CD Meas_CD 200
271 Meas_CD Meas_CD 200
3 Meas_Film Meas_Film 200
5 Meas_Film Meas_Film 200
17 Meas_Film Meas_Film 200
19 Meas_Film Meas_Film 200
26 Meas_Film Meas_Film 200
48 Meas_Film Meas_Film 200
50 Meas_Film Meas_Film 200
56 Meas_Film Meas_Film 200
64 Meas_Film Meas_Film 200
81 Meas_Film Meas_Film 200
83 Meas_Film Meas_Film 200
86 Meas_Film Meas_Film 200
104 Meas_Film Meas_Film 200
114 Meas_Film Meas_Film 200
116 Meas_Film Meas_Film 200
119 Meas_Film Meas_Film 200
149 Meas_Film Meas_Film 200
152 Meas_Film Meas_Film 200
182 Meas_Film Meas_Film 200
185 Meas_Film Meas_Film 200
215 Meas_Film Meas_Film 200
218 Meas_Film Meas_Film 200
246 Meas_Film Meas_Film 200
249 Meas_Film Meas_Film 200
28 Meas_Overlay Meas_Overlay 200
37 Meas_Overlay Meas_Overlay 200
52 Meas_Overlay Meas_Overlay 200
66 Meas_Overlay Meas_Overlay 200
73 Meas_Overlay Meas_Overlay 200
88 Meas_Overlay Meas_Overlay 200
95 Meas_Overlay Meas_Overlay 200
123 Meas_Overlay Meas_Overlay 200
137 Meas_Overlay Meas_Overlay 200
155 Meas_Overlay Meas_Overlay 200
170 Meas_Overlay Meas_Overlay 200
188 Meas_Overlay Meas_Overlay 200
203 Meas_Overlay Meas_Overlay 200
221 Meas_Overlay Meas_Overlay 200
235 Meas_Overlay Meas_Overlay 200
252 Meas_Overlay Meas_Overlay 200
266 Meas_Overlay Meas_Overlay 200
278 Meas_Overlay Meas_Overlay 200
108 PVD_Ti/Co PVD_Met 60
134 PVD_Al/Cu PVD_Met(C) 40
167 PVD_Al/Cu PVD_Met(C) 40
200 PVD_Al/Cu PVD_Met(C) 40
233 PVD_Al/Cu PVD_Met(C) 40
264 PVD_Al/Cu PVD_Met(C) 40
110 RTP_Silicide RTP_OxAn(C) 50
112 RTP_Anneal RTP_OxAn(C) 50
146 Test Test 25
179 Test Test 25
212 Test Test 25
243 Test Test 25
274 Test Test 25
283 Test Test 25
11 Clean_O3 VP_HF_Clean 40
46 Clean_O3 VP_HF_Clean 150
107 Clean_O3 VP_HF_Clean 40
111 Wet_Strip Ti/Co Wet_Bench 125
128 Clean_Post_Strip Wet_Bench 125
142 Clean_Post_Strip Wet_Bench 125
147 Clean_Metal Wet_Bench 125
159 Clean_Post_Strip Wet_Bench 125
162 Clean_Metal Wet_Bench 125
175 Clean_Post_Strip Wet_Bench 125
180 Clean_Metal Wet_Bench 125
192 Clean_Post_Strip Wet_Bench 125
195 Clean_Metal Wet_Bench 125
208 Clean_Post_Strip Wet_Bench 125
213 Clean_Metal Wet_Bench 125
225 Clean_Post_Strip Wet_Bench 125
228 Clean_Metal Wet_Bench 125
239 Clean_Post_Strip Wet_Bench 125
244 Clean_Metal Wet_Bench 125
256 Clean_Post_Strip Wet_Bench 125
259 Clean_Metal Wet_Bench 125
270 Clean_Post_Strip Wet_Bench 125
275 Clean_Metal Wet_Bench 125
281 Clean_Post_Strip Wet_Bench 125
1 Clean_Pre_OxAn Wet_Bench(I) 125
12 Clean_Post_Strip Wet_Bench(I) 125
15 Clean_Pre_OxAn Wet_Bench(I) 125
22 Wet_Strip Wet_Bench(I) 125
24 Clean_Pre_OxAn Wet_Bench(I) 125
35 Clean_Post_Strip Wet_Bench(I) 125
44 Clean_Post_Strip Wet_Bench(I) 125
45 Wet_Strip Wet_Bench(I) 125
59 Clean_Post_Strip Wet_Bench(I) 125
62 Clean_Pre_OxAn Wet_Bench(I) 125
71 Clean_Post_Strip Wet_Bench(I) 125
78 Clean_Post_Strip Wet_Bench(I) 125
79 Clean_Pre_OxAn Wet_Bench(I) 125
84 Clean_Pre_OxAn Wet_Bench(I) 125
93 Clean_Post_Strip Wet_Bench(I) 125
100 Clean_Post_Strip Wet_Bench(I) 125
101 Clean_Pre_OxAn Wet_Bench(I) 125
106 Clean_Post_Strip Wet_Bench(I) 125
Indirect Material Direct material ($/pa Actual CT (hours) Mask cost/pas Mask cost/mo Indirect materi
2.0 1.98162037 0.00 49584.12
2.0 1.98162037 0.00 49467.45
2.0 1.98162037 0.00 49351.05
2.0 1.98162037 0.00 49234.93
2.0 1.98162037 0.00 49126.09
2.0 1.98162037 0.00 49928.66
2.0 1.98162037 0.00 49534.59
2.0 1.98162037 0.00 49418.03
2.0 1.98162037 0.00 49301.75
2.0 1.98162037 0.00 49185.74
2.0 1.98162037 0.00 49077.02
1.5 3.204055556 0.00 37201.37
1.5 3.204055556 0.00 37196.06
1.5 3.204055556 0.00 37180.13
1.5 3.204055556 0.00 37108.53
1.5 3.204055556 0.00 37021.22
1.5 3.204055556 0.00 36934.10
1.5 3.204055556 0.00 36852.46
1.5 3.204055556 0.00 36770.99
1.5 3.204055556 0.00 37454.52
1.0 3.204055556 0.00 24761.99
1.0 3.204055556 0.00 24703.73
1.0 3.204055556 0.00 24645.60
2.0 3.204055556 0.00 49541.66
2.0 3.204055556 0.00 49425.09
2.0 3.204055556 0.00 49308.79
2.0 3.204055556 0.00 49192.77
2.0 3.204055556 0.00 49084.02
2.0 3.204055556 0.00 49538.13
2.0 3.204055556 0.00 49421.56
2.0 3.204055556 0.00 49305.27
2.0 3.204055556 0.00 49189.26
2.0 3.204055556 0.00 49080.52
1.5 4.683229167 0.00 37478.59
1.5 4.683229167 0.00 37166.86
1.5 4.683229167 0.00 37129.73
1.5 4.683229167 0.00 37082.05
1.5 4.683229167 0.00 37042.36
1.5 4.683229167 0.00 36994.80
1.5 4.683229167 0.00 36955.20
1.5 4.683229167 0.00 36907.75
1.5 4.683229167 0.00 36826.16
1.5 4.683229167 0.00 36763.12
1.5 4.683229167 0.00 37355.72
1.5 4.683229167 0.00 37283.79
1.5 1.557967836 0.00 37227.93
1.5 4.189074074 0.00 37127.08
1.5 4.189074074 0.00 37039.72
1.5 4.189074074 0.00 36952.57
1.5 4.189074074 0.00 36870.88
1.5 4.189074074 0.00 36789.37
0.0 1.557967836 0.00 0.00
0.0 1.557967836 0.00 0.00
0.0 1.557967836 0.00 0.00
0.0 1.557967836 0.00 0.00
0.0 1.557967836 0.00 0.00
0.0 1.557967836 0.00 0.00
0.0 1.557967836 0.00 0.00
0.0 1.557967836 0.00 0.00
0.0 1.557967836 0.00 0.00
0.0 1.557967836 0.00 0.00
0.0 1.557967836 0.00 0.00
0.0 1.557967836 0.00 0.00
0.6 10.41560185 0.00 14998.93
0.6 10.41560185 0.00 14983.95
0.6 10.41560185 0.00 14979.67
0.6 10.41560185 0.00 14974.32
0.6 10.41560185 0.00 14950.82
0.6 10.41560185 0.00 14933.76
0.6 14.27951389 0.00 14996.79
0.5 3.174791667 0.00 12396.92
0.5 3.174791667 0.00 12372.16
0.5 3.174791667 0.00 12343.05
0.5 3.174791667 0.00 12314.01
0.5 3.174791667 0.00 12286.78
0.5 3.174791667 0.00 12259.62
0.5 3.174791667 0.00 12251.75
0.5 3.174791667 0.00 12425.27
0.5 3.174791667 0.00 12410.20
0.6 3.174791667 0.00 14948.69
0.6 3.174791667 0.00 14915.64
0.3 2.942098765 0.00 7483.95
0.3 2.942098765 0.00 7483.42
0.3 2.942098765 0.00 7479.15
0.3 2.942098765 0.00 7478.61
0.3 2.942098765 0.00 7482.89
0.3 2.942098765 0.00 7478.08
0.3 2.942098765 0.00 7463.68
0.3 2.942098765 0.00 7459.95
0.3 2.942098765 0.00 7451.97
0.3 2.942098765 0.00 7448.24
0.0 0.903689236 0.00 0.00
0.0 0.903689236 0.00 0.00
0.0 0.903689236 0.00 0.00
0.0 0.903689236 0.00 0.00
0.0 0.903689236 0.00 0.00
0.0 0.903689236 0.00 0.00
0.0 0.903689236 0.00 0.00
0.0 0.903689236 0.00 0.00
0.0 0.903689236 0.00 0.00
0.0 0.903689236 0.00 0.00
0.0 0.903689236 0.00 0.00
0.0 0.903689236 0.00 0.00
0.0 0.903689236 0.00 0.00
0.0 0.903689236 0.00 0.00
0.0 0.903689236 0.00 0.00
0.0 0.903689236 0.00 0.00
0.0 0.903689236 0.00 0.00
0.0 0.903689236 0.00 0.00
0.0 0.903689236 0.00 0.00
0.0 0.903689236 0.00 0.00
0.0 0.903689236 0.00 0.00
0.0 0.903689236 0.00 0.00
0.0 0.903689236 0.00 0.00
0.0 0.903689236 0.00 0.00
0.0 0.903689236 0.00 0.00
0.0 0.903689236 0.00 0.00
0.0 0.903689236 0.00 0.00
0.0 0.903689236 0.00 0.00
0.0 0.903689236 0.00 0.00
0.0 0.903689236 0.00 0.00
0.0 0.903689236 0.00 0.00
0.0 0.903689236 0.00 0.00
0.0 0.903689236 0.00 0.00
0.0 0.903689236 0.00 0.00
0.0 0.903689236 0.00 0.00
0.0 0.903689236 0.00 0.00
0.0 0.903689236 0.00 0.00
0.0 0.903689236 0.00 0.00
0.0 0.903689236 0.00 0.00
0.0 0.903689236 0.00 0.00
0.0 0.903689236 0.00 0.00
0.0 0.903689236 0.00 0.00
0.0 0.903689236 0.00 0.00
0.0 0.695802469 0.00 0.00
0.0 0.695802469 0.00 0.00
3.5 2.25 11.08736111 2.00 49821.85 87188.24
3.5 2.25 11.08736111 0.63 15490.61 86747.44
0.8 0.70 6.055173611 0.18 4373.44 19992.86
0.8 0.70 6.055173611 0.18 4333.04 19808.18
0.8 0.70 6.055173611 0.18 4327.47 19782.74
0.8 0.70 6.055173611 0.18 4322.84 19761.57
0.8 0.70 6.055173611 0.18 4317.29 19736.19
0.8 0.70 6.055173611 0.18 4312.67 19715.07
0.8 0.70 6.055173611 0.18 4307.13 19689.75
0.8 0.70 6.055173611 0.10 2495.36 19962.91
0.8 0.70 6.055173611 0.10 2493.76 19950.09
0.8 0.70 6.055173611 0.10 2488.60 19908.83
0.8 0.70 6.055173611 0.10 2487.36 19898.89
0.8 0.70 6.055173611 0.10 2484.70 19877.59
0.8 0.70 6.055173611 0.10 2483.46 19867.66
0.8 0.70 6.055173611 0.10 2458.76 19670.08
0.8 0.70 6.055173611 0.10 2455.78 19646.23
0.8 0.70 6.055173611 0.10 2453.33 19626.60
0.8 0.70 6.055173611 0.10 2451.22 19609.80
0.0 0.903689236 0.00 0.00
0.0 0.903689236 0.00 0.00
0.0 0.903689236 0.00 0.00
0.0 0.903689236 0.00 0.00
0.0 0.903689236 0.00 0.00
0.0 0.903689236 0.00 0.00
0.0 0.903689236 0.00 0.00
0.0 0.903689236 0.00 0.00
0.0 0.903689236 0.00 0.00
0.0 0.903689236 0.00 0.00
0.0 0.903689236 0.00 0.00
0.0 0.903689236 0.00 0.00
0.0 0.903689236 0.00 0.00
0.0 0.903689236 0.00 0.00
0.0 0.903689236 0.00 0.00
0.0 0.903689236 0.00 0.00
0.0 0.903689236 0.00 0.00
0.0 0.903689236 0.00 0.00
0.0 0.903689236 0.00 0.00
0.0 0.903689236 0.00 0.00
0.0 0.903689236 0.00 0.00
0.0 0.903689236 0.00 0.00
0.0 0.903689236 0.00 0.00
0.0 0.903689236 0.00 0.00
0.0 0.903689236 0.00 0.00
0.0 0.903689236 0.00 0.00
0.0 0.903689236 0.00 0.00
0.0 0.903689236 0.00 0.00
0.0 0.903689236 0.00 0.00
0.0 0.903689236 0.00 0.00
0.0 0.483333333 0.00 0.00
0.0 0.483333333 0.00 0.00
0.0 0.483333333 0.00 0.00
0.0 0.483333333 0.00 0.00
0.0 0.483333333 0.00 0.00
0.0 0.483333333 0.00 0.00
0.0 0.483333333 0.00 0.00
0.0 0.483333333 0.00 0.00
0.0 0.483333333 0.00 0.00
0.0 0.483333333 0.00 0.00
0.0 0.483333333 0.00 0.00
0.0 0.483333333 0.00 0.00
0.0 0.483333333 0.00 0.00
0.0 0.483333333 0.00 0.00
0.0 0.483333333 0.00 0.00
0.0 0.483333333 0.00 0.00
0.0 0.483333333 0.00 0.00
0.0 0.483333333 0.00 0.00
0.0 0.483333333 0.00 0.00
0.0 0.483333333 0.00 0.00
0.0 0.483333333 0.00 0.00
0.0 0.483333333 0.00 0.00
0.0 0.483333333 0.00 0.00
0.0 0.483333333 0.00 0.00
0.0 1.089259259 0.00 0.00
0.0 1.089259259 0.00 0.00
0.0 1.089259259 0.00 0.00
0.0 1.089259259 0.00 0.00
0.0 1.089259259 0.00 0.00
0.0 1.089259259 0.00 0.00
0.0 1.089259259 0.00 0.00
0.0 1.089259259 0.00 0.00
0.0 1.089259259 0.00 0.00
0.0 1.089259259 0.00 0.00
0.0 1.089259259 0.00 0.00
0.0 1.089259259 0.00 0.00
0.0 1.089259259 0.00 0.00
0.0 1.089259259 0.00 0.00
0.0 1.089259259 0.00 0.00
0.0 1.089259259 0.00 0.00
0.0 1.089259259 0.00 0.00
0.0 1.089259259 0.00 0.00
1.5 3.204055556 0.00 37214.65
1.5 3.204055556 0.00 37145.64
1.5 3.204055556 0.00 37058.23
1.5 3.204055556 0.00 36971.04
1.5 3.204055556 0.00 36884.04
1.5 3.204055556 0.00 36802.51
0.5 3.204055556 0.00 12403.11
0.5 3.204055556 0.00 12401.34
0.0 1.001944444 0.00 0.00
0.0 1.001944444 0.00 0.00
0.0 1.001944444 0.00 0.00
0.0 1.001944444 0.00 0.00
0.0 1.001944444 0.00 0.00
0.0 1.001944444 0.00 0.00
0.3 1.283395062 0.00 7494.65
0.3 1.283395062 0.00 7475.95
0.3 1.283395062 0.00 7443.46
0.5 1.393263889 0.00 12402.23
0.5 1.393263889 0.00 12387.18
0.5 1.393263889 0.00 12374.81
0.5 1.393263889 0.00 12370.39
0.5 1.393263889 0.00 12359.80
0.5 1.393263889 0.00 12357.15
0.5 1.393263889 0.00 12345.69
0.5 1.393263889 0.00 12341.29
0.5 1.393263889 0.00 12330.72
0.5 1.393263889 0.00 12328.08
0.5 1.393263889 0.00 12316.64
0.5 1.393263889 0.00 12312.25
0.5 1.393263889 0.00 12301.70
0.5 1.393263889 0.00 12299.07
0.5 1.393263889 0.00 12289.42
0.5 1.393263889 0.00 12285.03
0.5 1.393263889 0.00 12274.51
0.5 1.393263889 0.00 12271.88
0.5 1.393263889 0.00 12262.25
0.5 1.393263889 0.00 12257.87
0.5 1.393263889 0.00 12252.62
0.5 1.393263889 0.00 12500.00
0.5 1.393263889 0.00 12490.19
0.5 1.393263889 0.00 12487.51
0.5 1.393263889 0.00 12481.27
0.5 1.393263889 0.00 12479.49
0.5 1.393263889 0.00 12469.70
0.5 1.393263889 0.00 12461.69
0.5 1.393263889 0.00 12460.80
0.5 1.393263889 0.00 12448.35
0.5 1.393263889 0.00 12445.69
0.5 1.393263889 0.00 12437.69
0.5 1.393263889 0.00 12431.48
0.5 1.393263889 0.00 12430.59
0.5 1.393263889 0.00 12426.15
0.5 1.393263889 0.00 12418.17
0.5 1.393263889 0.00 12411.97
0.5 1.393263889 0.00 12411.08
0.5 1.393263889 0.00 12406.65
Direct materia 1-CEE #tools Twafer
0.00 0.77 2.50 0.02
0.00 0.77 4.98 0.03
0.00 0.77 4.97 0.03
0.00 0.77 4.96 0.03
0.00 0.77 4.94 0.03
0.00 0.77 2.51 0.02
0.00 0.77 2.49 0.02
0.00 0.77 2.49 0.02
0.00 0.77 2.48 0.02
0.00 0.77 2.48 0.02
0.00 0.77 2.47 0.02
0.00 0.36 1.08 0.02
0.00 0.36 1.35 0.03
0.00 0.36 1.08 0.02
0.00 0.36 1.79 0.03
0.00 0.36 1.79 0.03
0.00 0.36 1.78 0.03
0.00 0.36 1.78 0.03
0.00 0.36 2.13 0.04
0.00 0.36 1.08 0.02
0.00 0.36 0.54 0.01
0.00 0.36 0.54 0.01
0.00 0.36 0.53 0.01
0.00 0.52 1.19 0.02
0.00 0.52 1.19 0.02
0.00 0.52 1.19 0.02
0.00 0.52 1.19 0.02
0.00 0.52 1.18 0.02
0.00 0.52 1.02 0.01
0.00 0.52 1.02 0.01
0.00 0.52 1.02 0.01
0.00 0.52 1.02 0.01
0.00 0.52 1.01 0.01
0.00 0.41 2.35 0.04
0.00 0.47 2.60 0.04
0.00 0.47 1.08 0.02
0.00 0.47 2.16 0.03
0.00 0.47 1.08 0.02
0.00 0.47 2.15 0.03
0.00 0.47 1.08 0.02
0.00 0.47 2.15 0.03
0.00 0.47 2.14 0.03
0.00 0.47 1.61 0.03
0.00 0.47 2.61 0.04
0.00 0.47 2.17 0.03
0.00 0.47 2.17 0.03
0.00 0.41 1.94 0.03
0.00 0.41 1.94 0.03
0.00 0.41 1.93 0.03
0.00 0.41 1.93 0.03
0.00 0.41 1.92 0.03
0.00 0.35 1.78 0.03
0.00 0.35 0.66 0.01
0.00 0.35 0.66 0.01
0.00 0.35 0.65 0.01
0.00 0.35 0.67 0.01
0.00 0.35 0.67 0.01
0.00 0.35 0.67 0.01
0.00 0.35 0.67 0.01
0.00 0.35 0.66 0.01
0.00 0.35 0.66 0.01
0.00 0.35 0.66 0.01
0.00 0.35 0.66 0.01
0.00 0.5 1.39 0.02
0.00 0.5 1.39 0.02
0.00 0.5 1.39 0.02
0.00 0.5 1.39 0.02
0.00 0.5 1.38 0.02
0.00 0.5 1.38 0.02
0.00 0.5 2.31 0.03
0.00 0.5 1.38 0.02
0.00 0.5 1.96 0.03
0.00 0.5 1.96 0.03
0.00 0.5 1.95 0.03
0.00 0.5 1.95 0.03
0.00 0.5 1.95 0.03
0.00 0.5 1.94 0.03
0.00 0.5 1.97 0.03
0.00 0.5 1.97 0.03
0.00 0.5 2.31 0.03
0.00 0.5 2.30 0.03
0.00 0.63 0.47 0.01
0.00 0.63 0.47 0.01
0.00 0.63 0.47 0.01
0.00 0.63 0.47 0.01
0.00 0.58 0.55 0.01
0.00 0.58 0.55 0.01
0.00 0.58 0.55 0.01
0.00 0.58 0.55 0.01
0.00 0.58 0.55 0.01
0.00 0.58 0.55 0.01
0.00 0.7 0.58 0.01
0.00 0.7 0.58 0.01
0.00 0.7 0.58 0.01
0.00 0.7 0.58 0.01
0.00 0.7 0.58 0.01
0.00 0.7 0.58 0.01
0.00 0.7 0.58 0.01
0.00 0.7 0.58 0.01
0.00 0.7 0.58 0.01
0.00 0.7 0.57 0.01
0.00 0.7 0.57 0.01
0.00 0.7 0.57 0.01
0.00 0.7 0.57 0.01
0.00 0.7 0.57 0.01
0.00 0.7 0.57 0.01
0.00 0.7 0.57 0.01
0.00 0.7 0.57 0.01
0.00 0.7 0.57 0.01
0.00 0.7 0.57 0.01
0.00 0.7 0.57 0.01
0.00 0.7 0.57 0.01
0.00 0.7 0.57 0.01
0.00 0.7 0.57 0.01
0.00 0.7 0.57 0.01
0.00 0.7 0.57 0.01
0.00 0.7 0.57 0.01
0.00 0.7 0.57 0.01
0.00 0.7 0.57 0.01
0.00 0.7 0.57 0.01
0.00 0.7 0.57 0.01
0.00 0.7 0.57 0.01
0.00 0.7 0.57 0.01
0.00 0.7 0.57 0.01
0.00 0.7 0.57 0.01
0.00 0.7 0.57 0.01
0.00 0.7 0.57 0.01
0.00 0.7 0.57 0.01
0.00 0.7 0.57 0.01
0.00 0.7 0.57 0.01
0.00 0.7 0.57 0.01
0.00 0.7 0.57 0.01
0.00 0.7 0.57 0.01
0.00 0.7 0.57 0.01
0.00 0.65 0.49 0.01
0.00 0.65 0.49 0.01
56049.58 0.2 1.73 0.04
55766.21 0.2 1.72 0.04
17493.75 0.2 1.45 0.03
17332.16 0.2 1.43 0.03
17309.90 0.2 1.43 0.03
17291.37 0.2 1.43 0.03
17269.17 0.2 1.43 0.03
17250.69 0.2 1.43 0.03
17228.53 0.2 1.42 0.03
17467.55 0.2 1.08 0.03
17456.33 0.2 1.08 0.03
17420.23 0.2 1.08 0.03
17411.53 0.2 1.08 0.03
17392.89 0.2 1.08 0.03
17384.20 0.2 1.08 0.03
17211.32 0.2 1.07 0.03
17190.45 0.2 1.07 0.03
17173.28 0.2 1.06 0.03
17158.57 0.2 1.06 0.03
0.00 0.65 0.50 0.01
0.00 0.65 0.50 0.01
0.00 0.65 0.50 0.01
0.00 0.65 0.49 0.01
0.00 0.65 0.49 0.01
0.00 0.65 0.49 0.01
0.00 0.65 0.49 0.01
0.00 0.65 0.49 0.01
0.00 0.65 0.49 0.01
0.00 0.65 0.49 0.01
0.00 0.65 0.49 0.01
0.00 0.65 0.49 0.01
0.00 0.65 0.49 0.01
0.00 0.65 0.49 0.01
0.00 0.65 0.49 0.01
0.00 0.65 0.49 0.01
0.00 0.65 0.49 0.01
0.00 0.65 0.49 0.01
0.00 0.65 0.49 0.01
0.00 0.65 0.49 0.01
0.00 0.65 0.49 0.01
0.00 0.65 0.49 0.01
0.00 0.65 0.49 0.01
0.00 0.65 0.49 0.01
0.00 0.65 0.49 0.01
0.00 0.65 0.49 0.01
0.00 0.65 0.49 0.01
0.00 0.65 0.49 0.01
0.00 0.65 0.49 0.01
0.00 0.65 0.49 0.01
0.00 0.65 0.50 0.01
0.00 0.65 0.50 0.01
0.00 0.65 0.50 0.01
0.00 0.65 0.50 0.01
0.00 0.65 0.50 0.01
0.00 0.65 0.49 0.01
0.00 0.65 0.49 0.01
0.00 0.65 0.49 0.01
0.00 0.65 0.49 0.01
0.00 0.65 0.49 0.01
0.00 0.65 0.49 0.01
0.00 0.65 0.49 0.01
0.00 0.65 0.49 0.01
0.00 0.65 0.49 0.01
0.00 0.65 0.49 0.01
0.00 0.65 0.49 0.01
0.00 0.65 0.49 0.01
0.00 0.65 0.49 0.01
0.00 0.65 0.49 0.01
0.00 0.65 0.49 0.01
0.00 0.65 0.49 0.01
0.00 0.65 0.49 0.01
0.00 0.65 0.49 0.01
0.00 0.65 0.49 0.01
0.00 0.7 0.58 0.01
0.00 0.7 0.58 0.01
0.00 0.7 0.58 0.01
0.00 0.7 0.58 0.01
0.00 0.7 0.58 0.01
0.00 0.7 0.58 0.01
0.00 0.7 0.57 0.01
0.00 0.7 0.57 0.01
0.00 0.7 0.57 0.01
0.00 0.7 0.57 0.01
0.00 0.7 0.57 0.01
0.00 0.7 0.57 0.01
0.00 0.7 0.57 0.01
0.00 0.7 0.57 0.01
0.00 0.7 0.57 0.01
0.00 0.7 0.57 0.01
0.00 0.7 0.57 0.01
0.00 0.7 0.57 0.01
0.00 0.49 1.13 0.02
0.00 0.49 1.69 0.03
0.00 0.49 1.68 0.03
0.00 0.49 1.68 0.03
0.00 0.49 1.67 0.03
0.00 0.49 1.67 0.03
0.00 0.43 1.21 0.02
0.00 0.43 1.21 0.02
0.00 0.61 3.52 0.04
0.00 0.61 3.52 0.04
0.00 0.61 3.51 0.04
0.00 0.61 3.50 0.04
0.00 0.61 3.49 0.04
0.00 0.61 3.49 0.04
0.00 0.55 1.93 0.03
0.00 0.55 0.51 0.01
0.00 0.55 1.91 0.03
0.00 0.46 0.51 0.01
0.00 0.46 0.51 0.01
0.00 0.46 0.51 0.01
0.00 0.46 0.51 0.01
0.00 0.46 0.51 0.01
0.00 0.46 0.51 0.01
0.00 0.46 0.51 0.01
0.00 0.46 0.51 0.01
0.00 0.46 0.51 0.01
0.00 0.46 0.51 0.01
0.00 0.46 0.51 0.01
0.00 0.46 0.51 0.01
0.00 0.46 0.51 0.01
0.00 0.46 0.51 0.01
0.00 0.46 0.51 0.01
0.00 0.46 0.51 0.01
0.00 0.46 0.51 0.01
0.00 0.46 0.51 0.01
0.00 0.46 0.50 0.01
0.00 0.46 0.50 0.01
0.00 0.46 0.50 0.01
0.00 0.46 0.51 0.01
0.00 0.46 0.51 0.01
0.00 0.46 0.51 0.01
0.00 0.46 0.51 0.01
0.00 0.46 0.51 0.01
0.00 0.46 0.51 0.01
0.00 0.46 0.51 0.01
0.00 0.46 0.51 0.01
0.00 0.46 0.51 0.01
0.00 0.46 0.51 0.01
0.00 0.46 0.51 0.01
0.00 0.46 0.51 0.01
0.00 0.46 0.51 0.01
0.00 0.46 0.51 0.01
0.00 0.46 0.51 0.01
0.00 0.46 0.51 0.01
0.00 0.46 0.51 0.01
0.00 0.46 0.51 0.01
Tool_type Batch Size Handling T tool type Availability OEE #steps #tool
CMP_Ins 25 5 0 0.742 0.1912968 1 2.495175
CMP_Ins(C) 25 5 0 0.742 0.228217 4 19.84496
CMP_Ins(I) 25 5 0 0.742 0.192626 1 2.512513
CMP_Met 25 5 0 0.742 0.2194775 5 12.40525
CVD_Ins 25 5 0 0.875 0.5596137 3 3.497586
CVD_Ins(C) 25 5 0 0.875 0.5927207 5 9.261261
CVD_Ins(I) 25 5 0 0.875 0.3468011 1 1.083753
CVD_Ins_Thin 25 5 0 0.875 0.5146619 3 1.608318
CVD_Met 25 5 0 0.875 0.2866994 1 1.194581
CVD_Met(C) 25 5 0 0.875 0.4560432 4 4.75045
CVD_MetW 25 5 0 0.875 0.2457248 1 1.023853
CVD_MetW(C) 25 5 0 0.875 0.3908663 4 4.071524
Dry_Etch 25 5 0 0.85 0.4626986 1 2.352705
Dry_Etch(A) 25 5 0 0.85 0.4588501 1 2.597265
Dry_Etch(C) 25 5 0 0.85 0.5091419 8 13.44903
Dry_Etch(I) 25 5 0 0.85 0.5261842 3 6.949603
Dry_Etch_Met 25 5 0 0.85 0.5703075 5 9.666228
Dry_Strip 25 5 0 0.85 0.5777955 1 1.777832
Dry_Strip(D) 25 5 0 0.85 0.6432137 3 1.979119
Dry_Strip(I) 25 5 0 0.85 0.5762572 8 5.319297
Furn_FastRmp 150 5 0 0.893 0.4620445 6 8.316801
Furn_Nitr 150 5 0 0.893 0.3857199 1 2.314319
Furn_OxAn 25 5 0 0.893 0.4677166 7 13.09607
Furn_OxAn(I) 25 5 0 0.893 0.4927672 2 3.942137
Furn_Poly 150 5 0 0.893 0.3844827 1 2.306896
Furn_TEOS 150 5 0 0.893 0.3836328 1 2.301797
Implant_HiE 150 5 0 0.8 0.3463558 4 1.872193
Implant_LoE 150 5 0 0.895 0.3455618 6 3.291065
Insp_PLY 25 5 0 0.95 0.2951436 43 24.5953
Insp_Visual 25 5 0 0.95 0.3451962 2 0.986275
Litho_248 25 45 1 0.9 0.6902209 2 3.451105
Litho_I 25 45 1 0.9 0.7285689 7 10.01782
Litho_Iw 25 45 1 0.9 0.7813237 10 10.7432
Meas_CD 25 5 0 0.95 0.3435459 30 14.7234
Meas_Film 25 5 0 0.95 0.3445902 24 11.81452
Meas_Overlay 25 5 0 0.95 0.2811265 18 10.30797
PVD_Met 25 5 0 0.854 0.2871501 1 1.126079
PVD_Met(C) 25 5 0 0.854 0.4754667 5 8.390589
RTP_OxAn(C) 25 5 0 0.854 0.4593417 2 2.417588
Test 25 5 0 0.95 0.3728389 6 21.03194
VP_HF_Clean 25 5 0 0.9 0.3919374 3 4.35486
Wet_Bench 25 5 0 0.9 0.5226679 21 10.64694
Wet_Bench(I) 25 5 0 0.9 0.4979966 18 9.22216
#fraction SVT VAR Tsvc Ce2 utilization CTq CT Data CT Calculated
3 0.016667 #DIV/0! 0.561545 0.1918893 0.2104264 0.4322121888 1.98162 1.193049
20 0.033333 0 1.123091 0.1459446 0.2510387 0.8334613576 1.98162 2.300629
3 0.016667 #DIV/0! 0.561545 0.1918893 0.2118886 0.4324536886 1.98162 1.193715
13 0.016667 5.42E-20 0.561545 0.1918893 0.2414253 0.4169749533 1.98162 1.150989
4 0.021667 8.33E-06 0.619048 0.1581361 0.6155751 0.6829698235 3.204056 1.885223
10 0.034667 8.89E-06 0.990476 0.1326368 0.6519928 0.9409834381 3.204056 2.597426
2 0.02 #DIV/0! 0.571429 0.14375 0.3814812 0.586965253 3.204056 1.620218
2 0.01 2.71E-20 0.285714 0.1875 0.5661281 0.3778340022 3.204056 1.042947
2 0.016667 #DIV/0! 0.47619 0.1525 0.3153694 0.4655398939 3.204056 1.285044
5 0.016667 0 0.47619 0.1525 0.5016476 0.4493224936 3.204056 1.240279
2 0.014286 #DIV/0! 0.408163 0.16125 0.2702973 0.3883809828 3.204056 1.07206
5 0.014286 0 0.408163 0.16125 0.4299529 0.3733226013 3.204056 1.030494
3 0.04 #DIV/0! 1.176471 0.1255 0.5089685 1.2153564131 4.683229 3.354786
3 0.04 #DIV/0! 1.176471 0.1255 0.5047351 1.2094920242 4.683229 3.338598
14 0.026042 6.82E-05 0.765931 0.2397394 0.560056 0.6663966755 4.683229 1.839475
7 0.035556 1.48E-05 1.045752 0.1404063 0.5788026 0.9731890341 3.641475 2.686324
10 0.033333 2.17E-19 0.980392 0.1306 0.6273382 0.9022973924 4.189074 2.490639
2 0.033333 #DIV/0! 0.980392 0.1306 0.6355751 1.5540946622 1.557968 4.289815
2 0.0125 0 0.367647 0.1816 0.707535 0.8091725255 1.557968 2.233584
6 0.0125 6.2E-20 0.367647 0.1816 0.6338829 0.3761994577 1.557968 1.038435
9 0.02 0 3.359462 0.1063701 0.5082489 3.0677138127 10.4156 8.467905
3 0.033333 #DIV/0! 5.599104 0.103822 0.4242918 5.5033752096 14.27951 15.19114
14 0.027347 1.05E-05 0.765592 0.1419865 0.5144883 0.6899120299 3.174792 1.904385
4 0.028571 0 0.799872 0.1267543 0.5420439 0.8116814071 3.174792 2.240509
3 0.033333 #DIV/0! 5.599104 0.103822 0.422931 5.4989784779 3.174792 15.179
3 0.033333 #DIV/0! 5.599104 0.103822 0.4219961 5.4959770728 3.174792 15.17071
2 0.005 0 0.9375 0.1426667 0.3809913 0.9244651336 2.942099 2.55183
4 0.006667 1.08E-20 1.117318 0.118795 0.380118 1.0426375085 2.942099 2.878024
25 0.005 0 0.131579 0.176 0.3246579 0.1250059705 0.903689 0.345058
1 0.005 0 0.131579 0.176 0.3797158 0.1765146885 0.695802 0.487239
4 0.04 0 1.111111 0.118 0.759243 4.3502727816 11.08736 12.00819
11 0.033333 2.89E-19 0.925926 0.1216 0.8014258 5.0550017351 6.055174 13.95348
11 0.025 3.85E-19 0.694444 0.1288 0.8406619 6.1777985087 6.055174 17.05277
15 0.005 0 0.131579 0.176 0.3779005 0.1251170498 0.903689 0.345364
12 0.005 0 0.131579 0.176 0.3790492 0.1252482468 0.483333 0.345727
11 0.005 3.19E-21 0.131579 0.176 0.3092391 0.1251311361 1.089259 0.345403
2 0.016667 #DIV/0! 0.4879 0.1598483 0.3158651 0.4697701 3.204056 1.296721
9 0.025 1.08E-19 0.73185 0.1398989 0.5230134 0.6467916036 3.204056 1.785359
3 0.02 0 0.58548 0.1498736 0.5052759 0.6052530713 3.204056 1.670699
22 0.04 0 1.052632 0.1095 0.4101228 1.0003630191 1.001944 2.761333
5 0.018889 0.000112 0.524691 0.4521315 0.4311311 0.4960724412 1.283395 1.369324
11 0.008 0 0.222222 0.19 0.5749347 0.2057989389 1.393264 0.568073
10 0.008 0 0.222222 0.19 0.5477963 0.2054077046 1.393264 0.566993

Sum (hr) Sum (hr) factor


233.41891617 644.3134 2.760331
CT Calculated
partial cost total Equipment Cost per wafer
Cost per type of tool
NOTE: 30 working days per month and 360 working days Fractional Integer
per year are fixed in this spreadsheet. #tools #tools tool deprecmaint(frac) tool deprec
Tool Type fractional Round Up $mm $mm $mm
CMP_Ins 2.50 3 1.35 0.349 1.62
CMP_Ins(C) 19.84 20 10.72 2.778 10.80
CMP_Ins(I) 2.51 3 1.36 0.352 1.62
CMP_Met 12.41 13 6.70 1.737 7.02
CVD_Ins 3.50 4 2.83 0.734 3.24
CVD_Ins(C) 9.26 10 7.50 1.945 8.10
CVD_Ins(I) 1.08 2 0.88 0.228 1.62
CVD_Ins_Thin 1.61 2 0.87 0.225 1.08
CVD_Met 1.19 2 1.13 0.293 1.89
CVD_Met(C) 4.75 5 4.49 1.164 4.73
CVD_MetW 1.02 2 0.97 0.251 1.89
CVD_MetW(C) 4.07 5 3.85 0.998 4.73
Dry_Etch 2.35 3 1.59 0.412 2.03
Dry_Etch(A) 2.60 3 1.75 0.455 2.03
Dry_Etch(C) 13.45 14 9.08 2.354 9.45
Dry_Etch(I) 6.95 7 4.69 1.216 4.73
Dry_Etch_Met 9.67 10 6.52 1.692 6.75
Dry_Strip 1.78 2 0.48 0.124 0.54
Dry_Strip(D) 1.98 2 0.53 0.139 0.54
Dry_Strip(I) 5.32 6 1.44 0.372 1.62
Furn_FastRmp 8.32 9 2.25 0.582 2.43
Furn_Nitr 2.31 3 0.62 0.162 0.81
Furn_OxAn 13.10 14 3.54 0.917 3.78
Furn_OxAn(I) 3.94 4 1.06 0.276 1.08
Furn_Poly 2.31 3 0.62 0.161 0.81
Furn_TEOS 2.30 3 0.62 0.161 0.81
Implant_HiE 1.87 2 1.52 0.393 1.62
Implant_LoE 3.29 4 2.67 0.691 3.24
Insp_PLY 24.60 25 19.92 5.165 20.25
Insp_Visual 0.99 1 0.13 0.035 0.14
Litho_248 3.45 4 8.39 2.174 9.72
Litho_I 10.02 11 16.23 4.207 17.82
Litho_Iw 10.74 11 14.50 3.760 14.85
Meas_CD 14.72 15 5.96 1.546 6.08
Meas_Film 11.81 12 2.23 0.579 2.27
Meas_Overlay 10.31 11 2.78 0.722 2.97
PVD_Met 1.13 2 1.22 0.315 2.16
PVD_Met(C) 8.39 9 9.06 2.349 9.72
RTP_OxAn(C) 2.42 3 0.98 0.254 1.22
Test 21.03 22 5.68 1.472 5.94
VP_HF_Clean 4.35 5 0.94 0.244 1.08
Wet_Bench 10.65 11 5.75 1.491 5.94
Wet_Bench(I) 9.22 10 4.98 1.291 5.40

Total 289.11 312 180.37 46.76 196.13

Equipment Cost per Wafer


Fractional Tools Integer Tools
tool deprectool maint tool deprec
$/wafer 601.25 155.88 653.76
Personnel Coefficients Level of Automation (0,0) (1,1) (1.5,1.5) (2,2)
Personnel type Engineer Technician Engineer Technician Engineer Technician Engineer
Fixed 30 100 32 108 33 120 35
mainte(integ) Per Wafer Start/Month 0.00175 0.00065 0.00185 0.0007 0.0019 0.0009 0.00195
$mm Per Tool Type:
0.42 CMP_Ins 0.019793 0.30161 0.022267 0.29623 0.022267 0.29084 0.0247417
2.8 CMP_Ins(C) 0.019793 0.30161 0.022267 0.29623 0.022267 0.29084 0.0247417
0.42 CMP_Ins(I) 0.019793 0.30161 0.022267 0.29623 0.022267 0.29084 0.0247417
1.82 CMP_Met 0.019793 0.30161 0.022267 0.29623 0.022267 0.29084 0.0247417
0.84 CVD_Ins 0.019793 0.18097 0.022267 0.17774 0.022267 0.17450 0.0247417
2.1 CVD_Ins(C) 0.019793 0.18097 0.022267 0.17774 0.022267 0.17450 0.0247417
0.42 CVD_Ins(I) 0.019793 0.18097 0.022267 0.17774 0.022267 0.17450 0.0247417
0.28 CVD_Ins_Thin 0.019793 0.30161 0.022267 0.29623 0.022267 0.29084 0.0247417
0.49 CVD_Met 0.019793 0.24129 0.022267 0.23698 0.022267 0.23267 0.0247417
1.225 CVD_Met(C) 0.019793 0.24129 0.022267 0.23698 0.022267 0.23267 0.0247417
0.49 CVD_MetW 0.019793 0.24129 0.022267 0.23698 0.022267 0.23267 0.0247417
1.225 CVD_MetW(C) 0.019793 0.24129 0.022267 0.23698 0.022267 0.23267 0.0247417
0.525 Dry_Etch 0.0395859 0.18097 0.044534 0.17774 0.044534 0.17450 0.0494834
0.525 Dry_Etch(A) 0.0395859 0.18097 0.044534 0.17774 0.044534 0.17450 0.0494834
2.45 Dry_Etch(C) 0.0395859 0.18097 0.044534 0.17774 0.044534 0.17450 0.0494834
1.225 Dry_Etch(I) 0.0395859 0.18097 0.044534 0.17774 0.044534 0.17450 0.0494834
1.75 Dry_Etch_Met 0.0395859 0.18097 0.044534 0.17774 0.044534 0.17450 0.0494834
0.14 Dry_Strip 0.019793 0.12065 0.022267 0.11849 0.022267 0.11634 0.0247417
0.14 Dry_Strip(D) 0.019793 0.12065 0.022267 0.11849 0.022267 0.11634 0.0247417
0.42 Dry_Strip(I) 0.019793 0.12065 0.022267 0.11849 0.022267 0.11634 0.0247417
0.63 Furn_FastRmp 0.019793 0.12065 0.022267 0.11849 0.022267 0.11634 0.0247417
0.21 Furn_Nitr 0.019793 0.12065 0.022267 0.11849 0.022267 0.11634 0.0247417
0.98 Furn_OxAn 0.019793 0.12065 0.022267 0.11849 0.022267 0.11634 0.0247417
0.28 Furn_OxAn(I) 0.019793 0.12065 0.022267 0.11849 0.022267 0.11634 0.0247417
0.21 Furn_Poly 0.019793 0.12065 0.022267 0.11849 0.022267 0.11634 0.0247417
0.21 Furn_TEOS 0.019793 0.12065 0.022267 0.11849 0.022267 0.11634 0.0247417
0.42 Implant_HiE 0.0791719 0.30161 0.0890681 0.29623 0.0890681 0.29084 0.0989668
0.84 Implant_LoE 0.0791719 0.36194 0.0890681 0.35547 0.0890681 0.34901 0.0989668
5.25 Insp_PLY 0.0395859 0.30161 0.044534 0.29623 0.044534 0.29084 0.0494834
0.035 Insp_Visual 0.0395859 0.60323 0.044534 0.59245 0.044534 0.58168 0.0494834
2.52 Litho_248 0.0395859 0.30161 0.044534 0.29623 0.044534 0.29084 0.0494834
4.62 Litho_I 0.0395859 0.30161 0.044534 0.29623 0.044534 0.29084 0.0494834
3.85 Litho_Iw 0.0395859 0.30161 0.044534 0.29623 0.044534 0.29084 0.0494834
1.575 Meas_CD 0.0395859 0.18097 0.044534 0.17774 0.044534 0.17450 0.0494834
0.588 Meas_Film 0.0395859 0.18097 0.044534 0.17774 0.044534 0.17450 0.0494834
0.77 Meas_Overlay 0.0395859 0.18097 0.044534 0.17774 0.044534 0.17450 0.0494834
0.56 PVD_Met 0.019793 0.30161 0.022267 0.29623 0.022267 0.29084 0.0247417
2.52 PVD_Met(C) 0.019793 0.30161 0.022267 0.29623 0.022267 0.29084 0.0247417
0.315 RTP_OxAn(C) 0.019793 0.12065 0.022267 0.11849 0.022267 0.11634 0.0247417
1.54 Test 0.0989649 0.12065 0.1113351 0.11849 0.1113351 0.11634 0.1237085
0.28 VP_HF_Clean 0.0395859 0.18097 0.044534 0.17774 0.044534 0.17450 0.0494834
1.54 Wet_Bench 0.0395859 0.18097 0.044534 0.17774 0.044534 0.17450 0.0494834
1.4 Wet_Bench(I) 0.0395859 0.18097 0.044534 0.17774 0.044534 0.17450 0.0494834

50.85

Integer Tools
tool maintenance
169.49

Personnel Type
Level (0,0) Operator Supervisor
Fixed 273 16
Per wafer s 0.0095 0.00057
Total Numb 510.5 30.25

Level (1,1) Operator Supervisor


Fixed 220 13
Per wafer s 0.0076 0.00046
Total Numb 410 24.5

Level (1.5, Operator Supervisor


Fixed 211 13
Per wafer s 0.0062 0.00037
Total Numb 366 22.25

Level (2,2) Operator Supervisor


Fixed 200 12
Per wafer s 0.0034 0.0002
Total Numb 285 17
Number of Personnel (fractional tools) (0,0) (1,1) (1.5,1.5) (2,2)
Technicians Personnel type Engineer Technician Engineer Technicians Engineer Technician Engineer
128 Fixed 30 100 32 108 33 120 35
0.00105 Per Wafer Start/Month 43.75 16.25 46.25 17.5 47.5 22.5 48.75
Per Tool Type:
0.25314 CMP_Ins 0.049387 0.75258 0.05556 0.73913784 0.05556 0.725699 0.061735
0.25314 CMP_Ins(C) 0.392791 5.985517 0.441888 5.87860943 0.441888 5.77173 0.490998
0.25314 CMP_Ins(I) 0.04973 0.757809 0.055946 0.74427384 0.055946 0.730742 0.062164
0.25314 CMP_Met 0.245537 3.741597 0.276228 3.67476805 0.276228 3.607957 0.306927
0.15188 CVD_Ins 0.069228 0.632952 0.077881 0.62164725 0.077881 0.610345 0.086536
0.15188 CVD_Ins(C) 0.183308 1.675995 0.206221 1.64606037 0.206221 1.616133 0.229139
0.15188 CVD_Ins(I) 0.021451 0.196125 0.024132 0.1926221 0.024132 0.18912 0.026814
0.25314 CVD_Ins_Thin 0.031833 0.485091 0.035812 0.47642708 0.035812 0.467765 0.039793
0.20251 CVD_Met 0.023644 0.288242 0.0266 0.28309355 0.0266 0.277947 0.029556
0.20251 CVD_Met(C) 0.094026 1.146242 0.105778 1.12576867 0.105778 1.105301 0.117534
0.20251 CVD_MetW 0.020265 0.247047 0.022798 0.2426343 0.022798 0.238223 0.025332
0.20251 CVD_MetW(C) 0.080588 0.982423 0.090661 0.96487569 0.090661 0.947333 0.100736
0.15188 Dry_Etch 0.093134 0.425765 0.104775 0.4181606 0.104775 0.410558 0.11642
0.15188 Dry_Etch(A) 0.102815 0.470023 0.115667 0.46162771 0.115667 0.453235 0.128521
0.15188 Dry_Etch(C) 0.532393 2.433849 0.598939 2.39037815 0.598939 2.346918 0.665504
0.15188 Dry_Etch(I) 0.275107 1.257658 0.309494 1.23519531 0.309494 1.212738 0.34389
0.15188 Dry_Etch_Met 0.382647 1.749282 0.430476 1.7180377 0.430476 1.686802 0.478318
0.10126 Dry_Strip 0.035189 0.214488 0.039587 0.21065667 0.039587 0.206827 0.043987
0.10126 Dry_Strip(D) 0.039173 0.238772 0.044069 0.23450725 0.044069 0.230244 0.048967
0.10126 Dry_Strip(I) 0.105285 0.64175 0.118445 0.63028737 0.118445 0.618828 0.131608
0.10126 Furn_FastRmp 0.164614 1.003385 0.18519 0.98546378 0.18519 0.967547 0.205772
0.10126 Furn_Nitr 0.045807 0.279212 0.051533 0.27422537 0.051533 0.26924 0.05726
0.10126 Furn_OxAn 0.25921 1.579982 0.29161 1.55176236 0.29161 1.52355 0.324019
0.10126 Furn_OxAn(I) 0.078027 0.475601 0.08778 0.46710672 0.08778 0.458614 0.097535
0.10126 Furn_Poly 0.04566 0.278317 0.051368 0.27334585 0.051368 0.268376 0.057077
0.10126 Furn_TEOS 0.045559 0.277702 0.051254 0.2727416 0.051254 0.267783 0.05695
0.25314 Implant_HiE 0.148225 0.56468 0.166753 0.55459389 0.166753 0.544511 0.185285
0.30377 Implant_LoE 0.26056 1.191157 0.293129 1.16988209 0.293129 1.148612 0.325706
0.25314 Insp_PLY 0.973628 7.418285 1.095328 7.28578695 1.095328 7.153323 1.217059
0.50628 Insp_Visual 0.039043 0.594949 0.043923 0.58432213 0.043923 0.573698 0.048804
0.25314 Litho_248 0.136615 1.040901 0.153692 1.02230979 0.153692 1.003723 0.170772
0.25314 Litho_I 0.396565 3.021515 0.446134 2.96754766 0.446134 2.913594 0.495716
0.25314 Litho_Iw 0.42528 3.2403 0.478438 3.18242441 0.478438 3.124564 0.53161
0.15188 Meas_CD 0.58284 2.664469 0.655692 2.6168788 0.655692 2.569301 0.728564
0.15188 Meas_Film 0.467689 2.138055 0.526148 2.09986666 0.526148 2.061689 0.584623
0.15188 Meas_Overlay 0.408051 1.865417 0.459055 1.83209858 0.459055 1.798789 0.510073
0.25314 PVD_Met 0.022288 0.339641 0.025074 0.33357472 0.025074 0.32751 0.027861
0.25314 PVD_Met(C) 0.166075 2.530719 0.186833 2.48551761 0.186833 2.440328 0.207597
0.10126 RTP_OxAn(C) 0.047851 0.291671 0.053832 0.28646177 0.053832 0.281254 0.059815
0.10126 Test 2.081423 2.53741 2.341592 2.49208966 2.341592 2.446781 2.601829
0.15188 VP_HF_Clean 0.172391 0.788092 0.193939 0.77401576 0.193939 0.759943 0.215493
0.15188 Wet_Bench 0.421469 1.926759 0.474151 1.89234522 0.474151 1.85794 0.526847
0.15188 Wet_Bench(I) 0.365068 1.668919 0.4107 1.63911069 0.4107 1.60931 0.456344

Total Number of Engineer/Technicians 84.33 178.29 90.15 186.43 92.40 202.32 96.98

Number of Personnel by type (fractional tools) Rounding up the Number o


Manager Overhead staff Personnel level 0 level 1 level1,5 level2 Personnel
15 8 Operator 511 410 366 285 Operator
0.0002494 Supervisor 30 25 22 17 Supervisor
15 14.24 Manager 15 15 15 15 Manager
Overhead s 14 13 13 11 Overhead s
Engineer 84 90 92 97 Engineer
Manager Overhead staff Technician 178 186 202 206 Technician
15 8
0.00021220
15 13.31

Number of Personnel by type (Integer tools) Rounding up the Number o


Manager Overhead staff Personnel level 0 level 1 level1,5 level2 Personnel
15 8 Operator 511 410 366 285 Operator
0.0001874 Supervisor 30 25 22 17 Supervisor
15 12.69 Manager 15 15 15 15 Manager
Overhead s 14 13 13 11 Overhead s
Engineer 85 91 93 98 Engineer
Manager Overhead staff Technician 183 191 207 210 Technician
15 8
0.0001320
15 11.3
Number of Personnel (integer tools) (0,0) (1,1) (1.5,1.5) (2,2)
Technicians Personnel type Engineer Technician Engineer Technician Engineer Technicians Engineer
128 Fixed 30 100 32 108 33 120 35
26.25 Per Wafer Start/Month 43.75 16.25 46.25 17.5 47.5 22.5 48.75
Per Tool Type:
0.631627451 CMP_Ins 0.059379 0.904842 0.066801 0.88868 0.066801041 0.872523274 0.074225095
5.023543511 CMP_Ins(C) 0.395859 6.032279 0.44534 5.924537 0.445340275 5.816821829 0.494833964
0.636016399 CMP_Ins(I) 0.059379 0.904842 0.066801 0.88868 0.066801041 0.872523274 0.074225095
3.140259177 CMP_Met 0.257309 3.920982 0.289471 3.850949 0.289471179 3.780934189 0.321642076
0.531226315 CVD_Ins 0.079172 0.723874 0.089068 0.710944 0.089068055 0.698018619 0.098966793
1.406634679 CVD_Ins(C) 0.19793 1.809684 0.22267 1.777361 0.222670137 1.745046549 0.247416982
0.164604492 CVD_Ins(I) 0.039586 0.361937 0.044534 0.355472 0.044534027 0.34900931 0.049483396
0.407128967 CVD_Ins_Thin 0.039586 0.603228 0.044534 0.592454 0.044534027 0.581682183 0.049483396
0.241916529 CVD_Met 0.039586 0.482582 0.044534 0.473963 0.044534027 0.465345746 0.049483396
0.962021369 CVD_Met(C) 0.098965 1.206456 0.111335 1.184907 0.111335069 1.163364366 0.123708491
0.207342223 CVD_MetW 0.039586 0.482582 0.044534 0.473963 0.044534027 0.465345746 0.049483396
0.824530881 CVD_MetW(C) 0.098965 1.206456 0.111335 1.184907 0.111335069 1.163364366 0.123708491
0.357337561 Dry_Etch 0.118758 0.542905 0.133602 0.533208 0.133602082 0.523513965 0.148450189
0.394482216 Dry_Etch(A) 0.118758 0.542905 0.133602 0.533208 0.133602082 0.523513965 0.148450189
2.042688629 Dry_Etch(C) 0.554203 2.533557 0.623476 2.488305 0.623476385 2.443065168 0.692767549
1.055531492 Dry_Etch(I) 0.277102 1.266779 0.311738 1.244153 0.311738192 1.221532584 0.346383774
1.468142638 Dry_Etch_Met 0.395859 1.809684 0.44534 1.777361 0.445340275 1.745046549 0.494833964
0.180015861 Dry_Strip 0.039586 0.241291 0.044534 0.236981 0.044534027 0.232672873 0.049483396
0.200397289 Dry_Strip(D) 0.039586 0.241291 0.044534 0.236981 0.044534027 0.232672873 0.049483396
0.538609695 Dry_Strip(I) 0.118758 0.723874 0.133602 0.710944 0.133602082 0.698018619 0.148450189
0.84212436 Furn_FastRmp 0.178137 1.08581 0.200403 1.066417 0.200403124 1.047027929 0.222675284
0.234338253 Furn_Nitr 0.059379 0.361937 0.066801 0.355472 0.066801041 0.34900931 0.074225095
1.326052671 Furn_OxAn 0.277102 1.689038 0.311738 1.65887 0.311738192 1.628710112 0.346383774
0.39916429 Furn_OxAn(I) 0.079172 0.482582 0.089068 0.473963 0.089068055 0.465345746 0.098966793
0.233586662 Furn_Poly 0.059379 0.361937 0.066801 0.355472 0.066801041 0.34900931 0.074225095
0.233070302 Furn_TEOS 0.059379 0.361937 0.066801 0.355472 0.066801041 0.34900931 0.074225095
0.47392612 Implant_HiE 0.158344 0.603228 0.178136 0.592454 0.17813611 0.581682183 0.197933585
0.999718324 Implant_LoE 0.316688 1.447747 0.356272 1.421889 0.35627222 1.396037239 0.395867171
6.226041755 Insp_PLY 0.989649 7.540349 1.113351 7.405671 1.113350687 7.271027286 1.237084909
0.499330273 Insp_Visual 0.039586 0.603228 0.044534 0.592454 0.044534027 0.581682183 0.049483396
0.873610973 Litho_248 0.158344 1.206456 0.178136 1.184907 0.17813611 1.163364366 0.197933585
2.535906661 Litho_I 0.435445 3.317754 0.489874 3.258495 0.489874302 3.199252006 0.54431736
2.719528774 Litho_Iw 0.435445 3.317754 0.489874 3.258495 0.489874302 3.199252006 0.54431736
2.236243912 Meas_CD 0.593789 2.714526 0.66801 2.666041 0.668010412 2.617569823 0.742250945
1.794433138 Meas_Film 0.475031 2.171621 0.534408 2.132833 0.53440833 2.094055858 0.593800756
1.565612932 Meas_Overlay 0.435445 1.990652 0.489874 1.955097 0.489874302 1.919551203 0.54431736
0.285055017 PVD_Met 0.039586 0.603228 0.044534 0.592454 0.044534027 0.581682183 0.049483396
2.123989698 PVD_Met(C) 0.178137 2.714526 0.200403 2.666041 0.200403124 2.617569823 0.222675284
0.244794826 RTP_OxAn(C) 0.059379 0.361937 0.066801 0.355472 0.066801041 0.34900931 0.074225095
2.129605817 Test 2.177227 2.654203 2.449372 2.606796 2.449371511 2.559401605 2.7215868
0.661432247 VP_HF_Clean 0.19793 0.904842 0.22267 0.88868 0.222670137 0.872523274 0.247416982
1.617096474 Wet_Bench 0.435445 1.990652 0.489874 1.955097 0.489874302 1.919551203 0.54431736
1.400695861 Wet_Bench(I) 0.395859 1.809684 0.44534 1.777361 0.445340275 1.745046549 0.494833964

206.32 Total Number of Engineer/Technicians 85.05 183.09 90.96 191.14 93.21 206.95 97.88

Rounding up the Number of personnel (fractional tools) Annual Cost per Personnel Type
level (0,0) level ( level (1.5,1.5) level (2,2) Personnel Salary level (0,0) level (1,1) level (1.5,1.5)
511 410 366 285 Operator 60000 30660000 24600000 21960000
31 25 23 17 Supervisor 80000 2480000 2000000 1840000
15 15 15 15 Manager 125000 1875000 1875000 1875000
15 14 13 12 Overhead st 70000 1050000 980000 910000
85 91 93 97 Engineer 125000 10625000 11375000 11625000
179 187 203 207 Technician 70000 12530000 13090000 14210000
Total Direct 45670000 39690000 38010000
Total Indirect 13550000 14230000 14410000
Total Personnel 59220000 53920000 52420000

Rounding up the Number of personnel (integer tools) Annual Cost per Personnel Type
level (0,0) level ( level (1.5,1.5) level (2,2) Personnel Salary level (0,0) level (1,1) level (1.5,1.5)
511 410 366 285 Operator 60000 30660000 24600000 21960000
31 25 23 17 Supervisor 80000 2480000 2000000 1840000
15 15 15 15 Manager 125000 1875000 1875000 1875000
15 14 13 12 Overhead st 70000 1050000 980000 910000
86 91 94 98 Engineer 125000 10750000 11375000 11750000
184 192 207 211 Technician 70000 12880000 13440000 14490000
Total Direct 46020000 40040000 38290000
Total Indirect 13675000 14230000 14535000
Total Personnel 59695000 54270000 52825000
Personnel Cost per wafer
level (0,0) level (1,1) level (1.5,1.5)
Fractional Tools
Direct Personnel 155.34 135.00 129.29
Indirect Personnel 46.09 48.40 49.01
total 201.43 183.40 178.30
Integer Tools
Direct Personnel 156.53 136.19 130.24
Indirect Personnel 46.51 48.40 49.44
total 203.04 184.59 179.68
Space in sq.ft. Non-manufacturing (sq. ft.)
Technicians level (0,0) level (1,1) level (1.5,1 level (2,2)
128 Fixed 15450 16350 17400 18300
26.25 Per wafer 0.34866 0.36183 0.38061 0.39105
Per Tool
0.759418561 CMP_Ins 25.59 25.56 25.15 22.70
5.062790407 CMP_Ins(C) 25.59 25.56 25.15 22.70
0.759418561 CMP_Ins(I) 25.59 25.56 25.15 22.70
3.290813764 CMP_Met 25.59 25.56 25.15 22.70
0.607534849 CVD_Ins 16.54 16.67 16.43 15.10
1.518837122 CVD_Ins(C) 16.54 16.67 16.43 15.10
0.303767424 CVD_Ins(I) 16.54 16.67 16.43 15.10
0.506279041 CVD_Ins_Thin 25.59 25.56 25.15 22.70
0.405023233 CVD_Met 21.07 21.11 20.79 18.90
1.012558081 CVD_Met(C) 21.07 21.11 20.79 18.90
0.405023233 CVD_MetW 21.07 21.11 20.79 18.90
1.012558081 CVD_MetW(C) 21.07 21.11 20.79 18.90
0.455651137 Dry_Etch 19.51 20.01 19.77 18.81
0.455651137 Dry_Etch(A) 19.51 20.01 19.77 18.81
2.126371971 Dry_Etch(C) 19.51 20.01 19.77 18.81
1.063185985 Dry_Etch(I) 19.51 20.01 19.77 18.81
1.518837122 Dry_Etch_Met 19.51 20.01 19.77 18.81
0.202511616 Dry_Strip 12.02 12.23 12.07 11.31
0.202511616 Dry_Strip(D) 12.02 12.23 12.07 11.31
0.607534849 Dry_Strip(I) 12.02 12.23 12.07 11.31
0.911302273 Furn_FastRmp 12.02 12.23 12.07 11.31
0.303767424 Furn_Nitr 12.02 12.23 12.07 11.31
1.417581314 Furn_OxAn 12.02 12.23 12.07 11.31
0.405023233 Furn_OxAn(I) 12.02 12.23 12.07 11.31
0.303767424 Furn_Poly 12.02 12.23 12.07 11.31
0.303767424 Furn_TEOS 12.02 12.23 12.07 11.31
0.506279041 Implant_HiE 34.50 35.58 35.17 33.83
1.215069698 Implant_LoE 39.02 40.02 39.54 37.63
6.328488008 Insp_PLY 28.56 28.90 28.49 26.41
0.506279041 Insp_Visual 51.18 51.11 50.31 45.39
1.012558081 Litho_248 28.56 28.90 28.49 26.41
2.784534724 Litho_I 28.56 28.90 28.49 26.41
2.784534724 Litho_Iw 28.56 28.90 28.49 26.41
2.278255683 Meas_CD 19.51 20.01 19.77 18.81
1.822604546 Meas_Film 19.51 20.01 19.77 18.81
1.670720834 Meas_Overlay 19.51 20.01 19.77 18.81
0.506279041 PVD_Met 25.59 25.56 25.15 22.70
2.278255683 PVD_Met(C) 25.59 25.56 25.15 22.70
0.303767424 RTP_OxAn(C) 12.02 12.23 12.07 11.31
2.227627779 Test 23.89 25.59 25.43 26.15
0.759418561 VP_HF_Clean 19.51 20.01 19.77 18.81
1.670720834 Wet_Bench 19.51 20.01 19.77 18.81
1.518837122 Wet_Bench(I) 19.51 20.01 19.77 18.81

210.35

level (2,2)
17100000
1360000
1875000
840000
12125000
14490000
32950000
14840000
47790000

level (2,2)
17100000
1360000
1875000
840000
12250000
14770000
33230000
14965000
48195000
level (2,2)

112.07
50.48
162.55

113.03
50.90
163.93
Coeff for annual spaNon-manufacturing (sq. ft.) Cleanroom(sq.
non-cleanroom(sq.ft.) Annual Space Cost (fractional Non-manufacturing (sq. ft
level (0,0) level (1,1) level (1.5,1. level (2,2) level (0,0)
Fixed 880650 931950 991800 1043100 Fixed 880650
Per wafer 19.87362 20.62431 21.69477 22.28985 Per wafer 496840.5
Per Tool Per Tool
CMP_Ins 1458.63 1456.75 1433.73 1293.71 126000 91200 CMP_Ins 3639.54
CMP_Ins(C) 1458.63 1456.75 1433.73 1293.71 126000 91200 CMP_Ins(C) 28946.45
CMP_Ins(I) 1458.63 1456.75 1433.73 1293.71 126000 91200 CMP_Ins(I) 3664.83
CMP_Met 1458.63 1456.75 1433.73 1293.71 126000 91200 CMP_Met 18094.67
CVD_Ins 942.87 950.20 936.39 860.84 126000 91200 CVD_Ins 3297.77
CVD_Ins(C) 942.87 950.20 936.39 860.84 126000 91200 CVD_Ins(C) 8732.16
CVD_Ins(I) 942.87 950.20 936.39 860.84 126000 91200 CVD_Ins(I) 1021.84
CVD_Ins_Thin 1458.63 1456.75 1433.73 1293.71 126000 91200 CVD_Ins_Thin 2345.94
CVD_Met 1200.75 1203.48 1185.06 1077.28 126000 91200 CVD_Met 1434.39
CVD_Met(C) 1200.75 1203.48 1185.06 1077.28 126000 91200 CVD_Met(C) 5704.10
CVD_MetW 1200.75 1203.48 1185.06 1077.28 126000 91200 CVD_MetW 1229.39
CVD_MetW(C) 1200.75 1203.48 1185.06 1077.28 126000 91200 CVD_MetW(C) 4888.88
Dry_Etch 1112.10 1140.59 1126.77 1072.39 126000 91200 Dry_Etch 2616.44
Dry_Etch(A) 1112.10 1140.59 1126.77 1072.39 126000 91200 Dry_Etch(A) 2888.42
Dry_Etch(C) 1112.10 1140.59 1126.77 1072.39 126000 91200 Dry_Etch(C) 14956.66
Dry_Etch(I) 1112.10 1140.59 1126.77 1072.39 126000 91200 Dry_Etch(I) 7728.65
Dry_Etch_Met 1112.10 1140.59 1126.77 1072.39 126000 91200 Dry_Etch_Met 10749.81
Dry_Strip 684.99 696.93 687.72 644.41 88200 63840 Dry_Strip 1217.80
Dry_Strip(D) 684.99 696.93 687.72 644.41 88200 63840 Dry_Strip(D) 1355.68
Dry_Strip(I) 684.99 696.93 687.72 644.41 88200 63840 Dry_Strip(I) 3643.66
Furn_FastRmp 684.99 696.93 687.72 644.41 75600 54720 Furn_FastRmp 5696.92
Furn_Nitr 684.99 696.93 687.72 644.41 75600 54720 Furn_Nitr 1585.28
Furn_OxAn 684.99 696.93 687.72 644.41 75600 54720 Furn_OxAn 8970.67
Furn_OxAn(I) 684.99 696.93 687.72 644.41 75600 54720 Furn_OxAn(I) 2700.32
Furn_Poly 684.99 696.93 687.72 644.41 75600 54720 Furn_Poly 1580.20
Furn_TEOS 684.99 696.93 687.72 644.41 75600 54720 Furn_TEOS 1576.71
Implant_HiE 1966.32 2027.90 2004.88 1928.34 441000 319200 Implant_HiE 3681.33
Implant_LoE 2224.20 2281.18 2253.55 2144.77 252000 182400 Implant_LoE 7319.98
Insp_PLY 1627.86 1647.14 1624.11 1505.25 37800 27360 Insp_PLY 40037.69
Insp_Visual 2917.26 2913.51 2867.46 2587.43 37800 27360 Insp_Visual 2877.22
Litho_248 1627.86 1647.14 1624.11 1505.25 157500 114000 Litho_248 5617.91
Litho_I 1627.86 1647.14 1624.11 1505.25 126000 91200 Litho_I 16307.61
Litho_Iw 1627.86 1647.14 1624.11 1505.25 126000 91200 Litho_Iw 17488.42
Meas_CD 1112.10 1140.59 1126.77 1072.39 37800 27360 Meas_CD 16373.88
Meas_Film 1112.10 1140.59 1126.77 1072.39 37800 27360 Meas_Film 13138.92
Meas_Overlay 1112.10 1140.59 1126.77 1072.39 37800 27360 Meas_Overlay 11463.49
PVD_Met 1458.63 1456.75 1433.73 1293.71 157500 114000 PVD_Met 1642.53
PVD_Met(C) 1458.63 1456.75 1433.73 1293.71 157500 114000 PVD_Met(C) 12238.76
RTP_OxAn(C) 684.99 696.93 687.72 644.41 126000 91200 RTP_OxAn(C) 1656.02
Test 1361.91 1458.46 1449.25 1490.58 37800 27360 Test 28643.59
VP_HF_Clean 1112.10 1140.59 1126.77 1072.39 100800 72960 VP_HF_Clean 4843.04
Wet_Bench 1112.10 1140.59 1126.77 1072.39 157500 114000 Wet_Bench 11840.46
Wet_Bench(I) 1112.10 1140.59 1126.77 1072.39 157500 114000 Wet_Bench(I) 10255.96

Total Annual Space Cost (fract 1733184.51


Total Direct

Space Cost per Wafer level (0,0)


Fractional Tools
Direct Space 170.188354
Indirect Space 5.89518542
Total Space Cost 176.083539
Non-manufacturing (sq. ft.) Cleanroom(sq.ftnon-cleanroom(sq.ft.) Annual Space Cost (fNon-manufacturing (sq. ft.)
level (1,1) level (1.5,1.5)level (2,2) level (0,0) level (1,1) level (1.5,1.5
931950 991800 1043100 Fixed 880650 931950 991800
515607.75 542369.25 557246.25 Per wafer 496840.5 515607.75 542369.25
Per Tool
3634.85 3577.40 3228.04 314392.07 227559.98 CMP_Ins 4375.89 4370.26 4301.19
28909.20 28452.29 25673.68 2500464.89 1809860.30 CMP_Ins(C) 29172.59 29135.05 28674.57
3660.11 3602.26 3250.47 316576.67 229141.21 CMP_Ins(I) 4375.89 4370.26 4301.19
18071.38 17785.76 16048.83 1563061.57 1131358.85 CMP_Met 18962.19 18937.78 18638.47
3323.42 3275.11 3010.88 440695.81 318979.82 CVD_Ins 3771.48 3800.82 3745.56
8800.09 8672.16 7972.50 1166918.87 844626.99 CVD_Ins(C) 9428.70 9502.05 9363.90
1029.79 1014.82 932.94 136552.93 98838.31 CVD_Ins(I) 1885.74 1900.41 1872.78
2342.92 2305.89 2080.70 202648.13 146678.64 CVD_Ins_Thin 2917.26 2913.51 2867.46
1437.65 1415.65 1286.90 150517.21 108945.79 CVD_Met 2401.50 2406.96 2370.12
5717.07 5629.57 5117.56 598556.74 433241.07 CVD_Met(C) 6003.75 6017.39 5925.30
1232.19 1213.33 1102.98 129005.54 93375.44 CVD_MetW 2401.50 2406.96 2370.12
4899.99 4825.00 4386.17 513012.01 371322.98 CVD_MetW(C) 6003.75 6017.39 5925.30
2683.47 2650.97 2523.01 296440.82 214566.69 Dry_Etch 3336.30 3421.76 3380.32
2962.41 2926.53 2785.27 327255.36 236870.55 Dry_Etch(A) 3336.30 3421.76 3380.32
15339.80 15154.01 14422.55 1694577.80 1226551.55 Dry_Etch(C) 15569.40 15968.23 15774.83
7926.63 7830.63 7452.66 875649.97 633803.79 Dry_Etch(I) 7784.70 7984.11 7887.41
11025.18 10891.65 10365.93 1217944.77 881560.02 Dry_Etch_Met 11121.00 11405.88 11267.73
1239.03 1222.65 1145.65 156804.82 113496.82 Dry_Strip 1369.98 1393.86 1375.44
1379.31 1361.08 1275.36 174558.29 126346.95 Dry_Strip(D) 1369.98 1393.86 1375.44
3707.18 3658.19 3427.81 469161.98 339583.91 Dry_Strip(I) 4109.94 4181.59 4126.33
5796.23 5719.64 5359.43 628750.12 455095.33 Furn_FastRmp 6164.91 6272.38 6189.49
1612.92 1591.61 1491.37 174962.53 126639.54 Furn_Nitr 2054.97 2090.79 2063.16
9127.05 9006.44 8439.24 990062.53 716616.69 Furn_OxAn 9589.86 9757.03 9628.10
2747.40 2711.09 2540.35 298025.57 215713.75 Furn_OxAn(I) 2739.96 2787.72 2750.88
1607.75 1586.50 1486.59 174401.37 126233.37 Furn_Poly 2054.97 2090.79 2063.16
1604.19 1582.99 1483.30 174015.84 125954.32 Furn_TEOS 2054.97 2090.79 2063.16
3796.62 3753.52 3610.22 825637.26 597604.11 Implant_HiE 3932.64 4055.80 4009.76
7507.50 7416.57 7058.58 829348.37 600290.25 Implant_LoE 8896.80 9124.70 9014.19
40511.79 39945.51 37022.18 929702.24 672927.33 Insp_PLY 40696.49 41178.39 40602.79
2873.52 2828.10 2551.91 37281.19 26984.48 Insp_Visual 2917.26 2913.51 2867.46
5684.44 5604.98 5194.79 543548.98 393425.93 Litho_248 6511.44 6588.54 6496.45
16500.71 16270.06 15079.37 1262245.57 913625.37 Litho_I 17906.46 18118.49 17865.23
17695.51 17448.16 16171.25 1353643.34 979779.94 Litho_Iw 17906.46 18118.49 17865.23
16793.32 16589.93 15789.16 556544.34 402832.09 Meas_CD 16681.49 17108.82 16901.60
13475.50 13312.29 12669.72 446588.85 323245.26 Meas_Film 13345.20 13687.05 13521.28
11757.15 11614.75 11054.12 389641.31 282026.09 Meas_Overlay 12233.10 12546.47 12394.51
1640.42 1614.49 1456.82 177357.39 128372.97 PVD_Met 2917.26 2913.51 2867.46
12223.01 12029.83 10855.01 1321517.78 956527.16 PVD_Met(C) 13127.67 13110.77 12903.56
1684.89 1662.63 1557.92 304616.09 220484.02 RTP_OxAn(C) 2054.97 2090.79 2063.16
30674.30 30480.60 31349.70 795007.23 575433.81 Test 29962.01 32086.18 31883.57
4967.10 4906.94 4670.09 438969.85 317730.56 VP_HF_Clean 5560.50 5702.94 5633.87
12143.77 11996.69 11417.63 1676892.74 1213750.94 Wet_Bench 12233.10 12546.47 12394.51
10518.68 10391.28 9889.71 1452490.16 1051326.21 Wet_Bench(I) 11121.00 11405.88 11267.73

1809823.19 1891698.77 1936034.63 29026046.91 21009329.19 Total Annual Space C1759851.76 ### 1918403.32
50035376.10 Total Direct

level (1,1) level (1.5,1.5)level (2,2) Space Cost per Waf level (0,0) level (1,1) level (1.5,1.5
Integer tools
170.188354 170.188354 170.188354 Direct Space 185.728163 185.72816 185.728163
6.15586118 6.43434956 6.5851518 Indirect Space 5.98589034 6.2479386 6.52518137
176.344215 176.622704 176.773506 Total Space Cost 191.714054 191.9761 192.253345
Cleanroom(sq.fnon-cleanroom(sq.ft.)
level (2,2)
1043100
557246.25

3881.14 378000.00 273600.00


25874.26 2520000.00 1824000.00
3881.14 378000.00 273600.00
16818.27 1638000.00 1185600.00
3443.38 504000.00 364800.00
8608.44 1260000.00 912000.00
1721.69 252000.00 182400.00
2587.43 252000.00 182400.00
2154.56 252000.00 182400.00
5386.39 630000.00 456000.00
2154.56 252000.00 182400.00
5386.39 630000.00 456000.00
3217.16 378000.00 273600.00
3217.16 378000.00 273600.00
15013.40 1764000.00 1276800.00
7506.70 882000.00 638400.00
10723.86 1260000.00 912000.00
1288.82 176400.00 127680.00
1288.82 176400.00 127680.00
3866.46 529200.00 383040.00
5799.69 680400.00 492480.00
1933.23 226800.00 164160.00
9021.74 1058400.00 766080.00
2577.64 302400.00 218880.00
1933.23 226800.00 164160.00
1933.23 226800.00 164160.00
3856.68 882000.00 638400.00
8579.09 1008000.00 729600.00
37631.36 945000.00 684000.00
2587.43 37800.00 27360.00
6021.02 630000.00 456000.00
16557.80 1386000.00 1003200.00
16557.80 1386000.00 1003200.00
16085.79 567000.00 410400.00
12868.63 453600.00 328320.00
11796.24 415800.00 300960.00
2587.43 315000.00 228000.00
11643.42 1417500.00 1026000.00
1933.23 378000.00 273600.00
32792.68 831600.00 601920.00
5361.93 504000.00 364800.00
11796.24 1732500.00 1254000.00
10723.86 1575000.00 1140000.00

1960945.65 31676400.00 22927680.00


54604080.00

level (2,2)

185.728163
6.66988317
192.398046
Expense-Related Costs Cost per Wafer Levels of Automation(using fractional tools) Levels of Automation(using Integer tools)
Cost Components level (0,0) level (1,1) level (1.5,1.5) level (2,2) level (0,0) level (1,1) level (1.5,1.5)
Tool Depreciation 601.25 601.25 601.25 601.25 653.76 653.76 653.76
Tool Maintenance 155.88 155.88 155.88 155.88 169.49 169.49 169.49
Tool Leasing 0.00 0.00 0.00 0.00 0.00 0.00 0.00
Direct Personnel 155.34 135.00 129.29 112.07 156.53 136.19 130.24
Indirect Personnel 46.09 48.40 49.01 50.48 46.51 48.40 49.44
Direct Space 170.19 170.19 170.19 170.19 185.73 185.73 185.73
Indirect Space 5.90 6.16 6.43 6.59 5.99 6.25 6.53
Direct Material 200.00 200.00 200.00 200.00 200.00 200.00 200.00
Indirect Material 0.00 0.00 0.00 0.00 0.00 0.00 0.00
Cost Centers 0.00 0.00 0.00 0.00 0.00 0.00 0.00
Facilities 0.00 0.00 0.00 0.00 0.00 0.00 0.00
CIM System 0.00 0.00 0.00 0.00 0.00 0.00 0.00
Control Units 0.00 0.00 0.00 0.00 0.00 0.00 0.00
Total Cost 1334.64 1316.87 1312.05 1296.45 1418.01 1399.82 1395.18

Total die produced over the factory life


TD= 1410144.6174

Expense Cost per Die


Fractional tools Integer tools
Automation Level level (0,0) level (1,1) level (1.5,1.5) level (2,2) level (0,0) level (1,1) level (1.5,1.5)
Expense Cost per wafer(wor 1334.64 1316.87 1312.05 1296.45 1418.01 1399.82 1395.18

EPY= 400392377.861 395062417.33 393615351.59 388936102.47 425403529.05 419946429.249 418555112.284

EPD= 1419.69 1400.79 1395.66 1379.06 1508.37 1489.02 1484.09

Delay Cost per Die


TDC= 965419560.376

DCPD= 684.62

TOTAL COST PER DIE


Fractional tools Integer tools
Automation Level level (0,0) level (1,1) level (1.5,1.5) level (2,2) level (0,0) level (1,1) level (1.5,1.5)
TCPD= 2104.31 2085.41 2080.28 2063.69 2192.99 2173.64 2168.71
level (2,2)
653.76
169.49
0.00
113.03
50.90
185.73
6.67
200.00
0.00
0.00
0.00
0.00
0.00
1379.58

level (2,2)
1379.58

413874033

1467.49

level (2,2)
2152.11
Tool Requirement

#tools #tools tool tool


Tool Type fractional Round Up CEE OEE
CMP_Ins 2.50 3 0.23 0.191297
CMP_Ins(C) 19.84 20 0.23 0.228217
CMP_Ins(I) 2.51 3 0.23 0.192626
CMP_Met 12.41 13 0.23 0.219478
CVD_Ins 3.50 4 0.64 0.559614
CVD_Ins(C) 9.26 10 0.64 0.592721
CVD_Ins(I) 1.08 2 0.64 0.346801
CVD_Ins_Thin 1.61 2 0.64 0.514662
CVD_Met 1.19 2 0.48 0.286699
CVD_Met(C) 4.75 5 0.48 0.456043
CVD_MetW 1.02 2 0.48 0.245725
CVD_MetW(C) 4.07 5 0.48 0.390866
Dry_Etch 2.35 3 0.59 0.462699
Dry_Etch(A) 2.60 3 0.53 0.45885
Dry_Etch(C) 13.45 14 0.53 0.509142
Dry_Etch(I) 6.95 7 0.53 0.526184
Dry_Etch_Met 9.67 10 0.59 0.570307
Dry_Strip 1.78 2 0.65 0.577796
Dry_Strip(D) 1.98 2 0.65 0.643214
Dry_Strip(I) 5.32 6 0.65 0.576257
Furn_FastRmp 8.32 9 0.5 0.462044
Furn_Nitr 2.31 3 0.5 0.38572
Furn_OxAn 13.10 14 0.5 0.467717
Furn_OxAn(I) 3.94 4 0.5 0.492767
Furn_Poly 2.31 3 0.5 0.384483
Furn_TEOS 2.30 3 0.5 0.383633
Implant_HiE 1.87 2 0.37 0.346356
Implant_LoE 3.29 4 0.42 0.345562
Insp_PLY 24.60 25 0.3 0.295144
Insp_Visual 0.99 1 0.35 0.345196
Litho_248 3.45 4 0.8 0.690221
Litho_I 10.02 11 0.8 0.728569
Litho_Iw 10.74 11 0.8 0.781324
Meas_CD 14.72 15 0.35 0.343546
Meas_Film 11.81 12 0.35 0.34459
Meas_Overlay 10.31 11 0.3 0.281126
PVD_Met 1.13 2 0.51 0.28715
PVD_Met(C) 8.39 9 0.51 0.475467
RTP_OxAn(C) 2.42 3 0.57 0.459342
Test 21.03 22 0.39 0.372839
VP_HF_Clean 4.35 5 0.45 0.391937
Wet_Bench 10.65 11 0.54 0.522668
Wet_Bench(I) 9.22 10 0.54 0.497997
Total Number 289.11 312 0
Personnel Requirement

Rounding up the Number of personnel (fractional tools)


Personnel level (0,0) level (1,1) el (1.5,1.5) level (2,2)
Operator 511 410 366 285
Supervisor 31 25 23 17
Manger 15 15 15 15
Overhead Staff 15 14 13 12
Engineer 85 91 93 97
Techn 179 187 203 207

Rounding up the Number of personnel (integer tools)


Personnel level (0,0) level (1,1) el (1.5,1.5) level (2,2)
Operator 511 410 366 285
Supervisor 31 25 23 17
Manger 15 15 15 15
Overhead Staff 15 14 13 12
Engineer 86 91 94 98
Techn 184 192 207 211
Expense-Related Costs
Cost per Wafer Levels of Automation(using fractional tools) Levels of Automation(using Integer tools)
Phase Start Date Phase InPut level (0,0) level (1,1) level (1.5,1.5) level (2,2) level (0,0) level (1,1)
0 60000 1406.4115469 1383.0357147 1379.3682847 1364.2159123 1543.87029782 1520.0999249
120 1400000 1334.6412595 1316.8747244 1312.051172 1296.4536749 1418.01176351 1399.8214308
1800 0
1800 0
1800 0
1800 0
1800 0
1800 0
Sum 1460000 1952882456.16 1926606757.07 1919633737.85 1896888099.60 2077848686.79 2050955998.65

Revenue-Related Costs

Phase Start Date Capacity Cycle Time Output Revenue


0 15000 26.8463923715 48086.77044054 406057868.03 Ideal Revenue
120 25000 26.8463923715 1330000 5913664036.1
0 0 0 0 Total Delay Cost
0 0 0 0
0 0 0 0 Delay Cost Per Die
0 0 0 0
0 0 0 0
0 0 0 0
Sum 25000 1378086.770441 6319721904.143

Expense Cost per Die

fractional tools Integer tools


Automation Level level (0,0) level (1,1) level (1.5,1.5) level (2,2) level (0,0) level (1,1)
Expense Cost per wafer(wor 1337.59 1319.59 1314.82 1299.24 1423.18 1404.76

EPY= 390576491.2327 395878100.7671 394445288.5991 389771527.3141 426955209.61425 421429314.7915

EPD= 1417.10 1398.03 1392.97 1376.46 1507.78 1488.26


TCPD= 2314.08 2295.02 2289.96 2273.45 2404.76 2385.25
teger tools)
level (1.5,1.5) level (2,2)
1516.43043481 1501.26798782
1395.18370761 1379.58011008

2044243016.75 2021488233.39

enue 7555846709.876

y Cost 1236124805.733

t Per Die 896.986192921

level (1.5,1.5) level (2,2)


1400.17 1384.58

420049934.9477 415374294.5317

1483.39 1466.88
2380.38 2363.87

You might also like