You are on page 1of 6

International Conference on Advances in Electrical, Electronics, Information, Communication and Bio-Informatics (AEEICB16)

Novel Low Power 10T Sram Cell on 90nm CMOS


Govind Prasad GANDE BHARGAV, C SRIKAR DATTA
Dept. of Electronics and Communication Dept. of Electronics and Communication
Engineering Engineering
GITAM University, GITAM University,
Hyderabad, INDIA Hyderabad, INDIA

Abstract For SRAM power, stability, delay and For SRAM we have to give continuous power
area are the major concerns. And they are trade-offs supply to each SRAM cell in order to hold the data
to each other. But all are important and should be in in it. Because of this the power dissipation in
acceptable range. In this paper we mainly SRAM is very large. To reduce the power
concentrated on power and stability and we designed dissipation the supply voltage is scaled down to a
a new 10T-SRAM for low power consumption. We great extent. Along with supply voltage, threshold
placed two NMOS transistors for reducing power voltage also reduced to increase the performance
consumption. One transistor between supply voltage but it exponentially increases the subthreshold
VDD and the latches formed by cross coupled leakage current which leads to increase power
inverters. This NMOS transistor is in diode connected dissipation in standby mode.
mode and it scales down the VDD. As power is
Vgs Vth
directly proportional to square of VDD total power is Vds

reduced by 98%. Another NMOS transistor is placed I subthreshold I 0e VT 1 e VT (1)

between latches and ground. This transistor is
controlled with a control signal and used to reduce
static power by 77%. But stability decreases when
W0 c0 xVT2 e1.8
supply voltage decreases. In order to increase stability Where I 0 , VT KT is the
an extra PMOS transistor is placed in between access
q
transistor and pull down transistor on both sides.
thermal voltage, Vds and Vgs are the drain to source
This PMOS transistors separates the storage node
and gate to source voltage respectively. Vth is the
and writing node of data. They also scales the bit line
threshold voltage. Cox is the gate oxide capacitance,
voltage and prevents the flipping of contents in cell at
0 is the carrier mobility and is the sub-
low voltages. So stability parameters like SINM,
SVNM, WTI and WTV also increased by 91%, 47%, threshold swing coefficient [2].
85% and 53% respectively. This all values are when
Along with the static power dissipation the
compared with Sub-threshold 10T SRAM cell. This
stability of the SRAM cell decreases with scaling
proposed circuit is also tested by giving 0.3 V power
supply. Cadence Virtuoso tools are used for of supply voltage. So a conventional 6T cannot
simulation with gpdk-90nm CMOS process give reliable results at voltages as low as threshold
technology. voltage [3]. Therefore there is a need for designing
a robust SRAM cell which operates at such low
Index terms SRAM, SINM, SVNM, WTI, WTV. voltages and dissipates less static power. To
achieve this we designed a SRAM cell with
I INTRODUCTION
different structure.
The usage of digital memorys increasing very
much and there is no digital system without II CONVENTIONAL 6T SRAM CELL
memory .For example to store program
instructions, initial values, intermediate data results In conventional 6T SRAM cell as shown in
etc. The usage of battery operated devices and figure 1, there are two NMOS transistors N1 and
portable electronics devices are also increased very N2 which acts as driver transistors and two PMOS
much. In order to increase the battery life of transistors which acts as load transistors. These
devices we should concentrate on power four transistors combine and form cross coupled
consumption and power dissipation of the device inverters to store and force values continuously to
[1]. The memory present in the device also each other. N3 and N4 act as pass transistors and
contributes to the power consumption or called as access transistor which helps to write data
dissipation of it, mainly SRAM (Static random from bit lines to node Q and QB. Modes of
access memory) which is used as cache memory. working.

978-1-4673-9745-2 2016 IEEE


International Conference on Advances in Electrical, Electronics, Information, Communication and Bio-Informatics (AEEICB16)

A. Write mode: A. Write mode:

To write the data in to the cell we first enable In this mode both WL2 and WL are enabled to
write line (WL). Then for writing 1, pass 1 to pass data from bit lines to cell node. VGND signal
bit line (BL) and 0 to bit line bar (BLB). As WL line is connected to VDD to compensate the problem
enabled N3 and N4 are ON and pass data through of threshold drop due to two series access
them. This data is stored at node Q and QB as 1 transistors. Here positions of BL and BLB are
and 0 respectively. After writing the data WL line exchanged because of the cell structure. To
is disabled. To write 0 we pass 0 to bit line increase the write ability the two word lines can be
(BL) and 1 to bit line bar (BLB). boosted but it effect the read stability as they both
are inversely proportional.

B. Hold mode:

In this mode both WL2 and WL are disabled and


VGND is connected to VDD.

C. Read mode:

In this mode WL2 is disabled and WL is enabled


due to this the storage nodes are disconnected from
bit lines and the read noise margin increases.
VGND is connected to ground to form a
discharging path from bit line through node storing
0 to ground.

Fig. 1. Conventional 6T SRAM cell

B. Hold mode:

In hold mode WL remains disabled and


disconnects the cell from bit lines. Cross coupled
inverters force values continuously to each other
and stores the written data at Q and QB nodes.
Fig. 2. Sub-Threshold 10T SRAM cell
C. Read mode:
IV Proposed 10T SRAM cell:
To read the stored data from the cell, first pre-
charge both the bit lines to 1 and then enable WL. In our proposed 10T SRAM cell there is a diode
If stored data is 1 then N1 is OFF and N2 is ON. connected NMOS (N5) as shown in figure 3.This
Pre-charged BL line has no path to ground, so it NMOS scales the VDD which reduces the dynamic
remains as 1 at node Q and pre-charged BLB is power to great extent as [4]
discharged through N4 and N2 to ground, so it
reads 0 from node QB. If stored data is 0 then P = FCVDD2 (2)
N1 is ON and N2 is OFF. BL discharged through
N3 and N1 to ground, so it reads 0 from node Q. Where, = switching factor, F = frequency, C =
capacitance, VDD = supply voltage.

Another transistor N6 is controlled with a control


III Sub-Threshold 10T SRAM cell signal CS1. This CS1 is set to 0 in write and hold
mode. So that the N6 transistor is OFF and
In this structure we have four access transistors disconnects the path to ground. Therefore the
N1, N2, N3 and N4. Two word lines WL2 and WL. leakage power is reduced to higher extinct in hold
And a control signal VGND [3] as shown in figure and write mode. The CS1 is set to 1 in read mode
2. so that N6 is ON and makes path to ground.

978-1-4673-9745-2 2016 IEEE


International Conference on Advances in Electrical, Electronics, Information, Communication and Bio-Informatics (AEEICB16)

The stability of cell is decreased due to scaling of B. Hold mode:


VDD because there is probability of flipping the
contents of storage nodes because of pre-charged In this mode WL is disabled by giving 0 and
bit lines while read operation (mainly when cell CS1 is connected to 0.
operating at very low supply voltages).
C. Read mode:
The stability decreased due to scaling of VDD is
compensated by the extra PMOS transistors P3 and In read mode WL is enabled by giving 1 and
P4 [7] which are always ON are added in between CS1 is connected to 0. BL and BLB are pre-
driver and access transistors. This structure charged to 1.
increases the read stability [5]. For example while
reading 1 QN is at 1 and QNB node is at 0. V RESULTS AND DISCUSSION
When WL is enabled the voltage divided in series
along N4 access transistor, P4 conducting PMOS A. Transient response:
and N2 driver transistor suppress rising of QNB
voltage to VDD-VTN4-|VTP4|, Where VTN4 and VTP4
are threshold voltages of N4 and P4 respectively.
So this suppressed voltage cannot flip the contents
of cell.

While writing the data if node QN is at 1 and


QNB is at 0 to write 0 at node QN, BL is
connected to ground and BLB is raised to VDD and
WL is enabled. The node Q changes from VDD to
0 and node QNB is discharged from 1 to 0
state. The node QN cannot be dropped below |VTP3|

Fig. 4. Transient response of conventional 6T


SRAM cell.

Here we discuss the transient response of above


explained cells. This shows the write and hold
modes of SRAM cell. When WL signal is high, the
data on BL and BLB are written into the storage
nodes Q and QB respectively. And when WL
signal is low the Q and QB nodes store the recent
written data before WL going to low as shown in
figure 4, 5 and 6.

Fig. 3. Proposed 9T SRAM cell

because PMOS is not perfect passer of 0. But


falling of QN causes P2-N2 inverter to trigger and
cross coupled inverters bring flip of state.

The static power dissipation is also reduced due


to stacking of MOSFETS (PMOS, PMOS, NMOS
and NMOS).The working modes of proposed
SRAM are

A. Write mode:

In this mode WL is enabled by giving 1 and


CS1 is set to 0. To write 1 BL is given 1 and Fig. 5. Transient response of Sub-Threshold 10T
BLB is supplied with 0. To write 0 BL and BLB SRAM cell.
are supplied with 0 and 1 respectively.

978-1-4673-9745-2 2016 IEEE


International Conference on Advances in Electrical, Electronics, Information, Communication and Bio-Informatics (AEEICB16)

Fig. 6. Transient response of proposed 10T SRAM


cell.
Fig. 8. Static power dissipation of Sub-Threshold 10T
In proposed 10T SRAM cell the data at QN and SRAM cell.
QNB are not reached to 1 V because of the
threshold drop of diode connected transistor as
shown in figure 3. But it doesnt affect the reading
of data because differential sense amplifier is used
while reading the data from SRAM cell.

B. Static and Total power dissipation:

Plots of Static and Total power dissipation of


above explained SRAM cells are shown in figure 7,
8, 9, 10, 11, 12, 13 and 14.
Static power dissipation of proposed cell is
reduced by 55% than conventional 6T and 66%
than sub-threshold 10T SRAM cell due to decrease
in leakage currents by using a control signal CS1
and also because of stacked transistors share the
same leakage currents from top to bottom which Fig. 9. Static power dissipation of proposed 10T SRAM
reduces VDS [6]. Total power of proposed cell is cell.
reduced by 2.9% than conventional 6T and by 98%
than Sub-threshold 10T.

Fig. 7. Static power dissipation of conventional 6T Fig. 10. Total power dissipation of conventional 6T
SRAM cell. SRAM cell.

978-1-4673-9745-2 2016 IEEE


International Conference on Advances in Electrical, Electronics, Information, Communication and Bio-Informatics (AEEICB16)

Fig. 14. Total power dissipation of proposed 10T SRAM


cell in Read mode.
Fig. 11. Total power dissipation of Sub-Threshold 10T
SRAM cell when VGND = VDD TABLE 1

Comparison table of Static and Total power


dissipations.

Power 6T Sub-threshold Proposed


dissipation SRAM 10T SRAM 10T SRAM
Static 65.7n 60.8n
Total 0.234u 18.41u 0.227

C. Stability analysis:

Here stability parameters like Static Current


Noise Margin (SINM), Static Voltage Noise
Margin (SVNM), Write Trip Current (WTI) and
Write Trip Voltage (WTV) are calculated using N-
curve analysis [4].

Pull Up Ratio (PUR) and Cell Ratio (CR) [8] are


Fig. 12. Total power dissipation of Sub-Threshold 10T kept 1. The conventional 6T SRAM cell cant
SRAM cell when VGND = GND give reliable stability values for this CR and PUR
as shown in figure 15.

Fig. 13. Total power dissipation of proposed 10T SRAM


Fig. 15. N-curve analysis of conventional 6T SRAM cell
cell in Write and Hold mode.

978-1-4673-9745-2 2016 IEEE


International Conference on Advances in Electrical, Electronics, Information, Communication and Bio-Informatics (AEEICB16)

N-Curve should cross X-axis only at three points VI. CONCLUSION


but in-case of 6T SRAM cell it crossed four times
We designed a 10T-SRAM for low power
which indicates that the cell is not stable. Stability
consumption by reducing total power by 98% and
parameters are calculated for Sub-Threshold 10T static power by 55% than the Sub-Threshold 10T
cell and proposed 10T cell and results are noted in SRAM cell. And total power is decreased by 2.9%
table 2. and static power is reduced by 58% than the
conventional 6T SRAM cell. Stability parameters
like SINM, SVNM, WTI and WTV also increased
by 91%, 47%, 85% and 53% respectively when
compared to Sub-Threshold 10T SRAM cell. For
6T SRAM to work at this lower voltages we have
to increase cell ratio and pull up ratio which
ultimately increases the area of 6T SRAM cell.
This proposed circuit is also tested by giving 0.3 V
power supply and it gave reliable stability values.

REFERENCES

[1] A. Islam, Member, IEEE, and M. Hasan,


Member, IEEE, Leakage Characterization of
10T SRAM Cell in IEEE TRANSACTIONS
ON ELECTRON DEVICES, VOL. 59, NO. 3,
MARCH 2012.
[2] Govind Prasad, Design and Statistical analysis
of Low-Power Proposed SRAM cell Structure
Fig. 16. N-curve analysis of Sub-Threshold 10T SRAM in Springer -Analog Integrated Circuits and
cell Signal Processing, Volume 82, Issue 1, pp
349-358, January 2015
[3] Ik Joon Chang, Jae-Joon Kim, Sang Phill Park,
Student Member, IEEE, and Kaushik Roy,
Fellow, IEEE, A 32 kb 10T Sub-Threshold
SRAM Array With Bit-Interleaving and
Differential Read Scheme in 90 nm CMOS in
IEEE JOURNAL OF SOLID-STATE
CIRCUITS, VOL. 44, NO. 2, FEBRUARY
2009.
[4] Kundan Vanama, Rithwik Gunnuthula, Govind
Prasad, Design of Low Power Stable SRAM
Cell in IEEE ICCPCT-2014, March-2014,
Page(s): 1263-1267.
[5] Y. Chung and D.-Y. Lee, Differential-read
symmetrical 8T SRAM bit-cell with enhanced
data stability in Electronics Letters, Volume:
46, Issue: 18, September 2010, Page(s):1258
1260.
[6] Yong-Jun Xu, Zu-Ying Luo, Xiao-Wei Li, Li-
Fig. 17. N-curve analysis of proposed 10T SRAM cell Jian Li, Xian-Long Hong, Leakage Current
Estimation of CMOS circuit with Stack effect
TABLE 2 in Journal of Computer Science and
Technology, Volume 19, Issue 5, September
Comparison results of SINM, SUNM, WTI and WTV 2004, Page(s): 708 717.
[7] PN Vamsi Kiran, Nikhil Saxena, Design and
6T SRAM Sub-threshold Proposed Analysis of Different Types SRAM Cell
10T SRAM 10T SRAM Topologies, IEEE ICECS-2015, February-
SINM - 1.17 14.41 2015, Page(s): 167-173.
[8] P. Upadhyay, R. Kar, 1 D. Mandal, 1 S. P.
SVNM - 188.5 356.75 Ghoshal, Stability Analysis of a Novel
Proposed Low Power 10T SRAM cell for
WTI - 0.90 6.183
Write Operation. IEEE ACCT-2014,
February-2015, Page(s): 112-117.
WTV - 210.5 450.1

978-1-4673-9745-2 2016 IEEE

You might also like