You are on page 1of 6

initial

begin
forever
begin
clk=1; initial
begin
forever
begin
clk=1;
#50
clk=0;
#50
clk=1;
end
end
initial
begin
#50 in=0;
#50 in=1;
#50 in=1;
end
initial
begin
$monitor($time,"in=%b,out=%b,clk=%b,P_state=%b,N_state=%b",in,out,clk,P_state,N_state);
end
endmodule
//module fsm_test;
//
//reg clk, in;
//wire out;
//reg[15:0] sequence;
//integer i;
//
//mealy dut(in, out,clk);
//
//initial
//begin
// clk = 0;
// //reset = 1;
// sequence = 16'b0101_0111_0111_0010;
////#50 reset = 0;
//
// for( i = 0; i <= 15; i = i + 1)
// begin
// in = sequence[i];
// #50 clk = 1;
// #50 clk = 0;
// //$display("P_State = ", dut.P_state, " input = ", in, ", output = ", out);
//
// end
// test2;
//end
//
//task test2;
// for( i = 0; i <= 15; i = i + 1)
// begin
// in = $random % 2;
// #50 clk = 1;
// #50 clk = 0;
// $display("P_State = ", dut.P_state, " input = ", in, ", outputut = ", out);
//
// end
//endtask
//endmodule
initial
begin
forever
begin
clk=1;
#50
clk=0;
#50
clk=1;
end
end
initial
begin
#50 in=0;
#50 in=1;
#50 in=1;
end
initial
begin
$monitor($time,"in=%b,out=%b,clk=%b,P_state=%b,N_state=%b",in,out,clk,P_state,N_state);
end
endmodule
//module fsm_test;
//
//reg clk, in;
//wire out;
//reg[15:0] sequence;
//integer i;
//
//mealy dut(in, out,clk);
//
//initial
//begin
// clk = 0;
// //reset = 1;
// sequence = 16'b0101_0111_0111_0010;
////#50 reset = 0;
//
// for( i = 0; i <= 15; i = i + 1)
// begin
// in = sequence[i];
// #50 clk = 1;
// #50 clk = 0;
// //$display("P_State = ", dut.P_state, " input = ", in, ", output = ", out);
//
// end
// test2;
//end
//
//task test2;
// for( i = 0; i <= 15; i = i + 1)
// begin
// in = $random % 2;
// #50 clk = 1;
// #50 clk = 0;
// $display("P_State = ", dut.P_state, " input = ", in, ", outputut = ", out);
//
// end
//endtask
//endmodule

#50
clk=0;
#50
clk=1;
end
end
initial
begin
#50 in=0;
#50 in=1;
#50 in=1;
end
initial
begin
$monitor($time,"in=%b,out=%b,clk=%b,P_state=%b,N_state=%b",in,out,clk,P_state,N_state);
end
endmodule
//module fsm_test;
//
//reg clk, in;
//wire out;
//reg[15:0] sequence;
//integer i;
//
//mealy dut(in, out,clk);
//
//initial
//begin
// clk = 0;
// //reset = 1;
// sequence = 16'b0101_0111_0111_0010;
////#50 reset = 0;
//
// for( i = 0; i <= 15; i = i + 1)
// begin
// in = sequence[i];
// #50 clk = 1;
// #50 clk = 0;
// //$display("P_State = ", dut.P_state, " input = ", in, ", output = ", out);
//
// end
// test2;
//end
//
//task test2;
// for( i = 0; i <= 15; i = i + 1)
// begin
// in = $random % 2;
// #50 clk = 1;
// #50 clk = 0;
// $display("P_State = ", dut.P_state, " input = ", in, ", outputut = ", out);
//
// end
//endtask
//endmodule

You might also like