Professional Documents
Culture Documents
Nombre
Esta pequea gua detalla los pasos necesarios para realizar una simulacin con ISim (o Xilinx ISE
Simulator) para la versin 13.4 del software Xilinx ISE Design Suite. Correo electrnico
Para este tutorial, se asume que crearemos un divisor de frecuencia. Primero que nada, inicia el
programa Project Navigator, disponible en Inicio > Todos los programas > Xilinx ISE Design Suite
13.4 > ISE Design Tools > Project Navigator. Buscar en este sitio
http://www.estadofinito.com/usando-xilinx-ise-simulator/ Pgina 1 de 10
Usando Xilinx ISE Simulator 19/08/17 22)56
ENTRADAS RECIENTES
?
Listado 1: Divisor de frecuencia. De binario a siete segmentos: la
conversin
1 library IEEE;
2 use IEEE.STD_LOGIC_1164.ALL
ALL;
3 Metrnomo en VHDL (2 de 3):
4 entity clk200Hz is Alguien dijo variable?
5 Port (
6 entrada: in STD_LOGIC;
7 reset : in STD_LOGIC;
8 salida : out STD_LOGIC
9 );
10 end clk200Hz;
11
12 architecture Behavioral of clk200Hz is
13 signal temporal: STD_LOGIC;
14 signal contador: integer range 0 to 124999 := 0;
15 begin
16 divisor_frecuencia: process (reset, entrada) begin
17 if (reset = '1') then
18 temporal <= '0';
19 contador <= 0;
20 elsif rising_edge
rising_edge(entrada) then
21 if (contador = 124999) then
22 temporal <= NOT
NOT(temporal);
23 contador <= 0;
24 else
25 contador <= contador+1;
26 end if
if;
27 end if
if;
28 end process
process;
29
30 salida <= temporal;
http://www.estadofinito.com/usando-xilinx-ise-simulator/ Pgina 2 de 10
Usando Xilinx ISE Simulator 19/08/17 22)56
31 end Behavioral;
4. Sintetiza el componente
Se realiza la sntesis del diseo para verificar que la sintaxis es correcta. Para esto, es necesario
realizar tres acciones (mostrado en la figura 3):
http://www.estadofinito.com/usando-xilinx-ise-simulator/ Pgina 3 de 10
Usando Xilinx ISE Simulator 19/08/17 22)56
?
Listado 2: Banco de pruebas del divisor de frecuencia.
1 LIBRARY ieee;
2 USE ieee.std_logic_1164.ALL
ALL;
3
4 ENTITY clk200Hz_tb IS
5 END clk200Hz_tb;
6
7 ARCHITECTURE behavior OF clk200Hz_tb IS
8 COMPONENT clk200Hz
9 PORT
PORT(
10 entrada : IN std_logic;
11 reset : IN std_logic;
12 salida : OUT std_logic
13 );
14 END COMPONENT
COMPONENT;
15
16 -- Entradas
17 signal entrada : std_logic := '0';
18 signal reset : std_logic := '0';
19 -- Salidas
20 signal salida : std_logic;
21 constant entrada_t : time := 20 ns;
22 BEGIN
23 -- Instancia de la unidad bajo prueba.
24 uut: clk200Hz PORT MAP (
25 entrada => entrada,
26 reset => reset,
27 salida => salida
28 );
http://www.estadofinito.com/usando-xilinx-ise-simulator/ Pgina 4 de 10
Usando Xilinx ISE Simulator 19/08/17 22)56
29
30 -- Definicin del reloj.
31 entrada_process :process
process
32 begin
33 entrada <= '0';
34 wait for entrada_t / 2;
35 entrada <= '1';
36 wait for entrada_t / 2;
37 end process
process;
38
39 -- Procesamiento de estmulos.
40 estimulos: process
41 begin
42 reset <= '1'; -- Condiciones iniciales.
43 wait for 100 ns;
44 reset <= '0'; -- A trabajar!
45 wait
wait;
46 end process
process;
47 END
END;
6. Simula el comportamiento
Para realizar la simulacin del banco de pruebas recin desarrollado, es necesario:
http://www.estadofinito.com/usando-xilinx-ise-simulator/ Pgina 5 de 10
Usando Xilinx ISE Simulator 19/08/17 22)56
Para modificar el tiempo, es necesario dar click con el botn derecho del ratn sobre Simulate
Behavioral Model (vase figura 5). Esto nos mostrar una nueva pantalla (figura 6) que nos
permite modificar el tiempo de la simulacin.
http://www.estadofinito.com/usando-xilinx-ise-simulator/ Pgina 6 de 10
Usando Xilinx ISE Simulator 19/08/17 22)56
8. Repetir
Algunas veces es necesario cambiar los estmulos del banco de pruebas o modificar el tiempo de
la simulacin. En cualquier caso, slo es necesario cerrar la ventana de la simulacin actual, si
alguna se encuentra abierta, y volver a ejecutar el proceso de simulacin para ver reflejados los
nuevos cambios.
Entradas Relacionadas
http://www.estadofinito.com/usando-xilinx-ise-simulator/ Pgina 7 de 10
Usando Xilinx ISE Simulator 19/08/17 22)56
Comentarios
entity Contador is
Generic (width:POSITIVE:=8);
Port ( CLK : IN STD_LOGIC;
RESET : IN STD_LOGIC;
ENAMBLE : IN STD_LOGIC;
SALIDA : OUT STD_LOGIC_VECTOR (WIDTH-1 downto 0));
end Contador;
http://www.estadofinito.com/usando-xilinx-ise-simulator/ Pgina 8 de 10
Usando Xilinx ISE Simulator 19/08/17 22)56
Alguna idea???
Responder
Responder
Deja un comentario
Tu direccin de correo electrnico no ser publicada. Los campos obligatorios estn marcados
con *
Comentario
Nombre *
Correo electrnico *
Web
PUBLICAR COMENTARIO
http://www.estadofinito.com/usando-xilinx-ise-simulator/ Pgina 9 de 10
Usando Xilinx ISE Simulator 19/08/17 22)56
Estado Finito
http://www.estadofinito.com/usando-xilinx-ise-simulator/ Pgina 10 de 10