You are on page 1of 4

UNIVERSIDAD INDUSTRIAL DE SANTANDER

Escuela de Ingenieras Elctrica, Electrnica y de Telecomunicaciones


Perfecta Combinacin entre Energa e Intelecto

INFORME FINAL
CERRADURA ELECTRNICA
Proyecto Sistemas Digitales
Semestre II 2013
Jhonny Benjumea, Luis Camilo Fonseca
Presentado a: ING Carlos Boada.

RESUMEN herramienta de sntesis utiliza un subconjunto


ligeramente modificado, como tambin el cambio de
El presente laboratorio se refiere al diseo e herramienta de sntesis requiere la modificacin del
cdigo VHDL.
implementacin de un circuito hardware, como tambin a
la fabricacin de una pcb que permita la implementacin
de una cerradura electrnica empleando el lenguaje
VHDL en el cual se describe los circuitos electrnicos
digitales que utilizan distintos niveles de abstraccin.
OBJETIVO GENERAL
PALABRAS CLAVE: Disear el circuito hardware en VHDL y fabricar la PCB
que permita implementar una cerradura electrnica
Display 7 segmentos, matriz, catodo comn, Maquina de
empleando los conocimientos adquiridos en la asignatura
estado.
de Sistemas Digitales.
.

1. INTRODUCCIN Marco Terico


La caracterstica principal de este tipo de elaboracin es
hacer uso de la herramienta VHDL el cual es el lenguaje Funcionamiento del Display 7 segmentos
de descripcin el cual especifica los circuitos electrnicos
en un formato adecuado para ser interpretado. Un Display de 7 segmentos es un arreglo de 8 LEDs que
estn dispuestos en un encapsulado de tal forma que al
Este proyecto est pensado en implementar los cdigos encenderse pueden mostrar dgitos alfanumricos.
necesarios para el funcionamiento de la cerradura
electrnica se hace uso de las compuertas lgicas El Display que se usara en este proyecto es del tipo
fundamentales del lenguaje VHDL. ctodo comn. Ya que todos los LEDs de cada display
comparten el ctodo a continuacin se muestra el arreglo
El mtodo empleado para el desarrollo de este proyecto para el ctodo comn.
es el uso de diagramas de las compuertas simples con
los cuales se basa el desarrollo de este proyecto.

Algunas de las limitaciones que se presentaron en la


descripcin en VHDL son que el VHDL que cada

4
UNIVERSIDAD INDUSTRIAL DE SANTANDER
Escuela de Ingenieras Elctrica, Electrnica y de Telecomunicaciones
Perfecta Combinacin entre Energa e Intelecto

E3 E2 E1 E0 a b c d e f g PD
0 0 0 0 1 1 1 1 1 1 0 0
0 0 0 1 0 1 1 0 0 0 0 0
0 0 1 0 1 1 0 1 1 0 1 0
0 0 1 1 1 1 1 1 0 0 1 0
0 1 0 0 0 1 1 0 0 1 1 0
0 1 0 1 1 0 1 1 0 1 1 0
La nomenclatura en los Display asigna las letras a a g y
0 1 1 0 1 0 1 1 1 1 1 0
PD para designar a cada uno de los LEDs en el arreglo,
0 1 1 1 1 1 1 0 0 0 0 0
como se muestra a continuacin
1 0 0 0 1 1 1 1 1 1 1 0
1 0 0 1 1 1 1 0 0 1 1 0
1 0 1 0 1 1 1 0 1 1 1 0
1 0 1 1 0 0 1 1 1 1 1 0
1 1 0 0 1 0 0 1 1 1 0 0
1 1 0 1 0 1 1 1 1 0 1 0
1 1 1 0 1 0 0 1 1 1 1 0
1 1 1 1 1 0 0 0 1 1 1 0

Esta tabla seria para un solo displey para le presente


proyecto se deber realizar para cada display.

: Diseo
El decodificador para el display de 7 segmentos se
realiza usando compuertas lgicas y funciones booleanas
Teniendo claridad con esta informacin se procede al implementando la tabla de verdad mostrada, para las 7
desarrollo de la tabla de verdad donde a cada diferentes entradas el punto decimal siempre permanece
combinacin de entrada se le asignara la salida para un apagado, Para el diseo de este descodificador, se
determinado LEDs tomando en cuenta que es ctodo implementar la Tabla usando sintaxis When/Else para
comn. los 7 segmentos de inters de cada uno de los displays.

Se toma como el bit ms significativo como el segmento


Teclado matricial
PD, en la siguiente tabla se muestra como deben
encenderse los segmentos para mostrar los nmeros del Definicin
0 al 9 y las letras H O L A usando un display de ctodo
comn . Un teclado matricial es una matriz de botones que por
defecto estn en circuito abierto, una vez pulsada una
tecla cerraremos el circuito de modo que una de las
cuatro salidas el teclado tendr corriente. En concreto
este teclado dispone de 4 entradas y 4 salidas, las
entradas se corresponden con las filas y las salidas con
las columnas. Si queremos detectar que tecla se ha
pulsado tendremos que poner corriente en las entradas,
4
UNIVERSIDAD INDUSTRIAL DE SANTANDER
Escuela de Ingenieras Elctrica, Electrnica y de Telecomunicaciones
Perfecta Combinacin entre Energa e Intelecto

entonces, al pulsar una tecla de esa fila su salida que consta que la maquina nos diga que tecla se
correspondiente tendr corriente y las dems no. Por presiono es:
ejemplo, supongamos que tenemos 4 bits para las
entradas y 4 para las salidas y que vamos a pulsar la
tecla 5, entonces la entrada valdr 0010 y la salida 0010,
fila dos columna dos. De esta forma podemos identificar
cualquier tecla de nuestro teclado.

Ahora nuestro objetivo es detectar la tecla pulsada y


mostrar su valor en un display de 7 segmentos, como
vimos en el post anterior el circuito del display es un
circuito combinacional donde la salida est en funcin
nicamente de la entrada, pero el teclado matricial es un
sistema secuencial donde los valores de la salida, en un
momento dado, no dependen exclusivamente de los
valores de la entrada en ese momento, sino que tambin
dependen de un estado anterior. Por tanto tendremos
que modelar en vhdl una mquina de estados.

Esta mquina de estados tendr 5 estados, el primero


ser el de espera y los dems los correspondientes a
cada fila del teclado, de modo que estando en el estado
de espera una vez pulsada una tecla iremos interrogando
fila a fila cul ha sido su correspondiente salida para as
poder determinar la tecla que se ha pulsado y una vez
detectada volveremos al estado de espera.

Una manera de resolver este ejercicio es en dos partes


cada una de ellas son procesos concurrentes as que se
pueden trabajar como maquinas separadas
La primera es la encargada de generarme la secuencia
en C(4..0) y que cada una de estas secuencias dure 5ms;
C va ir alternado nuestra secuencia seguir el siguiente
diagrama de estados;

LED
Se utilizarn 2 ledes (fig. 3) que indicarn los siguientes
estados: IDLE, Busy, Error, Ok.

Maquina secuencial que genera secuencia C, la cual es


hasta 5000 ya que se quiere 5ms, con un clk de 1us, hay
un proceso que se encarga de generar a los contadores.
Figura 3.
El diagrama de estado que nos define la segunda parte

4
UNIVERSIDAD INDUSTRIAL DE SANTANDER
Escuela de Ingenieras Elctrica, Electrnica y de Telecomunicaciones
Perfecta Combinacin entre Energa e Intelecto

Ellos estn iluminados nicamente por el movimiento de


los electrones en un semiconductor de material, y que La manera como se control el servomotor es basados
durar el mismo tiempo como un transistor estndar. en la modulacin por ancho de pulsos (PWM),
La vida til de un LED supera la corta vida de una La tcnica de PWM consiste en producir un pulso
bombilla incandescente por miles de horas rectangular con un ciclo de trabajo determinado, este
ciclo de trabajo puede variar de 0 a 100%. En la Figura
AUDFONOS se muestra un pulso con un ciclo de trabajo del 50%, es
decir Ton/T = 0.5.

La PCB tendr con un puerto de salida al cual se unir un


conector hembra de 3.5 [mm] para audfonos Fig.4. Estos
emitirn un sonido cada vez que una tecla sea
presionada.

Figura

En el ingreso de la posicin de apertura, los nmeros


correspondern a los siguientes
grados:

Tecla 0 1 2 3 4 5 6 7 8 9
Figura 4. Grado de 00 200 400 600 800 1000 1200 1400 1600 1800
apertura

SERVOMOTOR
Tabla 1.
Un servomotor es bsicamente un actuador
mecnico basado en un motor y un conjunto de
engranajes que permiten multiplicar el torque del sistema
final, el cual posee elementos de control para monitorear 1. REFERENCIAS
de manera constante la posicin de un elemento
mecnico que ser el enlace con el mundo exterior. Es [1] http://www.slideshare.net/gustavo276/como-usar-
decir, ante una accin inducida electrnicamente a display-anodo-comn
un servomor (ver figura 5), obtendremos por resultado
una respuesta mecnica controlada [2]
http://galia.fc.uaslp.mx/~cantocar/microcontroladores/
SLIDES_8051_PDF/20_MATRI.PDF
[3] H. Khalil, Nonlinear Systems, 2nd. ed., Prentice Hall,
NJ, pp. 50-56, 1996.
[4] Francis. B. A. and W. M. Wonham, The internal
model principle of control theory, Automatica. Vol. 12.
pp. 457-465. 1976.
[5] E. H. Miller, A note on reflector arrays, IEEE Trans.
Antennas Propagat., Aceptado para su publicacin.
[6] Control Toolbox (6.0), Users Guide, The Math Works,
2001, pp. 2-10-2-35.
[7] J. Jones. (2007, Febrero 6). Networks (2nd ed.) [En
lnea]. Disponible en: http://www.atm.com.
Figura
4

You might also like