Professional Documents
Culture Documents
ACTIVIDAD # 7
CAPTULO DEL CURSO: LENGUAJE DE DESCRIPCIN DE HARDWARE VHDL
OBJETIVOS DE APRENDIZAJE:
Aplicar conceptos relacionados a VHDL en los circuitos combinatoriales.
Describir circuitos digitales combinatoriales en lenguaje VHDL.
MATERIALES Y HERRAMIENTAS:
- Ejercicios realizados en las sesiones de clase.
- Quartus II.
MARCO TERICO:
ENTITY nand2 IS
PORT(I1,I2: IN BIT;
O: OUT BIT);
END nand2;
ARCHITECTURE C1 OF nand2 IS
BEGIN
O <= NOT(I1 AND I2); Figura 2: Diagrama de bloque la puerta NAND
END C1;
Adicionalmente, debe tenerse en cuenta que el archivo de texto creado se graba con
el mismo nombre de la entidad y con la extensin vhd. Para el ejemplo anterior, el
archivo recibir el nombre de: nand2.vhd
LIBRARY ieee;
USE ieee.std_logic_1164.all;
USE ieee.std_logic_unsigned.all;
ENTITY <nombre_entidad> IS
PORT(<nombre_pin>: <modo><tipo>;
<nombre_pin>: <modo><tipo>);
END <nombre_entidad>;
ENTITY XOR IS
PORT (A,B: IN BIT;
Y: OUT BIT); A AN ANB
END XOR;
B
Architecture XOR1 of XOR is Y
- declaracin de seales
signal AN, BN : bit;
signal ANB, ABN : bit;
- declaracin de componentes ABN
component INV BN
port (I: in bit;
O: out bit); Figura 3: Circuito equivalente de exor con
end component; nombres de las seales internas.
component AND2
port (I1, I2: in bit;
O: out bit);
end component;
component OR2
port (I1, I2: in bit;
O: out bit);
end component;
begin
- instanciacin de componentes
U1: INV port map(A,AN);
U2: INV port map(B,BN);
U3: AND2 port map(AN,B,ANB);
U4: AND2 port map(A,BN,ABN);
U5: OR2 port map(ANB,ABN,Y);
end XOR1;
Uno de los tipos de seales con que trabaja el VHDL es el arreglo de seales, tanto
del tipo bit_vector, como del tipo std_logic_vector. Esto permite escribir de forma ms
compacta los diseos en VHDL. Ellos representan un arreglo de bits y se utilizan con
dispositivos multiterminales.
Entity decod2x4 is
Port(A: in bit_vector(1 downto 0);
NEN: in bit;
NY: out bit_vector(3 downto 0));
End decod2x4;
En la descripcin por flujo de datos, la arquitectura describe como fluyen las seales
de entrada por el circuito, pasando por todas las funciones lgicas intermedias hasta
las funciones finales, y de all hacia los terminales de salida. Este estilo de escritura
de la arquitectura es llamada descripcin de transferencia de registros RTL (Register
Transfer Language). Los diseos ejecutados en la descripcin RTL son prcticas
comunes en el diseo digital moderno. En la aproximacin por flujo de datos se utilizan
declaraciones de asignacin de seales, as como operadores lgicos que no se
emplean en el estilo de escritura estructural, volviendo la arquitectura ms compacta.
Despus de la palabra clave begin, se produce la asignacin del flujo de datos de las
seales del miembro de la derecha (expresin) hacia la seal Y, del miembro de la
izquierda (salida).
El VHDL posee un conjunto de operadores que se usan con las seales o con las
variables declaradas en sus diseos. Los operadores lgicos que tiene el VHDL en
sus libreras son: not, and, or, nand, nor, xor y xnor. La figura 5, presentada a
continuacin contiene una clasificacin de los operadores definidos en el lenguaje
VHDL.
Cuando los operadores lgicos se usan con los tipos de seales std_logic o bit, ellos
tienen su significado usual. Los valores '0' y '1' son valores constantes y deben estar
encerrados entre apstrofes. Cuando el tipo de seal usada es declarada
std_logic_vector o bit_vector, entonces los valores deben estar encerrados entre
comillas.
Un ejemplo de operaciones lgicas con el tipo de
datos vector es el siguiente:
01100101xor10101101=11001000
1. Para cada uno de los siguientes ejercicios, describa en VHDL nicamente la entidad
a partir de los siguientes diagramas de bloque.
a) b)
4 2
W.H F.H (A)2 F1
Circuito Circuito
4 4
(X)2 1 B.H 2
(Y)2
c) d)
P.H R.H F3.H
F2.H
Circuito Circuito
3 4 4 S.H
(Q)2
Bibliografa:
[1]. Fundamentos de Lgica Digital, Stephen Brown & Zvonco Vranesic, Segunda
Edicin, Mc.Graw Hill, 2009.
[3]. Anlisis y Diseo de Circuitos Lgicos Digitales, Vctor Nelson, Troy Nagle, Bill
Carroll, David Irwin, Primera Edicin, Prentice Hall, 2000.
[4]. Digital Design with RTL Design, Verilog and VHDL, Frank Vahid, Second Edition,
John Wiley and Sons, 2010.
30/05/2017