You are on page 1of 33

Multirate Digital Signal Up-Sampler

Processing Time-Domain Characterization


An up-sampler with an up-sampling factor
Basic Sampling Rate Alteration Devices L, where L is a positive integer, develops an
Up-sampler - Used to increase the sampling output sequence xu [n] with a sampling rate
rate by an integer factor that is L times larger than that of the input
sequence x[n]
Down-sampler - Used to decrease the
sampling rate by an integer factor Block-diagram representation
x[n] L xu [n ]

1 2
Copyright 2010, S. K. Mitra Copyright 2010, S. K. Mitra

Up-Sampler Up-Sampler
Figure below shows the up-sampling by a
Up-sampling operation is implemented by factor of 3 of a sinusoidal sequence with a
inserting L 1 equidistant zero-valued frequency of 0.12 Hz obtained using
samples between two consecutive samples Program 13_1
Input Sequence Output sequence up-sampled by 3
of x[n] 1 1

Input-output relation 0.5 0.5


Amplitude

Amplitude
n = 0, L, 2 L,L
xu [n] =
0 0
x[n / L],
0, otherwise -0.5 -0.5

-1 -1
0 10 20 30 40 50 0 10 20 30 40 50
Time index n Time index n
3 4 2 zero-valued
Copyright 2010, S. K. Mitra samples Copyright 2010, S. K. Mitra

Down-Sampler
Up-Sampler
Time-Domain Characterization
In practice, the zero-valued samples An down-sampler with a down-sampling
inserted by the up-sampler are replaced with factor M, where M is a positive integer,
appropriate nonzero values using some type develops an output sequence y[n] with a
of filtering process sampling rate that is (1/M)-th of that of the
Process is called interpolation and will be input sequence x[n]
discussed later Block-diagram representation
x[n] M y[n]

5 6
Copyright 2010, S. K. Mitra Copyright 2010, S. K. Mitra

1
Down-Sampler
Down-Sampler
Figure below shows the down-sampling by
Down-sampling operation is implemented a factor of 3 of a sinusoidal sequence of
by keeping every M-th sample of x[n] and frequency 0.042 Hz obtained using Program
removing M 1 in-between samples to 13_2
Input Sequence Output sequence down-sampled by 3
1 1
generate y[n]
0.5 0.5
Input-output relation

Amplitude
Amplitude
0 0
y[n] = x[nM]
-0.5 -0.5

-1 -1
0 10 20 30 40 50 0 10 20 30 40 50
Time index n Time index n
Removed
7 8
Copyright 2010, S. K. Mitra Copyright 2010, S. K. Mitra

Basic Sampling Rate


Down-Sampler
Alteration Devices
Sampling periods have not been explicitly Figure below shows explicitly the input-
shown in the block-diagram representations output sampling rates of the down-sampler
of the up-sampler and the down-sampler
x[ n ] = xa ( nT ) M y[ n ] = xa ( nMT )
This is for simplicity and the fact that the
mathematical theory of multirate systems Input sampling frequency Output sampling frequency
can be understood without bringing the FT =
1 F
FT' = T =
1
sampling period T or the sampling T M T'
frequency FT into the picture
9 10
Copyright 2010, S. K. Mitra Copyright 2010, S. K. Mitra

Up-Sampler Serial-to-Parallel Converter


Figure below shows explicitly the input- A bank of down-samplers can be used to
output sampling rates of the up-sampler convert a serial digital data into parallel
form
x[ n ] = xa ( nT ) L y[n]
x ( nT / L ), n =0, L, 2 L,K Consider the structure shown below
= a
0 otherwise x[n ] 3 x[n ]
z
Input sampling frequency Output sampling frequency
3 x[ n + 1]
1 1
FT = FT' = LFT = z
T T' 3 x[ n + 2 ]
11 12
Copyright 2010, S. K. Mitra Copyright 2010, S. K. Mitra

2
Serial-to-Parallel Converter Serial-to-Parallel Converter
The multirate structure shown on the The multirate structure shown on the
previous slide converts an input sequence previous slide converts an input sequence
into a sequence vector of length 3 into a sequence vector of length 3
The first 4 samples of the sequences v1[n] , At time instant n, the vector generated is
v2 [n], and v3[n] are shown below:
x[n]
v1[ n] : x[n] x[n + 3] x[n + 6] x[ n + 9] L x[n + 1]
v2 [ n] : x[n + 1] x[ n + 4] x[n + 7] x[n + 10] L
x[n + 2]
v3[n] : x[ n + 2] x[n + 5] x[n + 8] x[n + 11] L
13 14
Copyright 2010, S. K. Mitra Copyright 2010, S. K. Mitra

Serial-to-Parallel Converter Serial-to-Parallel Converter


The first output vector generated at the A realizable form of the serial-to-parallel
input time index n is converter of slide 12 is shown below which
[x[n] x[n + 1] x[n + 2]]T has a system delay of 3 sample periods
The next vector generated at the input time 3 x[n ]
index n+3 is z1
[x[n + 3] x[n + 4] x[n + 5]]T 3 x[ n + 1]
z1
and so on x[ n + 2 ] 3 x[ n + 2 ]

15 16
Copyright 2010, S. K. Mitra Copyright 2010, S. K. Mitra

Parallel-to-Serial Converter Parallel-to-Serial Converter


A bank of up-samplers can be used to The first 9 samples of the sequences u1[n] ,
convert a vector of digital data into serial u2 [n], u3[n], and y[n] are shown below:
form Sequence n n +1 n + 2 n +3 n+4 n+5 n +6 n+7 n +8
u3 [ n ]: v3 [ n ] 0 0 v3 [ n +1] 0 0 v3 [ n + 2 ] 0 0
Consider the structure shown below u2 [ n ]: v2 [ n ] 0 0 v2 [ n +1] 0 0 v2 [ n + 2 ] 0 0
u1 [ n ]: v1 [ n ] 0 0 v1 [ n +1] 0 0 v1 [ n + 2 ] 0 0
u3 [n ] u3 [ n 2 ]: 0 0 v3 [ n ] 0 0 v3 [ n +1] 0 0 v3 [ n + 2 ]
v3 [ n ] 3 u2 [ n 1]: 0 v2 [ n ] 0 0 v2 [ n +1] 0 0 v2 [ n + 2 ] 0

u2 [n ]
z1 y[ n ] v1 [ n ] v2 [ n ] v3 [ n ] v1 [ n +1] v2 [ n +1] v3 [ n +1] v1 [ n + 2 ] v2 [ n + 2 ] v3 [ n + 2 ]

v2 [n ] 3 +
z1 y[ n ] = v1[ n ]+ v 2 [ n 1]+ v 3 [ n 2 ]
u1[n ]
v1 [n ] 3 + y[n ]
17 18
Copyright 2010, S. K. Mitra Copyright 2010, S. K. Mitra

3
Parallel-to-Serial Converter Parallel-to-Serial Converter

19 20
Copyright 2010, S. K. Mitra Copyright 2010, S. K. Mitra

Parallel-to-Serial Converter A Simple Multirate Structure


v[n ] vu [n ]
x[n ] 2 2
z1 z1
y[n ]
w[n ] 2 wu [n ]+
2

The operation of the above multirate


structure can be analyzed by writing down
the relations between various signal
variables, and the input as shown in the next
slide
21 22
Copyright 2010, S. K. Mitra Copyright 2010, S. K. Mitra

A Simple Multirate Structure A Simple Multirate Structure


n: 0 1 2 3 4 5 6 7 8 n: 0 1 2 3 4 5 6 7 8

x[ n ]: x[ 0 ] x[1] x[ 2 ] x[3] x[ 4 ] x[ 5] x[6 ] x[ 7] x[8] x[ n ]: x[ 0 ] x[1] x[ 2 ] x[3] x[ 4 ] x[5] x[6 ] x[7] x[8]
v[ n ]: x[ 0 ] x[2 ] x[ 4 ] x[6 ] x[8] x[10 ] x[12 ] x[14 ] x[16 ]
w[ n ]: x[ 1] x[1] x[3] x[5] x[7] x[9] x[11] x[13] x[15]

23 24
Copyright 2010, S. K. Mitra Copyright 2010, S. K. Mitra

4
A Simple Multirate Structure A Simple Multirate Structure
n: 0 1 2 3 4 5 6 7 8 n: 0 1 2 3 4 5 6 7 8

x[ n ]: x[ 0 ] x[1] x [ 2 ] x[ 3 ] x[ 4 ] x[ 5 ] x [ 6 ] x[ 7 ] x[ 8 ] x[ n ]: x[0 ] x[1] x[ 2 ] x[3] x[ 4 ] x[5] x[6 ] x[ 7] x[8]


v[ n ]: x[ 0 ] x[ 2 ] x[ 4 ] x[ 6 ] x[8 ] x[10 ] x[12 ] x[14 ] x[16 ] v[ n ]: x[0 ] x[2 ] x[ 4 ] x[6 ] x[8] x[10 ] x[12 ] x[14 ] x[16 ]
w[ n ]: x[ 1] x[1] x[3] x[ 5] x[ 7] x[ 9] x[11] x[13] x[15]
w[ n ]: x[ 1] x[1] x[ 3 ] x[ 5 ] x[ 7 ] x[ 9 ] x[11] x[13 ] x[15 ] vu [ n ]: x[0 ] 0 x[ 2 ] 0 x[ 4 ] 0 x[6 ] 0 x[8]
vu [ n ]: x[ 0 ] 0 x[ 2 ] 0 x[ 4 ] 0 x[ 6 ] 0 x[ 8 ] wu [ n ]: x[ 1] 0 x[1] 0 x[3] 0 x[ 5] 0 x[ 7]
wu [ n ]: x[ 1] 0 x[1] 0 x[ 3 ] 0 x[ 5 ] 0 x[ 7 ] vu [ n 1]: 0 x[0 ] 0 x[ 2 ] 0 x[ 4 ] 0 x[6 ] 0
y[ n ]: x[ 1] x[0 ] x[1] x[ 2 ] x[3] x[ 4 ] x[ 5] x[6 ] x[ 7]

y[n] = vu [n 1] + wu [n] = x[n 1]

25 26
Copyright 2010, S. K. Mitra Copyright 2010, S. K. Mitra

Basic Sampling Rate


Basic Sampling Rate
Alteration Devices
Alteration Devices
Consider a factor-of-M down-sampler
defined by y[n] = x[nM]
The up-sampler and the down-sampler are
linear but time-varying discrete-time Its output y1[n] for an input x1[n] = x[n n0 ]
systems is then given by
y1[n] = x1[ Mn] = x[ Mn n0 ]
We illustrate the time-varying property of a
down-sampler From the input-output relation of the down-
The time-varying property of an up-sampler sampler we obtain
can be proved in a similar manner y[n n0 ] = x[ M ( n n0 )]
27 28
= x[ Mn Mn0 ] y1[n]
Copyright 2010, S. K. Mitra Copyright 2010, S. K. Mitra

Up-Sampler Up-Sampler

Frequency-Domain Characterization In terms of the z-transform, the input-output


relation is then given by
Consider first a factor-of-2 up-sampler
whose input-output relation in the time-

domain is given by
X u ( z) = xu [n] z n = x[n / 2] z n
n = n =
n even
x[n / 2], n = 0, 2, 4,K
xu [n] =
0, otherwise
= x[m] z 2m = X ( z 2 )
m =

29 30
Copyright 2010, S. K. Mitra Copyright 2010, S. K. Mitra

5
Up-Sampler Up-Sampler
Figure below shows the relation between
In a similar manner, we can show that for a X (e j ) and X u (e j ) for L = 2 in the case of
factor-of-L up-sampler a typical sequence x[n]
X u ( z) = X ( z L )
On the unit circle, for z = e j , the input-
output relation is given by
X u ( e j ) = X ( e j L )

31 32
Copyright 2010, S. K. Mitra Copyright 2010, S. K. Mitra

Up-Sampler Up-Sampler
As can be seen, a factor-of-2 sampling rate Similarly in the case of a factor-of-L
expansion leads to a compression of X (e j ) sampling rate expansion, there will be L 1
by a factor of 2 and a 2-fold repetition in the additional images of the input spectrum in
baseband [0, 2] the baseband
This process is called imaging as we get an Lowpass filtering of xu [n] removes the L 1
additional image of the input spectrum images and in effect fills in the zero-
valued samples in xu [n] with interpolated
sample values
33 34
Copyright 2010, S. K. Mitra Copyright 2010, S. K. Mitra

Up-Sampler Down-Sampler
Program 13_3 can be used to illustrate the Frequency-Domain Characterization
frequency-domain properties of the up- Applying the z-transform to the input-output
sampler shown below for L = 4 relation of a factor-of-M down-sampler
y[n] = x[Mn]
Input spectrum Output spectrum
1 1

0.8 0.8
we get
Magnitude

Magnitude

x[Mn] z n
0.6
Y ( z) =
0.6

0.4 0.4

0.2 0.2 n =
0 0
The expression on the right-hand side
0 0.2 0.4 0.6 0.8 1 0 0.2 0.4 0.6 0.8 1
/ / cannot be directly expressed in terms of
35 36
X(z)
Copyright 2010, S. K. Mitra Copyright 2010, S. K. Mitra

6
Down-Sampler Down-Sampler
To get around this problem, define a new Now, xint [n] can be formally related to x[n]
sequence xint [n] : through
xint [n] = c[n] x[n]
x[n], n = 0, M , 2M ,K
xint [n] = where
0, otherwise 1, n = 0, M , 2 M ,K
c[n] =
Then 0, otherwise
A convenient representation of c[n] is given
Y ( z) = x[Mn] z n = xint [Mn] z n by 1 M 1 kn
n =

n = c[n] = WM
M k =0
= xint [k ] z k / M = X int ( z1/ M ) where WM = e j 2 / M
37 k = 38
Copyright 2010, S. K. Mitra Copyright 2010, S. K. Mitra

Down-Sampler Down-Sampler
Taking the z-transform of xint [n] = c[n] x[n]
and making use of Hence,
M 1
c[n] =
1
WMkn Y ( z ) = X int ( z1 / M )
1 M 1
M k =0 1/ M k )
= X ( z WM )
we arrive at M
k =0

M 1 kn
1
X int ( z ) = c[n]x[n] z n = M WM x[n] z n On the unit circle,
n = n = k =0 1 M 1
Y ( e j ) = X (e j (2k ) / M )
=
1 M 1 kn n 1 M 1
x[n]WM z = M X z WMk
M k =0 n =
( ) M k =0
39 k =0 40
Copyright 2010, S. K. Mitra Copyright 2010, S. K. Mitra

Down-Sampler
Down-Sampler
Consider a factor-of-2 down-sampler with
an input x[n] whose spectrum is as shown Now X (e j / 2 ) = X (e j ( 2 ) / 2 ) implying
below that the second term X (e j / 2 ) in the
previous equation is simply obtained by
shifting the first term X (e j / 2 ) to the right
by an amount 2 as shown below

The DTFTs of the output and the input


sequences of this down-sampler are then
related as
1
Y (e j ) = { X (e j / 2 ) + X ( e j / 2 )}
41 2 Copyright 2010, S. K. Mitra
42
Copyright 2010, S. K. Mitra

7
Down-Sampler Down-Sampler
The plots of the two terms have an overlap, This overlap causes the aliasing that takes
and hence, in general, the original shape place due to under-sampling
of X (e j ) is lost when x[n] is down- There is no overlap, i.e., no aliasing, only if
sampled as indicated below X ( e j ) = 0 for / 2
Note: Y (e j ) is indeed periodic with a
period 2, even though the stretched version
of X (e j ) is periodic with a period 4

43 44
Copyright 2010, S. K. Mitra Copyright 2010, S. K. Mitra

Down-Sampler
Down-Sampler Aliasing is absent if and only if

For the general case, the relation between X (e j ) = 0 for / M


the DTFTs of the output and the input of a as shown below for M = 2
factor-of-M down-sampler is given by X (e j ) = 0 for / 2
1 M 1
Y ( e j ) = X (e j (2k ) / M )
M k =0
Y (e j ) is a sum of M uniformly
shifted and stretched versions of X (e j )
and scaled by a factor of 1/M
45 46
Copyright 2010, S. K. Mitra Copyright 2010, S. K. Mitra

Down-Sampler
Down-Sampler
The input and output spectra of a down-
Program 13_4 can be used to illustrate the sampler with M = 3 obtained using Program
frequency-domain properties of the down- 13_4 are shown below
sampler shown below for M = 2 1
Input spectrum
0.5
Output spectrum

Input spectrum Output spectrum 0.8 0.4


1 0.5
Magnitude
Magnitude

0.6 0.3
0.8 0.4
0.4 0.2
Magnitude

Magnitude

0.6 0.3
0.2 0.1
0.4 0.2
0 0
0.2 0.1 0 0.2 0.4 0.6 0.8 1 0 0.2 0.4 0.6 0.8 1
/ /
0 0
0 0.2 0.4 0.6 0.8 1 0 0.2 0.4 0.6 0.8 1
/ / Effect of aliasing can be clearly seen
47 48
Copyright 2010, S. K. Mitra Copyright 2010, S. K. Mitra

8
Up-Sampler and
Cascade Equivalences
Down-sampler Cascade
A complex multirate system is formed by an
interconnection of the up-sampler, the To implement a fractional change in the
down-sampler, and the components of an sampling rate we need to employ a cascade
LTI digital filter of an up-sampler and a down-sampler
In many applications these devices appear Consider the two cascade connections
in a cascade form shown below
v1[n ]
x[n ] L M y1[n ]
An interchange of the positions of the
branches in a cascade often can lead to a v 2 [n ]
x[n ] M L y2 [n ]
computationally efficient realization
49 50
Copyright 2010, S. K. Mitra Copyright 2010, S. K. Mitra

Up-Sampler and Up-Sampler and


Down-sampler Cascade Down-sampler Cascade
Consider the top cascade shown in the We next consider the bottom cascade shown
previous slide in Slide 36
Here, we have V1 ( z ) = X ( z L ) Here, we have
and 1 M 1 1 M 1 1/ M k
Y1 ( z ) = V (z
1/ M k
WM ) V2 ( z ) = X (z WM )
M k =0 M k =0
Combining the last two equations we get and Y2 ( z ) =V 2 ( z L )
1 M 1 L / M kL
Y1 ( z ) = X (z WM ) Combining the last two equations we get
M k =0 1 M 1 L / M k
Y2 ( z ) = X (z WM )
51 52 M k =0
Copyright 2010, S. K. Mitra Copyright 2010, S. K. Mitra

Up-
Up-Sampler and
Down-
Down-sampler Cascade Noble Identities
It follows from the above that Y1 ( z ) = Y2 ( z ) Two other cascade equivalences are shown
if below
1 M 1 L / M kL 1 M 1 L / M k Cascade equivalence #1
X (z WM ) = X (z WM )
M k =0 M k =0 x[n ] M H (z ) y1 [ n ]

The above equality holds if and only if M x[n ] H (z M ) M y1 [ n ]


and L are relatively prime, i.e. M and L do Cascade equivalence #2
not have a common factor that is an integer x[n ] L H (z L ) y2 [ n]
r > 1, as then WM k and WM kL take the same
x[n ] H (z ) L y2 [ n]
53
set of values for k = 0,1,K, M 1 54
Copyright 2010, S. K. Mitra Copyright 2010, S. K. Mitra

9
Multirate Structures for Multirate Structures for
Sampling Rate Conversion Sampling Rate Conversion
From the sampling theorem it is known that Likewise, the zero-valued samples
the sampling rate of a critically sampled introduced by an up-sampler must be
discrete-time signal with a spectrum
interpolated to more appropriate values for
occupying the full Nyquist range cannot be
reduced any further since such a reduction an effective sampling rate increase
will introduce aliasing We shall show shortly that this interpolation
Hence, the bandwidth of a critically can be achieved simply by digital lowpass
sampled signal must be reduced by lowpass filtering
filtering before its sampling rate is reduced
by a down-sampler
1 2
Copyright 2010, S. K. Mitra Copyright 2010, S. K. Mitra

Multirate Structures for


Basic Structures
Sampling Rate Conversion
Since a fractional-rate sampling rate Since up-sampling by an integer factor L
converter with a rational conversion factor causes periodic repetition of the basic
can be realized by cascading an interpolator spectrum, the basic interpolator structure for
with a decimator, filters are also needed in integer-valued sampling rate increase
the design of such multirate systems consists of an up-sampler followed by a
low-pass filter H (z ) with a cutoff at / L
as indicated below:
xu [n]
x[n ] L H (z ) y[n ]

3 4
Copyright 2010, S. K. Mitra Copyright 2010, S. K. Mitra

Basic Structures Basic Structures


The lowpass filter H (z ), called the Hence, the basic decimator structure for
interpolation filter, removes the unwanted integer-valued sampling rate decrease
images in the spectra of the up-sampled consists of a lowpss filter H (z ) with a cutoff
signal xu [n] at /M, followed by the down-sampler as
On the other hand, down-sampling by an shown below
integer factor M may result in aliasing y[n ]
x[n ] H (z) M

5 6
Copyright 2010, S. K. Mitra Copyright 2010, S. K. Mitra

1
Basic Structures Basic Structures
A fractional change in the sampling rate by
Here, the lowpass filter H (z ), called the a rational factor L/M can be achieved by
decimation filter, bandlimits the input signal cascading a factor-of-L interpolator with a
x[n] to < / M prior to down-sampling, factor-of-M decimator
to ensure no aliasing
The interpolator must precede the decimator
It can be shown that the transpose of a as shown below to ensure that the baseband
factor-of-M decimator is a factor-of-M of w[n] is greater than or equal to that of
interpolator x[n] or y[n]
x[ n ] L H u (z) w[ n ] Hd (z) M y[n ]

7 8
Copyright 2010, S. K. Mitra Copyright 2010, S. K. Mitra

Input-Output Relation of the


Basic Structures
Decimator
As both the interpolation filter H u (z ) and For the decimator structure shown below,
the decimation filter H d (z ) operate at the let h[n] denote the impulse response of the
same sampling rate, they can be replaced decimation filter H(z)
with a single filter designed to avoid v[ n ] y[n ]
x[ n ] H (z) M
aliasing that may be caused by down- Then
sampling and eliminate images resulting v[n] = h[n l]x[l]
from up-sampling l =
and
x[ n ] L H ( z) M y[ n ] y[n] = v[ Mn]
9 10
Copyright 2010, S. K. Mitra Copyright 2010, S. K. Mitra

Input-Output Relation of the Input-Output Relation of the


Decimator Decimator
Combining the last two equations we arrive Now the input-output relation of the dowm-
at the desired input-output relation of the sampler is given by
decimator given by 1 M 1 1 / M k
Y ( z) = V ( z WM )
y[n] = h[ Mn l] x[l] M k =0
l = Combining the last two equations we arrive
In the z-domain, the input-output relation of at the input-output relation of the decimator
the decimation filter is given by as
1 M 1 1 / M k 1 / M k
V ( z) = H ( z) X ( z) Y ( z) = H ( z WM )X ( z WM )
M k =0
11 12
Copyright 2010, S. K. Mitra Copyright 2010, S. K. Mitra

2
Input-Output Relation of the Input-Output Relation of the
Interpolator Interpolator
For the interpolator structure shown below, Combining the last two equations and
let h[n] denote the impulse response of the making a change of a variable, we arrive at
decimation filter H(z) the desired time-domain input-output
xu [n] relation of the interpolator as
x[ n ] L H (z ) y[n ]

Then y[n] = h[n Lm] x[ m]
y[n] = h[ n l] xu [l] m =
l =
and In the z-domain, the input-output relation of
xu [ Lm] = x[m], m = 0, 1, 2,K the interpolator is thus given by
13 14
Y ( z) = H ( z) X ( z L )
Copyright 2010, S. K. Mitra Copyright 2010, S. K. Mitra

Input-Output Relation of the Interpolation Filter


Fractional-Rate Converter Specifications
Here, in the time-domain the input-output Assume x[n] has been obtained by sampling a
relation is given by continuous-time signal xa (t ) at the Nyquist
rate
y[n] = h[ Mn Lm]x[ m]
m = If X a ( j) and X (e j ) denote the Fourier
transforms of xa (t ) and x[n], respectively,
In the z-domain it is given by
then it can be shown
1 M 1 1/ M k L / M kL 1 j j 2 k
Y ( z) = H ( z WM )X ( z WM ) X ( e j ) =
M k =0 Xa
To k = To
15 16
where To is the sampling period
Copyright 2010, S. K. Mitra Copyright 2010, S. K. Mitra

Interpolation Filter Interpolation Filter


Specifications Specifications
Figures below show xa (t ) and x[n] obtained Figures below show the Fourier transforms of
by sampling xa (t ) at the Nyquist rate xa (t ) and x[n]
xa (t ) X a ( j )

x[n]

17 18
Copyright 2010, S. K. Mitra Copyright 2010, S. K. Mitra

3
Interpolation Filter Interpolation Filter
Specifications Specifications
Since the sampling is being performed at the Figure below show the Fourier transform of
Nyquist rate, there is no overlap between the y[n]
shifted spectras of X ( j / To )
If we instead sample xa (t ) at a much higher
rate T = To / L yielding y[n], its Fourier
transform Y (e j ) is related to X a ( j)
through
1 j j 2 k = L X j j 2 k
Y ( e j ) = Xa a
T k = T To k = To / L
19 20
Copyright 2010, S. K. Mitra Copyright 2010, S. K. Mitra

Interpolation Filter Interpolation Filter


Specifications Specifications
On the other hand, if we pass x[n] through a It therefore follows that if xu [n] is passed
factor-of-L up-sampler generating xu [n] , the through an ideal lowpass filter H(z) with a
relation between the Fourier transforms of cutoff at /L and a gain of L, the output of
x[n] and xu [n] are given by the filter will be precisely y[n]
X u ( e j ) = X ( e j L ) LH ( e j )
j
1 X u (e )

21 22
Copyright 2010, S. K. Mitra Copyright 2010, S. K. Mitra

Interpolation Filter Interpolation Filter


Specifications Specifications
In practice, a transition band is provided to If c is the highest frequency that needs to
ensure the realizability and stability of the be preserved in x[n], then
lowpass interpolation filter H(z) p = c / L
Hence, the desired lowpass filter should Summarizing the specifications of the
have a stopband edge at s = / L and a lowpass interpolation filter are thus given
passband edge p close to s to reduce the by
distortion of the spectrum of x[n] L, c / L
H ( e j ) =
0, / L
23 24
Copyright 2010, S. K. Mitra Copyright 2010, S. K. Mitra

4
Decimation Filter
Filter Design Methods
Specifications
In a similar manner, we can develop the The design of the filter H(z) is a standard
specifications for the lowpass decimation IIR or FIR lowpass filter design problem
filter that are given by Any one of the techniques outlined in
Chapter 7 can be applied for the design of
1, c / M
H ( e j ) = these lowpass filters
0, / M

25 26
Copyright 2010, S. K. Mitra Copyright 2010, S. K. Mitra

Filters for Fractional Sampling Computational Requirements


Rate Alteration
The lowpass decimation or interpolation
For the fractional sampling rate structure filter can be designed either as an FIR or an
shown below, the lowpass filter H(z) has a IIR digital filter
stopband edge frequency given by In the case of single-rate digital signal

s = min ,
processing, IIR digital filters are, in general,
L M computationally more efficient than
equivalent FIR digital filters, and are
L H (z ) M therefore preferred where computational
cost needs to be minimized
27 28
Copyright 2010, S. K. Mitra Copyright 2010, S. K. Mitra

Computational Requirements Computational Requirements


This issue is not quite the same in the case
of multirate digital signal processing Now, the down-sampler keeps only every
To illustrate this point further, consider the M-th sample of v[n] at its output
factor-of-M decimator shown below Hence, it is sufficient to compute v[n] only
x[ n ] H (z)
v[n ]
M y[ n ] for values of n that are multiples of M and
skip the computations of in-between
If the decimation filter H(z) is an FIR filter
samples
of length N implemented in a direct form,
then This leads to a factor of M savings in the
N 1
v[n] = h[m] x[n m] computational complexity
29 m =0 Copyright 2010, S. K. Mitra
30
Copyright 2010, S. K. Mitra

5
Computational Requirements Computational Requirements
Now assume H(z) to be an IIR filter of order Its direct form implementation is given by
K with a transfer function w[n] = d1w[n 1] d 2 w[n 2] L
V ( z) P( z ) d K w[n K ] + x[n]
= H ( z) =
X ( z) D( z ) v[n] = p0 w[n] + p1w[n 1] + L + pK w[n K ]
where K Since v[n] is being down-sampled, it is
P( z ) = pn z n sufficient to compute v[n] only for values of
n =0
K n that are integer multiples of M
D( z ) = 1 + dn z n
31 n =1 Copyright 2010, S. K. Mitra
32
Copyright 2010, S. K. Mitra

Computational Requirements
Computational Requirements
However, the intermediate signal w[n] must
be computed for all values of n Example - We compare the computational
For example, in the computation of complexity of various implementations of a
v[M ] = p0 w[M ] + p1w[ M 1] + L + pK w[ M K ] factor-of-M decimator
K+1 successive values of w[n] are still Let the sampling frequency be FT
required Then the number of multiplications per
As a result, the savings in the computation second, to be denoted as RM , are as follows
in this case is going to be less than a factor for various computational schemes
of M
33 34
Copyright 2010, S. K. Mitra Copyright 2010, S. K. Mitra

Computational Requirements Computational Requirements


FIR H(z) of length N :
In the FIR case, savings in computations is
RM , FIR = N FT by a factor of M
FIR H(z) of length N followed by a down- In the IIR case, savings in computations is
sampler: by a factor of M(2K+1)/[(M+1)K+1], which
RM , FIR DEC = N FT / M is not significant for large K
IIR H(z) of order K: For M = 10 and K = 9, the savings is only
RM , IIR = (2 K + 1) FT by a factor of 1.9
IIR H(z) of order K followed by a down- There are certain cases where the IIR filter
sampler : can be computationally more efficient
35 RM , IIR DEC = K FT + ( K + 1) Copyright
FT / M 2010, S. K. Mitra
36
Copyright 2010, S. K. Mitra

6
Sampling Rate Alteration
Computational Requirements Using MATLAB
For the case of interpolator design, very
The function decimate can be employed
similar arguments hold
to reduce the sampling rate of an input
If H(z) is an FIR interpolation filter, then signal vector x by an integer factor M to
the computational savings is by a factor of L generate the output signal vector y
(since v[n] has L 1 zeros between its
The decimation of a sequence by a factor of
consecutive nonzero samples)
M can be obtained using Program 10_5
On the other hand, computational savings is which employs the function decimate
significantly less with IIR filters
37 38
Copyright 2010, S. K. Mitra Copyright 2010, S. K. Mitra

Sampling Rate Alteration Sampling Rate Alteration


Using MATLAB Using MATLAB
Example - The input and output plots of a The function interp can be employed to
factor-of-2 decimator designed using the increase the sampling rate of an input signal
Program 13_5 are shown below x by an integer factor L generating the
2
Input sequence
2
Output sequence output vector y
1 1 The lowpass filter designed by the M-file is
Amplitude

Amplitude

0 0 a symmetric FIR filter


-1 -1

-2 -2
0 20 40 60 80 100 0 10 20 30 40 50
Time index n Time index n
39 40
Copyright 2010, S. K. Mitra Copyright 2010, S. K. Mitra

Sampling Rate Alteration Sampling Rate Alteration


Using MATLAB Using MATLAB
The filter allows the original input samples Example - The input and output plots of a
to appear as is in the output and finds the factor-of-2 interpolator designed using
missing samples by minimizing the mean- Program 13_6 are shown below
square errors between these samples and 2
Input sequence
2
Output sequence

their ideal values 1 1


Amplitude

Amplitude

The interpolation of a sequence x by a 0 0

factor of L can be obtained using the -1 -1

Program 13_6 which employs the function -2


0 10 20 30 40 50
-2
0 20 40 60 80 100

41
interp 42
Time index n Time index n

Copyright 2010, S. K. Mitra Copyright 2010, S. K. Mitra

7
Sampling Rate Alteration
Sampling Rate Alteration
Using MATLAB
Using MATLAB
The function resample can be employed
to increase the sampling rate of an input Example - The input and output plots of a
vector x by a ratio of two positive integers, factor-of-5/3 interpolator designed using
L/M, generating an output vector y Program 13_7 are given below
The M-file employs a lowpass FIR filter Input sequence Output sequence
2 2
designed using fir1 with a Kaiser
1 1
window

Amplitude

Amplitude
0 0
The fractional interpolation of a sequence
-1 -1
can be obtained using Program 13_7 which
employs the function resample -2
0 10 20 30
-2
0 10 20 30 40 50
Time index n Time index n
43 44
Copyright 2010, S. K. Mitra Copyright 2010, S. K. Mitra

Multistage Design of Multistage Design of


Decimator and Interpolator Decimator and Interpolator
The interpolator and the decimator can also Likewise if the decimator factor M can be
be designed in more than one stages expressed as a product of two integers, M1
For example if the interpolation factor L can and M2 , then the factor-of-M interpolator
be expressed as a product of two integers, L1 can be realized in two stages as shown
and L2 , then the factor-of-L interpolator can below
be realized in two stages as shown below
x[ n ] H1( z ) M1 H2 ( z ) M2 y[n ]
x[ n ] L1 H1( z ) L2 H2 ( z ) y[n ]

45 46
Copyright 2010, S. K. Mitra Copyright 2010, S. K. Mitra

Multistage Design of Multistage Design of


Decimator and Interpolator Decimator and Interpolator
Of course, the design can involve more than Example - Consider the design of a
two stages, depending on the number of decimator for reducing the sampling rate of
factors used to express L and M, respectively a signal from 12 kHz to 400 Hz
In general, the computational efficiency is The desired down-sampling factor is
improved significantly by designing the therefore M = 30 as shown below
sampling rate alteration system as a cascade
H(z) 30
of several stages
12 kHz 12 kHz 400 Hz
We consider the use of FIR filters here
47 48
Copyright 2010, S. K. Mitra Copyright 2010, S. K. Mitra

8
Multistage Design of Multistage Design of
Decimator and Interpolator
Decimator and Interpolator
Assume H(z) to be designed as an
Specifications for the decimation filter H(z) equiripple linear-phase FIR filter
are assumed to be as follows:
Now Kaisers formula for estimating the
Fp = 180 Hz , Fs = 200 Hz , order of H(z) to meet the specifications is
p = 0.002 , s = 0.001 given by
20 log10 p s 13
N=
14.6 f
where f = ( Fs Fp ) / FT is the normalized
transition bandwidth
49 50
Copyright 2010, S. K. Mitra Copyright 2010, S. K. Mitra

Multistage Design of Multistage Design of


Decimator and Interpolator Decimator and Interpolator
The M-file kaiord determines the filter order We next implement H(z) using the IFIR
using Kaisers formula approach as a cascade in the form of
Using kaiord we obtain N = 1808 G( z15 ) F ( z ) G ( z15 ) F (z ) 30
12 kHz 12 kHz 12 kHz 400 Hz
Therefore, the number of multiplications per
second in the single-stage implementation The specifications of the
of the factor-of-30 decimator is parent filter G(z) should
12,000 thus be as shown on the
R M ,H = 1809 = 723,600
30 right
51 52
Copyright 2010, S. K. Mitra Copyright 2010, S. K. Mitra

Multistage Design of Multistage Design of


Decimator and Interpolator Decimator and Interpolator
This corresponds to stretching the Note: The desired response of F(z) has a
specifications of H(z) by 15 wider transition band as it takes into
Figure below shows the magnitude response account the spectral gaps between the
of G( z15) and the desired response of F(z) passbands of G( z15)
Because of the cascade connection, the
overall ripple of the cascade in dB is given
by the sum of the passband ripples of F(z)
and G( z15) in dB
53 54
Copyright 2010, S. K. Mitra Copyright 2010, S. K. Mitra

9
Multistage Design of Multistage Design of
Decimator and Interpolator Decimator and Interpolator
This can be compensated for by designing Thus, specifications for the two filters G(z)
F(z) and G(z) to have a passband ripple of and F(z) are as follows:
p = 0.001 each G(z): p = 0.001, s = 0.001, f = 300
12,000
On the other hand, the cascade of F(z) and 420
G( z15) has a stopband at least as good as F ( z ): p = 0.001, s = 0.001, f =
12,000
F(z) or G( z15), individually
The filter orders obtained using the M-file
So we can choose s = 0.001 for both filters kaiord are: Order of G(z) =129
Order of F(z) = 92
55 56
Copyright 2010, S. K. Mitra Copyright 2010, S. K. Mitra

Multistage Design of Multistage Design of


Decimator and Interpolator Decimator and Interpolator
The length of H(z) for a direct implementation The computational complexity of the
is 1809 decimator implemented using the cascade
The length of cascade implementation structure can be dramatically reduced by
G( z15 ) F ( z ) is 92 + 15 129 + 1 = 2028 making use of the cascade equivalence #1
The length of the cascade structure is To this end, we first redraw the structure
higher G ( z15 ) F (z) 30
in the form shown below
F (z ) G ( z15 ) 30
57 58
Copyright 2010, S. K. Mitra Copyright 2010, S. K. Mitra

Multistage Design of Multistage Design of


Decimator and Interpolator Decimator and Interpolator
The last structure is equivalent to the one From the last realization we observe that the
shown below implementation of G(z) followed by a
F (z) G ( z15 ) 15 2 factor-of-2 down-sampler requires
The above can be redrawn as indicated R M ,G = 130 8002
= 52,000 mult/sec
below by making use of the cascade Likewise, the implementation of F(z)
equivalence #1 followed by a factor-of-15 down-sampler
F (z) 15 G( z) 2 requires
12 kHz 12 kHz 800 Hz 800 Hz 400 Hz R M , F = 93 12,000 = 74,400 mult/sec
15
Factor-of-15 decimator Factor-of-2 decimator
59 60
Copyright 2010, S. K. Mitra Copyright 2010, S. K. Mitra

10
Multistage Design of
Decimator and Interpolator
The total complexity of the IFIR-based
implementation of the factor-of-30
decimator is therefore
52,000 + 74,400 = 126,400 mult/sec
which is about 5.72 times smaller than that
of a direct implementation of the
decimation filter H(z)

61
Copyright 2010, S. K. Mitra

11
Polyphase Decomposition
Polyphase Decomposition
The Decomposition
Consider an arbitrary sequence {x[n]} with The subsequences {xk [n]} are called the
a z-transform X(z) given by polyphase components of the parent
X ( z ) = n = x[n]z
n
sequence {x[n]}
We can rewrite X(z) as The functions X k (z ), given by the
X ( z ) = kM=01 z k X k ( z M ) z-transforms of {xk [n]}, are called the
where polyphase components of X(z)
X k ( z ) = n = xk [n] z
n
= n = x[ Mn + k ] z
n

0 k M 1
1 2
Copyright 2010, S. K. Mitra Copyright 2010, S. K. Mitra

Polyphase Decomposition Polyphase Decomposition


The relation between the subsequences {xk [n]}
and the original sequence {x[n]} are given A multirate structural interpretation of the
by polyphase decomposition is given below
xk [n] = x[Mn + k ], 0 k M 1 x[n] M x0 [n] = x[M n]
z
In matrix form we can write M x1[n] = x[M n + 1]
X0(zM ) z


[ ]
M x2 [n] = x[M n + 2]
M
X ( z ) = 1 z 1 .... z ( M 1) X1.( z )
.. z

X . ( z M ) M x M1[n] = x[M n + M 1]

3 M 1 4
Copyright 2010, S. K. Mitra Copyright 2010, S. K. Mitra

Polyphase Decomposition Polyphase Decomposition


Its 4-branch polyphase decomposition is
The polyphase decomposition of an FIR given by
H ( z ) = E0 ( z 4 ) + z 1E1( z 4 ) + z 2 E2 ( z 4 ) + z 3 E3 ( z 4 )
transfer function can be carried out by
inspection
where E 0(z 4)
For example, consider a length-9 FIR +

E0 ( z ) = h[0] + h[4]z 1 + h[8]z 2


_
z 1
transfer function: E 1 (z 4) +
8
n E1( z ) = h[1] + h[5]z 1 _
z 1
H ( z) = h[n] z
E2 ( z ) = h[2] + h[6]z 1
E 2 (z 4) +
n =0 _
z 1

E3 ( z ) = h[3] + h[7]z 1 E 3 (z 4)

5 6
Copyright 2010, S. K. Mitra Copyright 2010, S. K. Mitra

1
Polyphase Decomposition Polyphase Decomposition
The polyphase decomposition of an IIR Example - Consider 1
transfer function H(z) = P(z)/D(z) is not that H ( z ) = 1 2 z 1
1+3 z
straight forward To obtain a 2-band polyphase decomposition
One way to arrive at an M-branch polyphase we rewrite H(z) as
(1 2 z 1 )(13 z 1 ) 15 z 1 + 6 z 2 1+ 6 z 2 5 z 1
decomposition of H(z) is to express it in the H ( z) = = = +
(1+3 z 1 )(13 z 1 ) 19 z 2 19 z 2 19 z 2
form P '( z ) / D '( z M ) by multiplying P(z) and Therefore,
D(z) with an appropriately chosen
H ( z ) = E0 ( z 2 ) + z 1E1( z 2 )
polynomial and then apply an M-branch
where 1 5
polyphase decomposition to P '( z ) E0 ( z ) = 1+ 6 z 1 , E1( z ) = 1
7 8 19 z 19 z
Copyright 2010, S. K. Mitra Copyright 2010, S. K. Mitra

Polyphase Decomposition Polyphase Decomposition


Example - Consider the transfer function of
Note: The above approach increases the a 5-th order Butterworth lowpass filter with
overall order and complexity of H(z) a 3-dB cutoff frequency at 0.5:
However, when used in certain multirate 0.0527864 (1+ z 1 )5
H ( z) =
structures, the approach may result in a 1+ 0.633436854 z 1 + 0.0557281z 2
more computationally efficient structure
It is easy to show that H(z) can be expressed
An alternative more attractive approach is as
discussed in the following example 0.105573 + z 2 1 0.52786 + z 2
H ( z ) = 1 +z
2 1+ 0.105573 z 2 2
1+ 0.52786 z
9 10
Copyright 2010, S. K. Mitra Copyright 2010, S. K. Mitra

Polyphase Decomposition Polyphase Decomposition


Therefore H(z) can be expressed as Note: In the above polyphase decomposition,
H ( z ) = E0 ( z 2 ) + z 1E1( z 2 ) branch transfer functions Ei (z ) are stable
where allpass functions
0.105573 + z 1
E0 ( z ) = 1 Moreover, the decomposition has not
2 1 + 0.105573 z 1 increased the order of the overall transfer
0.52786 + z 1
E1( z ) = 1
function H(z)
2 1+ 0.52786 z 1

11 12
Copyright 2010, S. K. Mitra Copyright 2010, S. K. Mitra

2
FIR Filter Structures Based on FIR Filter Structures Based on
Polyphase Decomposition Polyphase Decomposition
We shall demonstrate later that a parallel A direct realization of H(z) based on the
realization of an FIR transfer function H(z) Type I polyphase decomposition is shown
based on the polyphase decomposition can below x[n] E (z ) y[n]
M +
0
often result in computationally efficient _
z1

multirate structures _
E 1(z M) +

z1
Consider the M-branch Type I polyphase E 2 (z M) +

decomposition of H(z):
H ( z ) = kM=01 z k Ek ( z M )
_
z1
E M _ 1(z M)
13 14
Copyright 2010, S. K. Mitra Copyright 2010, S. K. Mitra

FIR Filter Structures Based on FIR Filter Structures Based on


Polyphase Decomposition Polyphase Decomposition
The transpose of the Type I polyphase FIR An alternative representation of the
filter structure is indicated below transpose structure shown on the previous
x[n] E 0(z M) + y[n] slide is obtained using the notation
Rl ( z M ) = EM 1l ( z M ), 0 l M 1
_
z1
E 1(z M) +
_
z1 Substituting the above notation in the Type
E 2 (z M) +
I polyphase decomposition we arrive at the
_
Type II polyphase decomposition:
H ( z ) = lM=01 z ( M 1l ) Rl ( z M )
z1
E M _ 1(z M)
15 16
Copyright 2010, S. K. Mitra Copyright 2010, S. K. Mitra

FIR Filter Structures Based on Computationally Efficient


Polyphase Decomposition Decimators
A direct realization of H(z) based on the Consider first the single-stage factor-of-M
Type II polyphase decomposition is shown decimator structure shown below
below v[n] y[n ]
x[n] R 0(z M) x[n ] H (z) M
_1
z

R 1(z M) +
_
z1
We realize the lowpass filter H(z) using the
R 2 (z M) + Type I polyphase structure as shown on the
next slide
_
z1
R M _ 1(z M) + y[n]
17 18
Copyright 2010, S. K. Mitra Copyright 2010, S. K. Mitra

3
Computationally Efficient
Decimators Computationally Efficient
Using the cascade equivalence #1 we arrive Decimators
at the computationally efficient decimator To illustrate the computational efficiency of
structure shown below on the right the modified decimator structure, assume
FT FT FT /M FT FT /M FT /M

x[n] E 0(z M) + M y[n] x[n] M E 0(z) + y[n] H(z) to be a length-N structure and the input
_
z1 v[n ]
_
z1 sampling period to be T = 1
E 1(z M) + M E 1 (z) +
_
z1
_
z1 Now the decimator output y[n] in the
E 2 (z M) + M E2 (z) +
original structure is obtained by down-
_1
z
_1
z sampling the filter output v[n] by a factor of
E M _ 1(z M) M E M _ 1(z)
M
Decimator structure based on Type I polyphase decomposition
19 20
Copyright 2010, S. K. Mitra Copyright 2010, S. K. Mitra

Computationally Efficient Computationally Efficient


Decimators Decimators
It is thus necessary to compute v[n] at Hence, all computations need to be
n = ...,2 M , M , 0, M ,2 M , ... completed in one sampling period, and for
Computational requirements are therefore N the following ( M 1) sampling periods the
multiplications and ( N 1) additions per arithmetic units remain idle
output sample being computed The modified decimator structure also
However, as n increases, stored signals in requires N multiplications and ( N 1)
the delay registers change additions per output sample being computed

21 22
Copyright 2010, S. K. Mitra Copyright 2010, S. K. Mitra

Computationally Efficient Computationally Efficient


Interpolators
Decimators and Interpolators
Figures below show the computationally
However, here the arithmetic units are efficient interpolator structures
F F LF F F LF
operative at all instants of the output T T T T T T

L + R 0(z) L
sampling period which is 1/M times that of E 0(z)
_
z1
_
z1

the input sampling period E 1 (z) L + R 1(z) L +


_ _
z1 z1
Similar savings are also obtained in the case E2 (z) L + R 2(z) L +

of the interpolator structure developed using


the polyphase decomposition _
z1
_
z1

E L _ 1 (z) L R L _1(z) L +

Interpolator based on Interpolator based on


Type I polyphase decomposition Type II polyphase decomposition
23 24
Copyright 2010, S. K. Mitra Copyright 2010, S. K. Mitra

4
Computationally Efficient Computationally Efficient
Decimators and Interpolators Decimators and Interpolators
More efficient interpolator and decimator The corresponding transfer function is
structures can be realized by exploiting the H ( z ) = h[ 0] + h[1] z 1 + h[ 2] z 2 + h[3] z 3 + h[ 4] z 4 + h[5] z 5
symmetry of filter coefficients in the case of + h[5] z 6 + h[ 4] z 7 + h[3] z 8 + h[ 2] z 9 + h[1] z 10 + h[ 0] z 11
linear-phase filters H(z)
A conventional polyphase decomposition of
Consider for example the realization of a H(z) yields the following subfilters:
factor-of-3 (M = 3) decimator using a
E0 ( z ) = h[0] + h[3]z 1 + h[5]z 2 + h[2]z 3
length-12 Type 1 linear-phase FIR lowpass
filter E1 ( z ) = h[1] + h[ 4]z 1 + h[4] z 2 + h[1]z 3
E2 ( z ) = h[2] + h[5]z 1 + h[3]z 2 + h[0]z 3
25 26
Copyright 2010, S. K. Mitra Copyright 2010, S. K. Mitra

Computationally Efficient Computationally Efficient


Decimators and Interpolators Decimators and Interpolators
Note that E1( z ) still has a symmetric Factor-of-3 decimator with a linear-phase
impulse response, whereas E0 ( z ) is the decimation filter
mirror image of E2 ( z ) +
h[5]

_ _ _
z1
These relations can be made use of in _
3 z1 z1
h[3]
z1 + +
developing a computationally efficient _1
_1 _1
z
_1
+
h[0]
z z z +
realization using only 6 multipliers and 11 3 +
h[2]
+

two-input adders as shown on the next slide


_ _ _ h[1]
3 z1 z1 z1 + +
h[4]
+
27 28
Copyright 2010, S. K. Mitra Copyright 2010, S. K. Mitra

Rational Sampling Rate Rational Sampling Rate


Converter Converter
The complexity of the design of the As a consequence there are three different
fractional sampling rate converter depends values for the sampling rate conversion
on the ratio of the sampling rates between factor:
the input and the output digital signals 2:3 (or 3:2), 147:160 (or 160:147), and
320:441 (or 441:320)
For example, in digital audio applications,
the three different sampling frequencies Likewise, in digital video applications, the
sampling rates of composite video signals
employed are 44.1 kHz, 32 kHz, and 48 are 14.3181818 MHz and 17.734475 MHz
kHz
29 30
Copyright 2010, S. K. Mitra Copyright 2010, S. K. Mitra

5
Rational Sampling Rate Rational Sampling Rate
Converter Converter
The sampling rates of the digital component We outline next the implementation of a
video signal are 13.5 MHz and 6.75 MHz computationally efficient FIR fractional rate
for the luminance and the color-difference converter with a rational conversion factor
signals, respectively, for the NTSC and L/M, where L and M are mutually prime
PAL systems Now two mutually prime integers L and M
satisfy the relation
Here, again there are different sampling rate
M L = 1
conversion factors
where and are unique distinct positive
integers
31 32
Copyright 2010, S. K. Mitra Copyright 2010, S. K. Mitra

Rational Sampling Rate Rational Sampling Rate


Converter Converter
The general structure for a rational Without any loss of generality, assume
sampling rate converter shown below L<M
The structure for the case L > M can be
L H (z ) M derived by applying the transpose operation
To develop the structure for the case L < M,
can be made computationally efficient by we first replace the cascade of the factor-of-L
making use of one of the structures based up-sampler and the filter H(z) with its
on the polyphase decompositions equivalent Type I polyphase decomposition-
based realization
33 34
Copyright 2010, S. K. Mitra Copyright 2010, S. K. Mitra

Rational Sampling Rate Rational Sampling Rate


Converter Converter
with the factor-of-M down-sampler moved Consider the k-th branch of the structure
to all L branches as shown below shown in the previous slide
_
Ek (z) L z k M
E 0(z) L M +

E 1 (z) L z
_1
M +
Using the identity M L = 1 we can write
z k = z k (M L ) = z kM z kL
Ek (z) L
_
z k M + Hence, we can replace the block of k delays
with a block of kM unit delays and a block
E L _ 1 (z) L
_ _
z (L 1) M of kL unit advances as shown next
35 36
Copyright 2010, S. K. Mitra Copyright 2010, S. K. Mitra

6
Rational Sampling Rate Rational Sampling Rate
Converter Converter
E k (z) L z kL
_
z kM M As a result, the general rational sampling
This branch can be further redrawn by rate converter structure in Slide 36 can be
invoking the noble identites as shown below redrawn as indicated below
_ E 0(z) M L +
Ek (z) z k L M z k _
z z

We next interchange the positions of the up- E 1 (z) M L +

sampler and the down-sampler z


_
z
Ek (z) M L +
_ k
z k Ek (z) M L z
_
z z
E L _ 1 (z) M L
37 38
Copyright 2010, S. K. Mitra Copyright 2010, S. K. Mitra

Rational Sampling Rate Rational Sampling Rate


Converter Converter
Its equivalent realizable form is as shown Next, the cascade of the polyphase section
below followed by the down-sampler can be
E 0(z) M L +
_
z
_
z
replaced with a computationally efficient
E 1 (z) M L + realization based on a Type I polyphase
_
z
_
z decomposition as indicated in the next slide
Ek (z) M L +

_ _
z z
E L _ 1 (z) M L

39 40
Copyright 2010, S. K. Mitra Copyright 2010, S. K. Mitra

Rational Sampling Rate Rational Sampling Rate


Converter Converter
M Ek,0 (z) +
_
z 1
L
Example The basic form of a rational
_
M Ek,1 (z) + sampling rate converter with an
z 1
M Ek,2 (z) +
interpolation factor 2/3 needed in the
conversion of a digital audio signal of 48-
_
z 1 kHz rate to one of 32-kHz rate is shown
M Ek,M _ 1(z)
below
Finally by combining all k branches we 2 H (z ) 3
arrive at a computationally efficient rational 48-kHz 96-kHz 96-kHz 32-kHz
sampling rate converter
41 42
Copyright 2010, S. K. Mitra Copyright 2010, S. K. Mitra

7
Rational Sampling Rate Rational Sampling Rate
Converter Converter
For this design we have L = 2 and M = 3 By realizing the sub-filters E0 ( z ) and E1( z )
The identity 3 2 = 1 is thus satisfied in Type I polyphase forms and then
with = = 1 applying the cascade equivalence we arrive
Hence, the general structure of this at the final computationally efficient
converter is as indicated below structure shown in the next slide
E0 (z) 3 2 +
Here, all filters operate at the 16-kHz rate
_ _
z1 z 1
E 1(z) 3 2

43 44
Copyright 2010, S. K. Mitra Copyright 2010, S. K. Mitra

Rational Sampling Rate


A Useful Identity
Converter
E 00 (z) + +
The cascade multirate structure shown
3 2
_
z1 32-kHz below appears in a number of applications
3 E 01 (z) +
_ _ _
z1 z1 z1 y[n]
x[n] L H(z) L
3 E 02 (z)

3 E 10 (z) + 2
48-kHz _
z1
Equivalent time-invariant digital filter
_
3 E 11 (z) + obtained by expressing H(z) in its L-term
z1
3 E 12 (z) Type I polyphase form kL=10 z k Ek ( z L )
16-kHz is shown below
x[n] E0 ( z ) y[n]
45 46
Copyright 2010, S. K. Mitra Copyright 2010, S. K. Mitra

8
Upsampler/Downsampler Identities
L/1Interpolator 1/MDecimator
L/MResampler
L/1Interpolator 1/MDecimator
L/MResampler

You might also like