You are on page 1of 9

COVER FEATURE BLOCKCHAIN TECHNOLOGY IN FINANCE

The Evolution of Bitcoin


Hardware
Michael Bedford Taylor, University of Washington

Since its deployment in 2009, Bitcoin has achieved


remarkable success and spawned hundreds of other
cryptocurrencies. The author traces the evolution of the
hardware underlying the system, from early GPU-based
homebrew machines to todays datacenters powered by
application-specific integrated circuits. These ASIC clouds
provide a glimpse into planet-scale computings future.

B
itcoin, since its January 2009 deployment,1 has datacenter design, and now most computation is per-
experienced exponential growth. As of July 2017, formed in specialized ASIC datacenters that collectively
there are about 16.5 million Bitcoins (BTCs) in form an ASIC cloud.3,4
circulation; given the current (as of this writ-
ing) BTC-to-USD exchange rate of $2,500, Bitcoins mar- HOW THE BITCOIN SYSTEM WORKS
ket capitalization therefore exceeds $41 billion, making The Bitcoin system maintains a global, distributed
it the most successful of the nearly 1,000 cryptocurren- cryptographic ledger of transactions, or blockchain,
cies in use today (coinmarketcap.com). through a consensus algorithm running on hardware
Underpinning Bitcoins success is a series of techno- scattered across the world. These machines perform
logical innovations that span from algorithms to distrib- a computationally intense proof-of-work function
uted software to hardware. Amazingly, these innova- called mining, which integrates BTC transactions into
tions were not initiated by corporations or governments the blockchain. Each transaction debiting a senders
but rather emerged through a grass-roots collaboration account and crediting a receivers account is aggre-
of enthusiasts. gated with other pending transactions into a block by
In this article, I discuss the hardware that maintains a single machine and posted to the blockchains head.
the integrity of the Bitcoin system, which evolved from A block also contains a hash of the previous head
CPUs to GPUs to field-programmable gate arrays (FPGAs) block, creating a total order. Upon receiving notice of
to application-specific integrated circuits (ASICs).2 As a blocks posting, other nodes in the system will ver-
Bitcoins value grew, the industry rapidly matured and ify that the transaction is in orderfor instance, not
the system attained extraordinary scale, equivalent improperly creating, moving, or destroying BTCs
to 3.2 billion high-end GPUs. The latest round of Bit- and then use the new block as the head block for future
coin hardwarededicated ASICshas co-evolved with blockchain updates.

58 COM PUTE R PUBLISHED BY THE IEEE COMPUTER SOCIET Y 0 0 1 8 - 9 1 6 2 / 1 7/ $ 3 3 .0 0 2 0 1 7 I E E E


Bitcoin mining Transaction fees. These fees, A QUANTITATIVE HISTORY
Bitcoin mining is the heart of the dis- attached to the transactions in OF BITCOIN MINING
tributed consensus algorithm that the block, are paid by Bitcoin Bitcoin was invented by a programmer or
enforces the consistency of BTC trans- users as a kind of tip to motivate group of programmers self-identifying
actions. The earliest Bitcoin mining the miner to incorporate their as Satoshi Nakamoto in a white paper 1
hardware was developed by a wide transaction into the block. posted on a cryptography mailing
spectrum of enthusiasts from students list on 31 October 2008. Refining pre-
to tech hobbyists to aspiring entre- After earning BTCs, a miner can sell or vious ideas about digital currency,
preneurs.5 Over the years, Bitcoin trade them on an exchange like Coin- which went back a decade, Nakamato
mining has consolidated and today is base, Bitfinex, OKCoin, or BTCC, or described it as a new electronic cash
performed largely in custom corporate- hold them for appreciation. system thats fully peer-to-peer, with no
owned datacenters, using ASICs in the trusted third party (www.metzdowd
latest (16 nm) technology nodes that Block generation .com/pipermail/cryptography/2008
aggressively optimize energy effi- Bitcoin mining is a key technical com- -October/014810.html). The system
ciency to unprecedented levels. The ponent of ensuring that the Internet has went live in January 2009; use initially
system has become increasingly ver- sufficient time to attain consensus on grew slowly, then exponentially. Naka-
tically integrated, with single compa- new blockchain updates. Miners must mato maintained the code base in col-
nies owning one or more datacenters, find a nonce value that makes a dou- laboration with others online until
designing the chips, and maintain- ble SHA-256 hash of the blocks header April 2011, when he handed off respon-
ing the hardware. Bitcoin datacenters be less than (65535 << 208)/difficulty. sibility and disappeared.
have migrated to regions with the low- Because SHA-256 is designed to be non-
est datacenter-related costs, including invertable, the primary approach is to BTC price trends
land, construction, power, taxation, use brute force. If the difficulty value Figure 1a shows the BTC-to-USD
and regulation. is twice as large, then it takes twice as exchange rate over time. BTC valu-
many brute-force tries on average to ations took off in mid-2010, rising
Mining incentives find the corresponding nonce. from $0.08 in July 2010 to $1 in April
What incentivizes Bitcoin miners to The difficulty is scaled every 2,016 2011. Since then, the price has risen
perform the mining operation that blocks using the worlds collective steadily but has also been highly vol-
is integral to BTC transaction veri- hash rate, the network hash rate, in the atile. There have been four bubbles:
fication? For each block they add to preceding period to target an average BTC prices peaked at $32 on 8 July
the blockchain, miners receive two block-creation time of 10 minutes. In 2011, $266 on 11 April 2013, $1,242 on
rewards: practice, the time to generate blocks is 29 November 2013, and $3,000its
somewhat random, with some blocks all-time-highon 12 June 2017 (en
Block reward. This was origi- taking seconds and others hours. .w i k i p e d i a .or g / w i k i/ H i s t or y _ o f
nally 50 BTCs in 2009, but the The Bitcoin system is always _bitcoin#Prices_and_value_history).
reward halves every 210,000 searching for a new equilibrium. In As of July 2017, the BTC price is around
blocks, which occurs about every the typical situation where network $2,500.
four years. As of July 2017, more mining capacity increases because
than 475,000 blocks have been more machines or better hardware has Mining difficulty trends
generated and the block reward been deployed, groups of 2,016 blocks Figure 1b shows mining difficulty over
is 12.5 BTCs (www.bitcoinblock- will be mined more quickly than the time. The initial difficulty value of 1
half.com). Due to this halving, targeted two weeks, and difficulty will corresponded to four to eight general-
the number of BTCs will never be adjusted upwards. Each machine, purpose cores running the nonce-
exceed 21 million; 78 percent of or rig, that mines gets a correspond- search algorithm, trying out about 7
BTCs have been mined, and 99 ingly smaller fraction of the current million double-SHA hashes per sec-
percent of all BTCs will be mined 24 6 12.5 = 1,800 BTCs bounty that ond; in July, the collective network
by 2032. is available per day. hash rate reached 850 billion times

SEPTEMBER 2017 59
BLOCKCHAIN TECHNOLOGY IN FINANCE
5,000
2,000
1,000
500
200
BTC-to-USD exchange rate

100
50
20
10
5 CUDA-based GPU miner appeared in
2 September 2010, followed a month
1 later by the first OpenCL miner. Shortly
0.5 afterward, in November 2010, pooled
0.2 mining emerged, allowing parties to
0.1 mine together and split the rewards
0.05
.05 pro rata.6 These mining pools rapidly
2010 2011 2012 2013 2014 2015 2016 2017 2018 scaled to thousands of members, giving
(a) Year users small, frequent payouts instead
of large 50- or 25-BTC payouts every
1,000,000,000,000 several months. By this time, mining a
100,000,000,000 block was equivalent to several months
10,000,000,000
20 nm
16 nm of computation for a single high-end
22 nm
1,000,000,000 consumer GPU.
Mining difficulty level

28 nm
100,000,000 55 nm Developers released the first open
10,000,000 130 nm source FPGA miner code in June 2011.
65 nm
1,000,000 FPGA 110 nm The first ASIC miner debuted in Janu-
100,000 ary 2013 in 130-nm VLSI technology,
10,000
GPU and more advanced ASICs rapidly fol-
1,000
lowed, racing to the most advanced
100
16-nm node by mid-2015.
10
CPU
1
2009 2010 2011 2012 2013 2014 2015 2016 2017 2018
Performance and energy-
efficiency advances
(b) Year
High-end, overclocked six-core CPUs
like the Intel Core i7-990x eventu-
FIGURE 1. Bitcoin price and mining diculty trends. (a) The price of Bitcoins (BTCs) took ally reached 33 megahashes per sec-
off in mid-2010, a year and a half after the system went live, and has since risen steadily ond (MH/s) when using SIMD (single
but with periods of considerable volatility. (Source: bitcoincharts.com.) (b) Finding a block instruction, multiple data) extensions.
header hash value below the target thresholdthe algorithm underlying Bitcoins block- Top-tier consumer-grade Nvidia GPUs
chainis 850 billion times more dicult than it was originally. The approximate introduc- like the GTX 570 reached 155 MH/s,
tion dates of new mining technologies are indicated: CPUs, GPUs, field-programmable while $450 AMD GPUs like the 7970
gate arrays (FPGAs), and application-specific integrated circuits (ASICs) in different VLSI performed even better, reaching 0.675
nodes. (Data from blockchain.info.) gigahashes per second (GH/s).
The next evolutionary step was
FPGA-based miners, which emerged
that (6 exahashes per second). Earn- in difficulty often align with BTC price in June 2011. Open source versions
ing one block corresponds to about 271 bubble bursts; in these cases, BTC value used four Xilinx Spartan-6s, which
double SHA-256 hashes, an impressive did not justify operating costs for the were less cost-effective in terms of
amount of computation since each more inefficient miners, and their oper- hash search time than AMD GPUs but
double hash is a few thousand opera- ators pulled them offline. operated on 60 W instead of 200 W. A
tions itself. commercial company, Butterfly Labs
Two factors increase mining diffi- A Cambrian explosion of (BFL), began to market and sell a range
culty. First, due to rising exchange rates, mining technology of FPGA miners. These would have
mining can cover the cost of more rigs. The dots in Figure 1b indicate when supplanted GPU miners due to energy
Second, mining software and hardware new Bitcoin mining technology was costs, but the appearance of ASICs
have both continually improved. Dips introduced. The first publicly available provided orders of magnitude cost

60 COMPUTER W W W.CO M P U T E R .O R G /CO M P U T E R


500

200
100
50
CPU (Core i5)
20
10

reduction, driving up network hash GPU (AMD 7970)


2.4

Daily revenue ($) per GH/s


rates and inexorably turning GPU and
1
then FPGA profits negative. Thereafter, FPGA (Spartan-6)
each more advanced generation of ASIC 0.5
miners obsoleted the prior generation. 0.2
Bitmains Antminer S9 costs $2,100 and
0.1
does 13.5 terahashes per second (TH/s)
on 1,323 W, using 189 16-nm ASICs 0.05 130 nm (ASICMiner)
packed into a shoebox-size machine. 0.02
0.01
THE ECONOMICS OF
BITCOIN MINING 0.005 55 nm (BitFury)
Bitcoin entrepreneurs must weigh 0.002
the costs of buying mining hardware 28 nm (Bitmain)
0.001
.001
against buying BTCs on an exchange,
2010 2011 2012 2013 2014 2015 2016
especially as rig maintenance requires
Year
round-the-clock monitoring and con-
siderable energy consumption. A sim-
ple solution is to compare the pur- FIGURE 2. Daily Bitcoin revenue in dollars, per gigahash per second (GH/s) of mining
chase price and operating expenses, performance, over time. The horizontal lines show the daily energy cost, at 20 cents/kWh,
converted into BTCs, to the net min- per GH/s of different hardware implementations as technology evolved. When mining
ing returns in BTCs at the end of the revenue per GH/s drops below these costs, profits turn negative and the rig should be
machines life. unplugged. After a GPU plateau, the system experienced a large-scale buildout of ASIC
With Bitcoins exponential increase capacity, which dropped revenue per GH/s below the FPGA line and ultimately past all but
in hashing difficulty, a rigs ability to the latest ASIC nodes.
generate BTCs drops exponentially
over time. At the lifetime average of
1.137 difficulty growth per 14-day especially ASICs have much more sig- below these costs, profits turn negative
period (see Figure 1b), more than 56.7 nificant risks centered on delivery and the rig should be unplugged. After
percent of a rigs lifetime BTC earn- date. Receiving a new generation of a GPU plateau, Bitcoin experienced a
ings comes in Q1, 24.6 percent in Q2, hardware after other customers for- large-scale buildout of ASIC capacity,
10.6 percent in Q3, and 8.1 percent in feits the early, most valuable, profits which dropped revenue per GH/s below
Q4Q. Lifetime BTC earnings top out of the technology. For these reasons, the FPGA line and ultimately past all
at about 8.4 times the first two weeks large Bitcoin operations negotiate but the latest ASIC nodes. Downward
earnings. Practically speaking, a rig receipt of the first batches of machines, voltage scaling provides a few extra
will be unplugged in two cases: when leapfrogging other customers. months of life. Because difficulty largely
the earnings in dollars are less than Figure 2 plots daily revenue, in USD, increases exponentially, flat or upward
operating costs (power, rent, and so per GH/s of mining performance paid regions in daily revenue per GH/s are
on) and to clear space for newly pur- out by the Bitcoin system since 2010, typically the result of appreciation of
chased, quickly depreciating replace- combining hashing difficulty data with BTCs relative to dollars.
ment hardware. the BTC-to-USD exchange rate. The hor-
A rig should cost no more than the izontal lines show the daily energy cost EARLY BITCOIN MINING
sum of these exponentially declin- per GH/s of CPUs (Intel Core i5), GPUs HARDWARE: THE FIRST
ing expected payments, minus oper- (AMD Radeon HD 7970), FPGAs (BitForce THREE GENERATIONS
ating costs and plus the resale value SHA256), and 130-nm through 28-nm In the rest of this article, I examine
of the hardware at end of life. Custom ASICs at 20 cents/kWh energy cost. some notable challenges and devel-
hardware such as FPGA boards and When mining revenue per GH/s drops opments in the evolution of hardware

SEPTEMBER 2017 61
BLOCKCHAIN TECHNOLOGY IN FINANCE

(a) (b)

FIGURE 3. GPU Bitcoin miners. (a) Open-air rig with five GPUs suspended above the motherboards and connected via PCI Express
extender cables and a single high-wattage power supply. (b) Homebrew 69-GPU mining datacenter. Note the ample power cabling (left)
and cooling system, consisting of box fans and an air duct (right). Photos by James Gibson (gigavps).

customized for Bitcoin mining. Those last, creating a chain of dependencies computing in novel ways. A crowd-
interested in details on the first four between operations. Successive SHA- sourced standard evolved, 2 wherein
generations should consult my paper 256 rounds cannot be parallelized, but five GPUs were suspended over an
from the 2013 International Confer- each nonce trial is parallel in a classic inexpensive AMD motherboard with
ence on Compilers, Architecture, Eureka-style computation, making this minimum DRAM, connected via five
and Synthesis for Embedded Systems amenable to parallelization. Further- PCI Express extender cables to reduce
(CASES).2 Much of the information in more, some operations inside a round motherboard costs, and using a large
this paper was drawn from an analy- are parallelizable. However, typical high-efficiency power supply to drive all
sis of bitcointalk.orgs mining hard- out-of-order multicore machines have GPUs. The system was open-air to max-
ware forum (bitcointalk.org/index extra hardware optimized for less reg- imize airflow, as Figure 3a shows. These
.php?board=76.0), which as of July ular computations, resulting in wasted approaches enabled the mining hard-
2017 had more than 525,000 posts. performance and energy efficiency. ware to be amortized across five GPUs,
improving capital efficiency.
CPUs: first-generation miners GPUs: second-generation miners After optimizing per-GPU overhead,
The Bitcoin miner source code (github In October 2010, Bitcoin mining soft- the next scaling challenge was meet-
.com/ bitcoin/ bitcoin/ blob/master ware for GPUs was released on the ing the prodigious power and cooling
/src/miner.cpp) is surprisingly simple. web, and it was rapidly optimized and requirements of multiple GPUs. With
The basic computation adapted for use in several open source each GPU consuming 300 W, the power
efforts. Typically, this software would density exceeded that supported by
while (1) implement the Bitcoin protocol and both high-density datacenters and res-
HDR[kNoncePos]++; GPU voltage/temperature/error control idential electric grids. Most successful
IF (SHA256(SHA256(HDR)) < (65535 in a language such as Java or Python, Bitcoin mining operations typically
<< 208)/ DIFFICULTY) return; and the core nonce-search algorithm as relocated to warehouse spaces with a
a single OpenCL file (see, for example, large air volume for cooling and cheap
leverages existing high-performance github.com/Diablo-D3/DiabloMiner industrial power rates. Figure 3b shows
SHA-256 hashing libraries. One simple / blob/master/src/ma i n/resources a homebrew datacenter consisting of a
optimization employs a midstate buf- /DiabloMiner.cl) that was compiled 69-GPU rack cooled by an array of 12
fer, which hashes the block headers down by installed runtimes into the box fans and an airduct.
beginning portion that precedes the GPUs hidden native instruction-set
nonce and has a constant intermedi- architecture. FPGAs: third-generation miners
ate hash value. More optimizations are GPUs proved much more accessible June 2011 brought the first open source
discussed elsewhere.7 than FPGAs for Bitcoin enthusiasts, FPGA Bitcoin miner implementations.
The SHA-256 computation takes in requiring PC-building skills but no FPGAs are inherently good at process-
512-bit blocks and performs 64 rounds formal training in parallel program- ing SHA-256s rotate-by-constant and
of a basic encryption operation involv- ming or FPGA tools. After investing bit-level operations, but not its 32-bit
ing several long chains of 32-bit addi- resources in a GPU-based mining rig add operations.
tions and rotations, as well as bit-wise that was literally minting cash, the nat- The typical FPGA miner repli-
XOR, majority, and mux functions. ural inclination was to scale up. cated multiple SHA-256 hash func-
An array of 64 32-bit constants is also Efforts to scale hash rates through tions and unrolled them. With
used. Each round depends on the GPUs pushed the limits of consumer full unrolling, the module created

62 COMPUTER W W W.CO M P U T E R .O R G /CO M P U T E R


different hardware for the 64 hash brought enormous benefits over prior the blockchain. ASICMiners approach
rounds, each of which was separated devices,3,4 the emphasis was on get- was quite different than BFLs; it ini-
by pipeline registers. These regis- ting a working, not necessarily opti- tially intended not to sell hardware
ters contained the running hash mal, design out as quickly as possible. but to run an ASIC datacenter that
digest as well as the 512-bit block mined BTCs on behalf of shareholders.
being hashed. The state for a given Butterfly Labs This approach, arguably the first ASIC
nonce trial would proceed down the Fresh off the success of its FGPA min- cloud, eliminated the need to ship
pipeline, one stage per cycle, allow- ers, BFL was the first to announce an hardware to customers and won the
ing for a throughput of one nonce ASIC product line. The company took race to large-scale deployment.
trial (hash) per cycle. preorders in June 2012 for three types Lacking BFLs name recognition,
Hackers developed custom boards of machines; $149 Jalapenos rated at 4.5 ASICMiner raised funding online
that minimized unnecessary costs GH/s, $1,299 Singles rated at 60 GH/s, through bitcointalk.org and some
due to RAM and I/O and focused on and $30,000 Mini Rigs rated at 1,500 Chinese-language forums. The com-
providing sufficient power and cool- GH/s. At these prices, the machines pany carefully outlined its plan for
ing; these boards attained 215 MH/s could generate 20 to 50 times more developing an ASIC Bitcoin miner, and
rates with Spartan XC6SLX150 parts. BTCs per dollar invested versus GPUs. responded to hundreds of questions by
Quad-chip boards were developed to The preorder revenue, which exceeded the online community regarding its
reduce board fabrication, assembly, $250,000 on day one, presumably cov- business model, technical decisions,
and bill-of-materials costs, reach- ered the $500,000 nonrecurring engi- and financial trustworthiness.2
ing 860 MH/s at 216 MHz and 39 W, neering (NRE) mask costs4 for BFLs In early August 2012, after com-
and costing $1,060. Kansas-based BFL 65-nm GlobalFoundries process. pleting an initial place-and-route,
offered a nonopen source version for The chip in all three products ASICMiner proceeded to raise funds
$599 with similar 830 MH/s perfor- contained 16 double SHA-256 hash through an IPO on the online stock
mance. BFL was by all accounts the pipelines. The die was 7.5 7.5 mm exchange GLBSE, in which the secu-
most successful commercial FPGA and placed in a 10 10 mm BGA 144- rities were Bitcoin-related and further
miner vendor. lead package. BFL initially targeted denominated in BTCs. The IPO closed
FPGAs had trouble competing on a November 2012 ship date, but the 27 August, selling 163,962 shares
cost per GH/s with high-volume GPUs schedule repeatedly slipped due to roughly equivalent to $160,000. By 22
that were on more advanced process setbacks and delays from the ASIC September, ASICMiner had finalized
nodes and sold on retail sites like foundry, packaging, and BFL itself. the chips specs, and a tapeout shortly
Newegg. However, FPGAs were up to It took nearly a year to clear the order followed. On 28 December, the com-
five times more energy efficient than backlog. A major cause was that the pany posted photos of its chip carrier
GPUs, breaking even on total cost of chip consumed four to eight times the first ASIC mineron bitcointalk
ownership (TCO) after a year or two. more power than expected, requiring a .orgs forum. By 31 January 2013, ASIC-
Nevertheless, the reign of FPGA min- redesign of all ASIC systems. For exam- Miner had 64-chip boards in hand and
ers was brief because ASICs arrived ple, the Jalapenos, slated to use one aimed to deploy 800 of them, mounted
soon after, providing orders of mag- chip, shipped with two chips to meet in 10-board backplanes, the following
nitude cost and energy-efficiency the 4.5 GH/s rate, and they typically month. By 14 February, it had 2-TH/s
improvements. operated at 30 W, close to 6 W per GH/s. miners in the wild.
Over time, ASICMiner continued
THE ASIC RACE: FOURTH- ASICMiner to deploy at capacity but had difficulty
GENERATION BITCOIN ASICMiner was founded in early July scaling its datacenter and started sell-
MINERS 2012, after BFL had started taking pre- ing hardware. It first sold boards from
Three companies came to market with orders for their machines, by three its datacenter but later developed a
ASIC Bitcoin miners in close succes- Chinese nationals. A key motivation USB miner stick with a single ASIC,
sion. The designs were based loosely was to prevent BFL from being the sole the Block Erupter, which sold initially
on FPGA miners. Because ASICs Bitcoin ASIC purveyor and controlling for 2 BTCs in large lots to be resold by

SEPTEMBER 2017 63
BLOCKCHAIN TECHNOLOGY IN FINANCE

(a) (b)

FIGURE 4. ASIC Bitcoin miners. (a) USB hub hosting an array of ASICMiner Block Erupter USB stickstyle miners and a USB-powered
cooling fan. Each USB sticks 130-nm ASIC hashes at 330 megahashes per second (MH/s), or about half the MH/s performance of a
$450 28-nm AMD Radeon HD 7970 GPU. (b) Bitmain Antminer S1 machine with two parallel sea-of-ASICs printed circuit boards.
Photos by DennisD7 and dogie of bitcointalk.org.

others and rapidly dropped in price. or 108 BTCs at the time, and hashing at ever-rising difficulty levels. These suc-
Figure 4a shows a USB hub hosting an 66 GH/s at 600 W. cessive generations had two potential
array of USB stickstyle Bitcoin min- Avalon taped out slightly after sources of innovation: better archi-
ers and a USB-powered cooling fan. ASICMiner, with a target date of 10 tectures and more advanced process
Each USB stick has a 130-nm ASIC that January 2013. On 30 January, Bitcoin nodes. To date, there have been more
hashes at 330 MH/s at 1.05 V and 2.5 W, developer Jeff Garzik became the than 37 different ASIC efforts.
reaching 392 MH/s at 1.15 V. The ASIC first customer in history to receive an BitFury, with star chip designer
performs one hash per cycle, mirror- ASIC mining rig, which earned about Valery Nebesny, reached 55 nm first
ing earlier FPGA designs. It is 40 times 15 BTCs the first day. Avalon offered in mid-2013 with a best-of-class fully
more energy efficient than the 28-nm batches of 600 rigs for 75 BTCs on 2 Feb- custom implementation in many ways
AMD 7970 GPU and 4.4 times cheaper ruary ($1,600) and 25 March ($5,500). superior to 28-nm designs, reaching
per GH/s. They sold out almost immediately. 0.8 W per GH/s and 2.5 GH/s per chip.
ASICMiner shares reached 4 BTCs Avalon followed up with direct chip Sixteen chips were placed on a printed
each in October 2013, signifying a 40 sales, selling more than 100 batches circuit board, and 16 PCBs went into a
return to the initial investors. Of the of 10,000 chips for 780 BTCs per batch, backplane. Unlike most other archi-
three early ASIC mining companies, it or about $78,000, enabling others to tectures that unrolled double SHA-256
was the most innovative in trying out design systems around the new chips. hashes into long pipelines, BitFurys
new products and business models. used rolled hashes that iterate in
THE ASIC WAR: FIFTH- place. It also introduced support for
Avalon GENERATION BITCOIN string designs, with ASIC power pins
Avalon also secured grass-roots fund- MINERS connected serially like Christmas tree
ing through direct presales of units via The next generation of ASICs departed lights, eliminating the DCDC con-
an online store. A key founder, N.G. from the first in several ways. After verters that comprise 2040 percent
Zhang, established his reputation with first-generation ASICs had proven of Bitcoin server cost. BitFurys initial
the design of a top Bitcoin FPGA board, their value in Bitcoin mining, venture 40,000 chips went to a large datacenter
Icarus. Avalon focused on an 110-nm capitalists and other investors funded provider that financed the NRE costs.
TSMC implementation of a double a swath of start-ups, many featuring Later, individual chips were sold, and
SH-256 pipeline, measuring 4 4 mm, industry veterans. Moreover, the com- interesting variants ranging from USB
and packaged 300 chips across three petition was not easily beaten GPUs keys to blades were sold by third par-
blades inside a 4U-ish machine. Like but rather other ASICs. New ASICs had ties online, including on Amazon.com.
ASICMiner, Avalon was based in Shen- to best the previous generation in cost/ Sweden-based KnCMiner reached
zhen, China. The company preordered performance and energy efficiency 28 nm by October 2013. Shortly after-
sales of 300 rigs, each priced at $1,299 to be competitive and stay ahead of ward, San Franciscobased Hash Fast

64 COMPUTER W W W.CO M P U T E R .O R G /CO M P U T E R


and Austin-based CoinTerra8 also
came out with 28-nm implementa-
tions. These ASIC miners were much ABOUT THE AUTHOR
more cost-efficient than the BitFury
chips, but energy efficiency was actu- MICHAEL BEDFORD TAYLOR is a professor in the Computer Science and Engi-
ally worse: greater than 1.1 W per neering as well as the Electrical Engineering departments at the University of
GH/s. The designs placed four dies on Washington. His research interests include ASIC clouds, Bitcoin mining hard-
a shared substrate that reached sev- ware, dark silicon, tiled microprocessors, and open source hardware design.
eral hundred watts and required water Taylor received a PhD in electrical engineering and computer science from MIT.
cooling. Because BitFury had several Contact him at prof.taylor@gmail.com.
months to ramp up before these prod-
ucts came out, HashFast and CoinTerra
were caught off guard by its deploy-
ment of massive quantities of highly
efficient 55-nm chips, as well as concur- Several existing Bitcoin mining energy-efficiency and performance
rently shipping 28-nm chips. This lim- companies now develop their own specifications before shipping to a
ited the usefulness for HashFast and ASICs and have created ASIC cloud customer delays ASIC deployment
CoinTerras machines and contributed datacenters in areas with low energy and reduces ASIC lifetime.
to the companies going out of business. and cooling costs.9 For example, Bit-
BFL, Spondoolies, and Bitmain also Fury optimizes its chips for use in

B
implemented 28-nm miners, target- new immersion-cooled datacenters in itcoin mining is an example of
ing energy efficiencies that matched the Republic of Georgia, Iceland, and the emerging class of planet-
or exceed BitFurys designs, at 0.7 W Finland.10 scale applications. Today, com-
per GH/s. Figure 4b shows Bitmains Merged ASIC development and panies including Apple, Facebook,
Antminer S1. There is evidence that datacenter operation have become and Google are deploying planet-scale
21 Inc reached 22 nm around Decem- prevalent in the industry for three applications like Siri, Facebook Live,
ber 2013, but the details are closely reasons. First, the ASIC, enclosing and Brain, respectively, for which
guarded secrets. machine, and datacenter can be code- computational demand scales with
signed. This eliminates the need to the number of users just like with
THE ASIC VICTORS: SIXTH- worry about varying customer envi- Bitcoin. Ultimately, the TCO of the
GENERATION BITCOIN ronments (temperature, customs cer- datacenters that run these computa-
MINERS tification, 220-V/110-V compatibility, tions becomes so large that it makes
Current sixth-generation Bitcoin min- setup and tech support, shipping and economic sense to build specialized
ers are the products of companies that returns, warranties, and so on) and ASICs to reduce hardware cost and
survived the ASIC war and advanced to enabling new cost, energy-efficiency, power consumption. Following this
bleeding-edge nodes as they emerged and performance optimizations. Sec- trend, last year Google announced the
(for example, 20 nm and 16 nm). The ond, the time to get an ASIC running creation of neural-network ASICs for
two main publicly known contend- is greatly shortened if the product their datacenter workloads.11 Recent
ers are BitFury (bitfury.com) and Bit- does not have to be packaged, trouble- ASIC cloud research shows how the
main (www.bitmain.com), which have shooted, and shipped to the customer, lessons from Bitcoin mining hardware
16-nm chips. Both companies imple- which means that the chips can start apply to other workloads like You-
mentations run at ultralow voltages; hashing earlier. This is particularly Tubes video transcoding.12 The future
BitFury miners exceed 0.07 W per important when the network hash of ASIC clouds is bright, in part due to
GH/s, which is 100 times more energy rate is increasing exponentially and the many pioneers who took financial,
efficient than the first 130-nm ASIC the bulk of the profits are earned early legal and, technical risks to accelerate
miners and 8,000 times more energy in a machines life. Third, tuning an Bitcoin development and design an
efficient than GPU miners. ASIC chip to exactly meet promised entirely new class of hardware.

SEPTEMBER 2017 65
BLOCKCHAIN TECHNOLOGY IN FINANCE

ACKNOWLEDGMENTS Programming Languages and Operating The New York Times, 21 Dec. 2013;
This work was partially supported by NSF Systems (ASPLOS 17), 2017, pp. 511526. dealbook.nytimes.com/2013/12/21
awards 1228992, 1563767, and 1565446, 5. J. Light, For Virtual Prospectors, /into-the-bitcoin-mines.
and by STARnets Center for Future Archi- Life in the Bitcoin Mines Gets Real, 10. A. Kampl, Bitcoin 2-Phase Immer-
tectures Research, a SRC program spon- The Wall Street J., 19 Sept. 2013; www sion Cooling and the Implications for
sored by MARCO and DARPA. .wsj.com/articles/for-virtual High Performance Computing, Elec-
-prospectors-life-in-the-bitcoin tronics Cooling, Mar. 2014, pp. 2429.
REFERENCES -mines-gets-real-1379644359. 11. C. Metz, Google Built Its Very Own
1. S. Nakamoto, Bitcoin: A Peer- 6. M. Rosenfeld, Analysis of Bitcoin Chips to Power Its AI Bots, Wired, 18
to-Peer Electronic Cash System, Pooled Mining Reward Systems, May 2016; www.wired.com/2016/05
2008; bitcoin.org/bitcoin.pdf. 22 Dec. 2011; arxiv.orgpdf/1112.4980 /google-tpu-custom-chips.
2. M.B. Taylor, Bitcoin and the Age .pdf. 12. M. Khazraee, et al, Specializing a
of Bespoke Silicon, Proc. Intl Conf. 7. N.T. Courtois, M. Grajek, and R. Naik, Planets Computation: ASIC Clouds,
Compilers, Architectures, and Synthesis Optimizing SHA256 in Bitcoin Min- IEEE Micro, vol. 37, no. 3, 2017,
for Embedded Systems (CASES 13), 2013, ing, Proc. Intl Conf. Cryptography pp. 6269.
article no. 16. and Security Systems (CCSS 14), 2014,
3. I. Magaki et al., ASIC Clouds: Spe- pp. 131144.
cializing the Datacenter, Proc. 43rd 8. J. Barkatullah and T. Hanke, Gold-
Intl Symp. Computer Architecture strike 1: CoinTerras First-Generation
(ISCA 16), 2016, pp. 178190. Cryptocurrency Mining Processor Read your subscriptions
4. M. Khazraee et al., Moonwalk: NRE for Bitcoin, IEEE Micro, vol. 35, no. 2, through the myCS
publications portal at
Optimization in ASIC Clouds, Proc. 2015, pp. 6876.
http://mycs.computer.org
22nd Intl Conf. Architectural Support for 9. N. Popper, Into the Bitcoin Mines,

Subscribe today!
IEEE Computer Societys newest magazine
tackles the emerging technology
of cloud computing.

computer.org/
R I NG
FAC T U D
MANU
& THE
C L OU Security and
cloudcomputing
AUTONODep
ofM
endability
ICd-Assisted
CLOUDS
Clou
Internet of Things
Live Migration 12
Capability-Oriented
ting 32 Methodology 58
Compu ys 42
Service Ke
Mobile yptographic
g Cr
Securin Software-Defi
ned Networki
ng 8
Datacenter Thre
ats 64

MARCH/APRIL 2016
dcomputing
www.computer.org/clou

2016 puting
UGUST loudcom
JULY/A MAY .org/c
/JUN E 2016
omputer
www.c www.compute
r.org/cloudcom
puting

66 COMPUTER W W W.CO M P U T E R .O R G /CO M P U T E R

You might also like