You are on page 1of 214

Serie: Recursos didcticos

Tapa:
Imagen combinada de la Supernova Remnamt captada
por el telescopio Hubble - NASA.
a u t o r i d a d e s

PRESIDENTE DE LA NACIN
Dr. Nstor Kirchner

MINISTRO DE EDUCACIN, CIENCIA Y TECNOLOGA


Lic. Daniel Filmus

SECRETARIO DE EDUCACIN, CIENCIA Y TECNOLOGA


Prof. Alberto E. Sileoni

DIRECTORA EJECUTIVA DEL INSTITUTO NACIONAL DE


EDUCACIN TECNOLGICA
Lic. Mara Rosa Almandoz

DIRECTOR NACIONAL DEL CENTRO NACIONAL DE


EDUCACIN TECNOLGICA
Lic. Juan Manuel Kirschenbaum
Entrenador en lgica programada

Sergio Noriega
Coleccin Serie Recursos didcticos.
Coordinadora general: Hayde Noceti.

Distribucin de carcter gratuito.

Queda hecho el depsito que previene la ley n 11.723. Todos los derechos
reservados por el Ministerio de Educacin, Ciencia y Tcnologia - Instituto
Nacional de Educacin Tecnolgica.

La reproduccin total o parcial, en forma idntica o modificada por cualquier


medio mecnico o electrnico incluyendo fotocopia, grabacin o cualquier sis-
tema de almacenamiento y recuperacin de informacin no autorizada en forma
expresa por el editor, viola derechos reservados.

Industria Argentina.

ISBN 950-00-0520-4

Noriega, Sergio
Entrenador en lgica programada / Sergio Noriega ; coordinado por Juan
Manuel Kirschenbaum.
- 1a ed. - Buenos Aires : Ministerio de Educacin, Ciencia y Tecnologa de la
Nacin. Instituto Nacional de Educacin Tecnolgica, 2005.
212 p.; 22x17 cm. (Recursos Didcticos; 12)

ISBN 950-00-0520-4

1. Electrnica-Circuitos. 2. Lgica Programada.


I. Kirschenbaum, Juan Manuel, coord.
II. Ttulo

CDD 621.381 5
Fecha de catalogacin: 3/11/2005

Impreso en Grfica Pinter S. A., Mxico 1352 (C1097ABB), Buenos Aires,


en noviembre 2005

Tirada de esta edicin: 3.000 ejemplares


Instituto Nacional de Educacin Tecnolgica
Centro Nacional de Educacin Tecnolgica
CeNET-Materiales

Serie: Recursos didcticos

1 Invernadero automatizado
2 Probador de inyectores y motores paso a paso
3 Quemador de biomasa
4 Intercomunicador por fibra ptica
5 Transmisor de datos bidireccional por fibre ptica, entre computadoras
6 Planta potabilizadora
7 Medidor de distancia y de velocidad por ultrasonido
8 Estufa de laboratorio
9 Equipamiento EMA -Caractersticas fsicas de los materiales de construccin-
10 Dispositivo para evaluar parmetros de lneas
11 Biodigestor
12 Entrenador en lgica programada
13 Entorno de desarrollo para programacin de microcontroladores PIC
14 Relevador de las caractersticas de componenetes semiconductores
15 Instalacin sanitaria de una vivienda
16 Equipamiento para el anlisis de estructuras de edificios
17 Cargador semiautomtico para mquinas a CNC de accionamiento electroneumtico
18 Biorreactor para la produccin de alimentos
19 Ascensor
20 Pila de combustible

Ministerio de Educacin, Ciencia y Tecnologa.


Instituto Nacional de Educacin Tecnolgica.
Saavedra 789. C1229ACE.
Ciudad Autnoma de Buenos Aires.
Repblica Argentina.
LAS METAS, LOS PROGRAMAS Y LAS LNEAS DE
ACCIN DEL INSTITUTO NACIONAL DE
EDUCACIN TECNOLGICA
El Instituto Nacional de Educacin nico-profesional, en el marco de los acuer-
Tecnolgica -INET- enmarca sus lneas de dos y resoluciones establecidos por el
accin, programas y proyectos, en las metas Consejo Federal de Cultura y Educacin.
de:
Disear y desarrollar un plan anual de
Coordinar y promover programas capacitacin, con modalidades presen-
nacionales y federales orientados a for- ciales, semipresenciales y a distancia, con
talecer la educacin tcnico-profesional, sede en el Centro Nacional de Educacin
articulados con los distintos niveles y ci- Tecnolgica, y con nodos en los Centros
clos del sistema educativo nacional. Regionales de Educacin Tecnolgica y
las Unidades de Cultura Tecnolgica.
Implementar estrategias y acciones de
cooperacin entre distintas entidades, Coordinar y promover programas de
instituciones y organismos gubernamen- asistencia econmica e incentivos fis-
tales y no gubernamentales-, que permi- cales destinados a la actualizacin y el
tan el consenso en torno a las polticas, desarrollo de la educacin tcnico-profe-
los lineamientos y el desarrollo de las sional; en particular, ejecutar las
ofertas educativas, cuyos resultados sean acciones relativas a la adjudicacin y el
considerados en el Consejo Nacional de control de la asignacin del Crdito
Educacin-Trabajo CoNE-T y en el Fiscal Ley N 22.317.
Consejo Federal de Cultura y Educacin.
Desarrollar mecanismos de cooperacin
Desarrollar estrategias y acciones desti- internacional y acciones relativas a dife-
nadas a vincular y a articular las reas de rentes procesos de integracin educativa;
educacin tcnico-profesional con los en particular, los relacionados con los
sectores del trabajo y la produccin, a pases del MERCOSUR, en lo referente a
escala local, regional e interregional. la educacin tcnico-profesional.

Disear y ejecutar un plan de asistencia Estas metas se despliegan en distintos pro-


tcnica a las jurisdicciones en los aspectos gramas y lneas de accin de responsabilidad
institucionales, pedaggicos, organizativos de nuestra institucin, para el perodo 2003-
y de gestin, relativos a la educacin tc- 2007:

VIII
Programa 1. Formacin tcnica, media y Programa 7. Secretara ejecutiva del Consejo
superior no universitaria: Nacional de Educacin Trabajo CoNE-T.
1.1. Homologacin y validez nacional de Programa 8. Cooperacin internacional.
ttulos.
1.2. Registro nacional de instituciones de Los materiales de capacitacin que, en esta
formacin tcnica. ocasin, estamos acercando a la comunidad
1.3. Espacios de concertacin. educativa a travs de la serie Recursos
1.4. Perfiles profesionales y ofertas formati- didcticos, se enmarcan en el Programa 5
vas. del INET, focalizado en el mejoramiento de
la enseanza y del aprendizaje de la Tec-
1.5. Fortalecimiento de la gestin institu- nologa y de la Ciencia, uno de cuyos pro-
cional; equipamiento de talleres y la- psitos es el de:
boratorios.
1.6. Prcticas productivas profesiona- Desarrollar materiales de capacitacin
lizantes: Aprender emprendiendo. destinados, por una parte, a la actua-
Programa 2. Crdito fiscal: lizacin de los docentes de la educacin
tcnico-profesional, en lo que hace a co-
2.1. Difusin y asistencia tcnica.
nocimientos tecnolgicos y cientficos; y,
2.2. Aplicacin del rgimen. por otra, a la integracin de los recursos
2.3. Evaluacin y auditora. didcticos generados a travs de ellos, en
Programa 3. Formacin profesional para el las aulas y talleres, como equipamiento
desarrollo local: de apoyo para los procesos de enseanza
y de aprendizaje en el rea tcnica.
3.1. Articulacin con las provincias.
3.2. Diseo curricular e institucional. Estos materiales didcticos han sido elabora-
3.3. Informacin, evaluacin y certifi- dos por especialistas del Centro Nacional de
cacin. Educacin Tecnolgica del INET y por espe-
Programa 4.Educacin para el trabajo y la cialistas convocados a travs del Programa de
integracin social. las Naciones Unidas para el Desarrollo
PNUD desde su lnea Conocimientos
Programa 5. Mejoramiento de la enseanza
cientfico-tecnolgicos para el desarrollo de
y del aprendizaje de la Tecnologa y de la
equipos e instrumentos, a quienes esta
Ciencia:
Direccin expresa su profundo reconoci-
5.1. Formacin continua. miento por la tarea encarada.
5.2. Desarrollo de recursos didcticos.
Mara Rosa Almandoz
Programa 6. Desarrollo de sistemas de infor-
Directora Ejecutiva del Instituto Nacional de
macin y comunicaciones:
Educacin Tecnolgica.
6.1. Desarrollo de sistemas y redes. Ministerio de Educacin, Ciencia y
6.2. Interactividad de centros. Tecnologa

IX
LAS ACCIONES DEL CENTRO NACIONAL DE
EDUCACIN TECNOLGICA
Desde el Centro Nacional de Educacin tacin continua para profesores de educacin
Tecnolgica CeNET encaramos el diseo, tcnico-profesional, implementando trayec-
el desarrollo y la implementacin de proyec- tos de actualizacin. En el CeNET contamos
tos innovadores para la enseanza y el apren- con quince unidades de gestin de apren-
dizaje en educacin tcnico-profesional. dizaje en las que se desarrollan cursos,
talleres, pasantas, conferencias, encuentros,
El CeNET, as: destinados a cada educador que desee inte-
grarse en ellos presencialmente o a distancia.
Es un mbito de desarrollo y evaluacin
de metodologa didctica, y de actuali- Otra de nuestras lneas de trabajo asume la
zacin de contenidos de la tecnologa y responsabilidad de generar y participar en
de sus sustentos cientficos. redes que vinculan al Centro con organismos
Capacita en el uso de tecnologa a do- e instituciones educativos ocupados en la
centes, profesionales, tcnicos, estudian- educacin tcnico-profesional, y con organis-
tes y otras personas de la comunidad. mos, instituciones y empresas dedicados a la
tecnologa en general. Entre estas redes, se
Brinda asistencia tcnica a autoridades e- encuentra la Red Huitral, que conecta a
ducativas jurisdiccionales y a edu- CeNET con los Centros Regionales de
cadores. Educacin Tecnolgica -CeRET- y con las
Articula recursos asociativos, integrando Unidades de Cultura Tecnolgica UCT
a los actores sociales involucrados con la instalados en todo el pas.
Educacin Tecnolgica.
Tambin nos ocupa la tarea de producir
Desde el CeNET venimos trabajando en dis- materiales de capacitacin docente. Desde
tintas lneas de accin que convergen en el CeNET hemos desarrollado distintas series
objetivo de reunir a profesores, a especialistas de publicaciones todas ellas disponibles en
en Educacin Tecnolgica y a representantes el espacio web www.inet.edu.ar:
de la industria y de la empresa, en acciones
compartidas que permitan que la educacin Educacin Tecnolgica, que abarca mate-
tcnico-profesional se desarrolle en la escuela riales que posibilitan una definicin cu-
de un modo sistemtico, enriquecedor, pro- rricular del rea de la Tecnologa en el
fundo... autnticamente formativo, tanto para mbito escolar y que incluye marcos
los alumnos como para los docentes. tericos generales, de referencia, acerca
del rea en su conjunto y de sus con-
Una de nuestras lneas de accin es la de di- tenidos, enfoques, procedimientos y
sear y llevar adelante un sistema de capaci- estrategias didcticas ms generales.

X
Desarrollo de contenidos, nuestra segunda estrategias curriculares, didcticas y
serie de publicaciones, que nuclea fasccu- referidas a procedimientos de construc-
los de capacitacin en los que se profun- cin que permiten al profesor de la edu-
diza en los campos de problemas y de cacin tcnico-profesional desarrollar,
contenidos de las distintas reas del cono- con sus alumnos, un equipamiento
cimiento tecnolgico, y que recopila, tam- especfico para integrar en sus clases.
bin, experiencias de capacitacin docente
desarrolladas en cada una de estas reas. Desde esta ltima serie de materiales de
capacitacin, nos proponemos brindar he-
Educacin con tecnologas, que propicia el rramientas que permitan a los docentes no
uso de tecnologas de la informacin y de slo integrar y transferir sus saberes y capaci-
la comunicacin como recursos didcti- dades, sino tambin, y fundamentalmente,
cos, en las clases de todas las reas y acompaarlos en su bsqueda de soluciones
espacios curriculares. creativas e innovadoras a las problemticas
con las que puedan enfrentarse en el proceso
Educadores en Tecnologa, serie de publica- de enseanza en el rea tcnica.
ciones que focaliza el anlisis y las pro-
puestas en uno de los constituyentes del En todos los casos, se trata de propuestas de
proceso didctico: el profesional que enseanza basadas en la resolucin de pro-
ensea Tecnologa, ahondando en los blemas, que integran ciencias bsicas y
rasgos de su formacin, de sus prcticas, tecnologa, y que incluyen recursos didcti-
de sus procesos de capacitacin, de su cos apropiados para la educacin
vinculacin con los lineamientos curricu- tcnicoprofesional.
lares y con las polticas educativas, de
interactividad con sus alumnos, y con Los espacios de problemas tecnolgicos, las
sus propios saberes y modos de hacer. consignas de trabajo, las estrategias de
enseanza, los contenidos involucrados y,
Documentos de la escuela tcnica, que finalmente, los recursos didcticos estn
difunde los marcos normativos y curricu- planteados en la serie de publicaciones que
lares que desde el CONET Consejo aqu presentamos, como un testimonio de
Nacional de Educacin Tcnica- deli- realidad que da cuenta de la potencialidad
nearon la educacin tcnica de nuestro educativa del modelo de problematizacin en
pas, entre 1959 y 1995. el campo de la enseanza y del aprendizaje
de la tecnologa, que esperamos que resulte
Ciencias para la Educacin Tecnolgica, de utilidad para los profesores de la edu-
que presenta contenidos cientficos aso- cacin tcnico-profesional de nuestro pas.
ciados con los distintos campos de la tec-
nologa, los que aportan marcos concep-
tuales que permiten explicar y funda-
mentar los problemas de nuestra rea. Juan Manuel Kirschenbaum
Director Nacional del Centro Nacional de
Recursos didcticos, que presenta con- Educacin Tecnolgica.
tenidos tecnolgicos y cientficos, Instituto Nacional de Educacin Tecnolgica

XI
LA SERIE RECURSOS DIDCTICOS
Desde esta serie de publicaciones del Centro tecnolgicos y conceptos cientficos aso-
Nacional de Educacin Tecnolgica, nos pro- ciados.
ponemos: 3 Hacia una resolucin tcnica. Manual
de procedimientos para la construc-
Poner a consideracin de los educadores cin y el funcionamiento del equipo.
un equipamiento didctico a integrar en Aqu se describe el equipo terminado y se
los procesos de enseanza y de apren- muestra su esquema de funcionamiento;
dizaje del rea tcnica que coordinan.
se presentan todas sus partes, y los mate-
Contribuir a la actualizacin de los riales, herramientas e instrumentos nece-
docentes de la educacin tcnico-profe- sarios para su desarrollo; asimismo, se
sional, en lo que hace a conocimientos pauta el paso a paso de su construc-
tecnolgicos y cientficos.
cin, armado, ensayo y control.
Inicialmente, hemos previsto el desarrollo de 4 El equipo en el aula. En esta parte del
veinte publicaciones con las que intentamos material escrito, se retoman las situa-
abarcar diferentes contenidos de este campo ciones problemticas iniciales, aportando
curricular vastsimo que es el de la educacin sugerencias para la inclusin del recurso
tcnico-profesional. didctico construido en las tareas que
docente y alumnos concretan en el aula.
En cada una de estas publicaciones es posible 5 La puesta en prctica. Este tramo de
reconocer una estructura didctica comn: la publicacin plantea la evaluacin
del material didctico y de la experien-
1 Problemas tecnolgicos en el aula. En cia de puesta en prctica de las estrate-
esta primera parte del material se gias didcticas sugeridas. Implica una
describen situaciones de enseanza y de retroalimentacin de resolucin vo-
aprendizaje del campo de la educacin luntaria de los profesores destinata-
tcnico-profesional centradas en la re- rios hacia el Centro Nacional de
solucin de problemas tecnolgicos, y se Educacin Tecnolgica, as como el
presenta una propuesta de equipamiento punto de partida para el diseo de
didctico, pertinente como recurso para nuevos equipos.
resolver esas situaciones tecnolgicas y
didcticas planteadas. Esta secuencia de cuestiones y de momentos
2 Encuadre terico para los problemas. didcticos no es azarosa. Intenta replicar en
En vinculacin con los problemas didc- una produccin escrita las mismas instancias
ticos y tecnolgicos que constituyen el de trabajo que los profesores de Tecnologa
punto de partida, se presentan conceptos ponemos en prctica en nuestras clases:

XII
XIII
Es a travs de este circuito de trabajo (pro- desencadenante suele estar distribuida
blema-respuestas iniciales-inclusin terica- materialmente en equipamiento, en
respuestas ms eficaces) como enseamos y materiales, en herramientas.
como aprenden nuestros alumnos en el rea:
No es lo mismo contar con este equipamien-
La tarea comienza cuando el profesor to que prescindir de l.
presenta a sus alumnos una situacin
codificada en la que es posible recono- Por esto, lo que
cer un problema tecnolgico; para con- intentamos des- Caracterizamos como
figurar y resolver este problema, es nece- de nuestra serie recurso didctico a to-
sario que el grupo ponga en marcha un de publicacio- do material o compo-
nente informtico se-
proyecto tecnolgico, y que encare anli- nes es acercar al leccionado por un edu-
sis de productos o de procesos desarro- profesor distin- cador, quien ha evalua-
llados por distintos grupos sociales para tos recursos di- do en aqul posibili-
resolver algn problema anlogo. dcticos que a- dades ciertas para ac-
Indudablemente, no se trata de cualquier yuden a sus a- tuar como mediador
entre un problema de la
problema sino de uno que ocasiona lumnos en esta realidad, un contenido
obstculos cognitivos a los alumnos tarea de proble- a ensear y un grupo
respecto de un aspecto del mundo artifi- matizacin y de de alumnos, facilitando
cial que el profesor en su marco curri- intervencin procesos de compren-
cular de decisiones ha definido como sustentada sin, anlisis, profundi-
zacin, integracin,
relevante. terica y tcni- sntesis, transferencia,
camente en el produccin o evalua-
El proceso de enseanza y de aprendiza- mundo tecno- cin.
je comienza con el planteamiento de esa lgico.
situacin tecnolgica seleccionada por el
profesor y con la construccin del espa-
cio-problema por parte de los alumnos, y Al seleccionar los recursos didcticos que
contina con la bsqueda de respuestas. forman parte de nuestra serie de publica-
ciones, hemos considerado, en primer trmi-
Esta deteccin y construccin de no, su potencialidad para posibilitar, a los
respuestas no se sustenta slo en los alumnos de la educacin tcnico-profesional,
conocimientos que el grupo dispone configurar y resolver distintos problemas tec-
sino en la integracin de nuevos con- nolgicos.
tenidos.
Y, en segundo trmino, nos preocup que
El enriquecimiento de los modos de ver cumplieran con determinados rasgos que les
y de encarar la resolucin de un proble- permitieran constituirse en medios eficaces
ma tecnolgico por la adquisicin de del conocimiento y en buenos estructurantes
nuevos conceptos y de nuevas formas cognitivos, al ser incluidos en un aula por un
tcnicas de intervencin en la situacin profesor que los ha evaluado como perti-

XIV
nentes. Las cualidades que consideramos plejidad).
fundamentales en cada equipo que promove-
Reutilizacin (los diversos componentes,
mos desde nuestra serie de publicaciones
bloques o sistemas pueden ser desmonta-
Recursos didcticos, son:
dos para volver al estado original).

Modularidad (puede adaptarse a diversos Incrementabilidad (posibilidad de ir


usos). agregando piezas o completando el
equipo en forma progresiva).
Resistencia (puede ser utilizado por los
alumnos, sin peligro de romperse con
facilidad).
Seguridad y durabilidad (integrado por
materiales no txicos ni peligrosos, y
durables).
Adaptabilidad (puede ser utilizado en el
taller, aula o laboratorio).
Acoplabilidad (puede ser unido o combi-
nado con otros recursos didcticos).
Compatibilidad (todos los componentes,
bloques y sistemas permiten ser integra-
dos entre s).
Facilidad de armado y desarmado (posi-
bilita pruebas, correcciones e incorpo-
racin de nuevas funciones).
Pertinencia (los componentes, bloques
funcionales y sistemas son adecuados
para el trabajo con los contenidos cu-
rriculares de la educacin tcnico-pro-
fesional).
Fiabilidad (se pueden realizar las tareas
preestablecidas, de la manera esperada).
Coherencia (en todos los componentes,
bloques funcionales o sistemas se siguen
Hayde Noceti
las mismas normas y criterios para el
Coordinadora de la accin Conocimientos
armado y utilizacin).
cientfico-tecnolgicos para el desarrollo de
Escalabilidad (es posible utilizarlo en equipos e instrumentos.
proyectos de diferente nivel de com- Centro Nacional de Educacin Tecnolgica

XV
12.Entrenador
en lgica
programada
Este material de capacitacin fue
desarrollado por:

Sergio Noriega.
Es Ingeniero en Telecomunicaciones. Se de-
sempea como Profesional de Apoyo Prin-
cipal en la Comisin de Investigaciones
Cientficas de la provincia de Buenos Aires
(CIC), con lugar de trabajo en el Labora-
torio Metrolgico para las Comunicaciones
pticas (LAMECO) del Centro de Inves-
tigaciones pticas (CIOp). Es profesor titu-
lar en la ctedra Introduccin a los siste-
mas lgicos y digitales (Facultad de Inge-
niera. Universidad Nacional de La Plata) y
profesor asociado en la ctedra Telecomu-
nicaciones I (Facultad de Ingeniera y
Ciencias Exactas. Universidad Argentina de
la Empresa).

Coordinacin general:
Hayde Noceti
Diseo didctico:
Ana Ra
Administracin:
Adriana Perrone
Monitoreo y evaluacin:
Laura Irurzun
Diseo grfico:
Toms Ahumada
Karina Lacava
Alejandro Carlos Mertel
Diseo de tapa:
Laura Lopresti
Juan Manuel Kirschenbaum

Con la colaboracin
del equipo de profesionales
del Centro Nacional
de Educacin Tecnolgica

2
Las metas, los programas y las lneas de accin
del Instituto Nacional de Educacin Tecnolgica VIII
Las acciones del Centro Nacional de Educacin Tecnolgica X

ndice La serie Recursos didcticos

1 Problemas tecnolgicos en el aula


XII

4
El recurso didctico que proponemos
2 Encuadre terico para los problemas 12
Hacia circuitos integrados
Tecnologa de familias lgicas
El transistor MOG, la base de toda la tecnologa CMOS
Procesos de fabricacin de circuitos integrados
Diseo digital. Alternativas de implementacin
Un poco de historia
Tecnologa para el diseo lgico
Circuitos lgicos programables por hardware
Qu es un PLD? Clasificacin
Tecnologa para el diseo lgico
Dispositivos lgicos proramables simples -SPLD-
Arreglos lgicos programables -FPGA-
Programacin

3 Hacia una resolucin tcnica. Manual de procedimientos


para la construccin y el funcionamiento del equipo 58
El producto
Los componentes
1- Hardware
2- Software

4 El equipo en el aula 137


La superacin de dificultades

5 La puesta en prctica 172


Anexo
CD Aplicaciones para desarrollar en el aula
1. PROBLEMAS TECNOLGICOS EN EL AULA

En comparacin con la electrnica analgica, depende del tipo y de las caractersticas del
la electrnica digital ha ganado mucho terre- chip que se utilice.
no en las ltimas dcadas.
Resulta imprescindible, entonces, estudiar
Hoy en da, el diseo digital no slo tiene esta tecnologa con los alumnos, ya que se
relevancia en la computacin y en las teleco- encuentra en un sinnmero de equipos digi-
municaciones sino que, adems, brinda solu- tales modernos.
ciones muy eficientes en reas como la indus-
tria (con controladores digitales de procesos, Consideremos algunas situaciones didcticas
por ejemplo) o la electromedicina (equipos que involucran contenidos de lgica progra-
de monitoreo y adquisicin de datos, tomo- mada.
grafa computada), por nombrar slo algu-
nas. Esta eficiencia es posible gracias al desa-
rrollo de distintos tipos de dispositivos digi- Para sus pruebas en el Laboratorio de
tales basados en la utilizacin de microproce- autotrnica, los alumnos necesitan medir
sadores y de circuitos de lgica programada. el tiempo que trascurre al accionar un
actuador neumtico.
Un dispositivo l-
gico programable El dispositivo que requieren es:
Un microprocesador es
a diferencia de un circuito digital
un microprocesa- complejo que funciona Un reloj digital que cuente segundos
dor es un con- con el control de un desde 00 hasta 59 y que, all, comien-
programa escrito en ce una nueva secuencia. La visualiza-
junto de com- una memoria. Sus apli-
puertas que se en- caciones abarcan desde cin podra realizarse a travs de un
cuentran conteni- el control de una m- display de dos dgitos de 7 segmentos
quina expendedora de cada uno, de tipo led.
das dentro de un caf hasta el de un tras-
chip que se pro- bordador espacial.
A partir de esta descripcin inicial, el
grama desde una
grupo encara el desarrollo del reloj desde
computadora. De acuerdo con la necesidad,
el Laboratorio de electrnica. El proyec-
es posible interconectar sus componentes in-
to va a terminar en un circuito impreso y
ternos a fin que de que se implemente el cir-
funcionando.
cuito deseado: algo sencillo como un con-
tador o algo sofisticado como un microproce-
Al considerar las posibles formas de
sador, ya que la complejidad del diseo

4
implementar las operaciones iniciales que circuito contador de dcadas con
permitan desarrollar el reloj, surgen dis- dos chips. O, mejor an, podran usar
tintas alternativas: el MC14518B que es un contador
BCD doble, implementado en un solo
Emplear circuitos integrados de tec- chip. Como decodificador BCD a 7
nologa TTL lgica transistor-transis- segmentos del reloj, es posible em-
tor para resolver cada una de las plear el MC14511B que es muy utili-
partes que constituyen el reloj; por zado o, tambin, los circuitos
ejemplo, utilizar la serie 74LS de TTL. MC14513, MC14547 u otros. Y,
como fuente de reloj, acudir a, por
Emplear tecnologa CMOS transistor
ejemplo, un oscilador a cristal de
de efecto de campo de simetra com-
cuarzo, empleando un cristal y com-
plementaria; por ejemplo, la serie
puertas inversoras.
4000 de National Semiconductor1 o
la 14000 de Texas Instruments2. Los alumnos optan, entonces, por la base
Emplear circuitos integrados dedica- de un circuito contador de dcadas for-
dos CMOS, que ya vienen preparados mado por dos contadores uno para las
para cumplir la funcin de reloj. unidades y otro para las decenas de
segundos. Adems, prevn la inclusin
Con su profesor de electrnica, los alum- de una fuente de reloj de un segundo de
nos analizan que: perodo, para aplicar a la entrada de reloj
de los contadores. Para implementar la
La primera opcin si bien an es parte de visualizacin como van a usar
viable implicara utilizar una tec- dos display de 7 segmentos a led, inter-
nologa que ya est en desuso; funda- conectarn un decodificador BCD a 7 seg-
mentalmente, debido a que consume mentos entre las salidas de cada contador
mucha corriente y que, al ocupar y dichos display.
mayor espacio en el chip, permite una
menor densidad de integracin A continuacin, basndose en las hojas de
implementar menos lgica en un datos de los manuales de CMOS, el profe-
rea dada de silicio con respecto a la sor propone a los estudiantes que selec-
tecnologa CMOS, por lo que su costo cionen los componentes, considerando
resulta ms alto. los criterios de:
Para el uso de la tecnologa CMOS,
Simplicidad; van a decidirse por a-
podran emplear el MC14017B como
quellos circuitos integrados que ha-
contador; ste es un simple contador
gan el diseo lo ms sinttico posible
BCD decimal codificado en binario;
es decir, con la menor cantidad de
componentes y, preferentemente,
1 National Semiconductor: http://www.national.com
constituido por aquellos que puedan
2 Texas Instruments: http://www.ti.com

5
cumplir con ms de una de las funcio- quetodo3 a la que sueldan los pines de
nes deseadas. los chips por medio de alambres de cobre;
Disponibilidad en el mercado local; otro equipo fabrica el circuito impreso4.
para esto, uno de los rasgos requeri-
dos es que los componentes no sean Una vez finalizado el impreso tarea que
obsoletos. les lleva seis horas de clase, proceden a
soldar los componentes del reloj digital y
Precio accesible. lo prueban.
El paso siguiente consiste en considerar Pero, su profesor ha previsto para ellos
cmo pueden saber si el diseo que van a otro desafo:
implementar funciona o no.
Y, si, las prcticas en el laboratorio de
Las maneras que los estudiantes conocen autotrnica hicieran necesario modificar
son dos: alguna de las caractersticas del reloj? Por
Mediante la informacin suministrada ejemplo, agregar los dgitos correspondien-
por la hoja de datos de los compo- tes a los minutos, de modo tal que el reloj
nentes seleccionados, pueden realizar cuente, en total, una hora es decir, desde
un diagrama de tiempos a travs del 00:00 hasta 59:59?
cual sea posible constatar que los di-
ferentes componentes trabajan en for- La respuesta general es de desaliento: Este
ma correcta. cambio implicara redisear el proyecto

Comprobar el funcionamiento, ar- 3 Editorial Tcnica Plaquetodo: http://www.plaquetodo.com


mando los componentes electrnicos 4 Lo hacen, empleando una placa de pertinax con dos caras
en una plaqueta de ensayos tipo recubiertas de una delgada lmina de cobre; graban el dia-
Experimentor o Proto-Board. grama del circuito impreso con los caminos de cobre en di-
chas caras y eliminan el resto. Para el diseo de circuitos im-
presos, utilizan los programas OrCAD o Protel Cimme-
Seleccionados ya los circuitos a emplear, try Systems Inc. http://www.cimmetry.com/formats.html
comprobada la viabilidad del diseo y ha- que permiten imprimir y, posteriormente, con un mtodo
fotogrfico, imprimir un negativo o un positivo para usar
biendo realizado el diagrama circuital, la como mscara, en el paso de fabricacin del impreso.
siguiente etapa que los alumnos encaran Entonces, los alumnos depositan el papel traslucido positivo
es la de la construccin. Para esto, desa- sobre una de las caras y la iluminan con una lmpara de
rayos ultravioleta durante varios minutos; un film positivo
rrollan el circuito impreso que va a permi- queda traslcido donde no debe haber cobre y negro donde
tirles interconectar los circuitos integrados s debe haberlo; en el caso positivo, el producto qumico
fotosensible que contiene la placa deja la pelcula endureci-
y los otros componentes asociados (resis-
da donde la luz no pasa por el negro del film y dbil
tencias, conectores, capacitores, etc.). donde la luz pasa. Como la placa es doble faz, se repite el
procedimiento en la otra cara. Una vez concluida esta etapa,
los alumnos sumergen la placa en cido (generalmente, per-
Un equipo de alumnos opta por realizar el cloruro frrico) durante el tiempo suficiente como para que
circuito con una plaqueta del tipo Pla- se elimine el cobre de la zona que recibi la luz ultravioleta
y quede slo el cobre de la parte del film que era negra.

6
para duplicar la cantidad de contadores, Una forma en que los alumnos realizan
decodificadores y displays; inclusive, sera estas operaciones consiste en analizar cada
necesario volver a desarrollar del circuito circuito de tecnologa estndar tipo TTL o
impreso, ya que el que hicieron no servira CMOS, ubicndolos de a uno por vez en
ms; y, para utilizar los componentes ante- una plaqueta experimental tipo Experimen-
riores, habra que desoldarlos con la posi- tor, y efectuar las interconexiones ne-
bilidad de daarlos y volverlos a soldar. cesarias con cables de cobre entre aquellos
y los componentes necesarios. Empleando
Entonces, el profesor interviene: llaves, pulsadores y diodos emisores de luz
tipo led, pueden visualizar las entradas y
Quiero ensearles una metodologa distin- salidas, para comprobar su funcionamien-
ta, que evita todas estas dificultades... to. Por otra parte, empleando un tester
digital (multmetro) o un osciloscopio, les
es posible realizar la funcin de visualizar
los resultados y de analizar las seales
El profesor y los alumnos de Bases de l- dinmicas de circuitos secuenciales un
gica digital se encuentran analizando los contador, un registro de desplazamiento.
diferentes tipos de circuitos que podran
utilizarse para concretar un proyecto de Pero, este procedimiento de trabajo obliga
electrnica digital: a los alumnos a modificar el experimento
cada vez que cambian el circuito integra-
Circuitos elementales, tales como do a analizar, ya que la mayora de los
compuertas and, nand, or, nor, inversor chips de lgica tradicional TTL y CMOS
y aquellas derivadas de las anteriores. contiene slo una funcin especfica a rea-
Circuitos de lgica combinatoria, tales lizar (sumador de 4 bits, contador de un
como: sumadores, restadores, decodi- solo dgito tipo BCD, etc.).
ficadores, codificadores, multiplexo-
res, demultiplexores, etc. Les resultara til contar con un procedi-
miento de anlisis ms eficaz.
Circuitos de lgica secuencial, tales
como: flip-flops, latches, contadores,
registros de desplazamiento, etc. En el taller, el profesor se propone
comenzar a trabajar problemticas vincu-
En todos los casos de circuitos combinato- ladas con la lgica programada, con sus
rios, los alumnos van a necesitar conocer alumnos.
su tabla de verdad, a fin de saber qu rea-
liza cada uno de ellos; y, adems, para la Para esto, les plantea:
mayora de los circuitos secuenciales, con-
tar con los diagramas de tiempo, a fin de Les acerco el diagrama circuital (esquem-
entender su funcionamiento. tico) de un producto tecnolgico que uste-

7
En cada una de estas situaciones de ensean-
des conocen pero que no voy a anticipar-
za, parece ptimo integrar contenidos de
les. Por favor, examnenlo y compartan
lgica programada, ya que alumnos y profe-
con el grupo cmo consideran que sera
sores estn trabajando en torno a problemas
posible determinar su funcin.
tecnolgicos que, segn la eleccin adoptada
de los componentes utilizados, podran
Los alumnos plantean que una manera de
implicar permanentes cambios en el hardwa-
definir la funcionalidad del circuito es a
re, no slo por la cantidad de chips y mode-
travs del desarrollo de:
los empleados sino por la modificacin del
circuito impreso.
ecuaciones que describen su fun-
cionamiento, La utilizacin de uno o ms chips de lgica progra-
tablas de verdad, mada hace posible realizar todas las funciones; y,
si las condiciones del proyecto as lo exigen permi-
diagramas temporales. te, adems, generar lgica, ampliar o cambiar los
circuitos internos con facilidad, y replantear el
esquema original.
El profesor reconoce la correccin de la
respuesta; pero, plantea que, en este caso,
el procedimiento puede resultar bastante
tedioso e insumir mucho tiempo de anli-
sis, con la posibilidad constante de come-
El recurso didctico que
ter errores que conduzcan a conclusiones proponemos
errneas.
El equipo didctico Entrenador en lgica
Otra forma de establecer cul es la funcin programada que estamos proponindole
del circuito es implementarlo; pero, esto incluir en su clase resulta un modelo de
llevara mucho ms tiempo y dificultades. mucha utilidad para comprender cmo es
posible implementar diferentes circuitos
Qu hacer, en estos casos? digitales, basndose en la utilizacin de dis-
positivos configurables por hardware.

Los alumnos estn desarrollando un conta- Este recurso didctico permite avanzar en la
dor de eventos de mdulo 20 que integrarn comprensin de contenidos tales como:
a un equipo de llenado de frascos con com-
primidos, para una industria farmacutica. circuitos lgicos programables, nueva
tecnologa en el diseo digital,
El profesor presenta a sus alumnos el cir-
principio de funcionamiento y arquitec-
cuito digital del contador y plantea:
turas actuales para la implementacin de
_ Este circuito contiene errores. Mi propuesta los circuitos lgicos programables,
es que ustedes piensen cmo es posible detec- diseo, simulacin y programacin de dispo-
tarlos. sitivos lgicos programables por hardware.

8
El entrenador intenta, as, introducir al alum- Circuito lgico programable complejo
no en el mundo de la tecnologa de diseo CPLD tipo EPM7128. Este circuito
lgico por hardware, dando respuestas a los integrado es el componente principal del
interrogantes de: kit; mediante su programacin desde una
computadora personal, puede ser confi-
Cules son las ventajas de emplear circui- gurado para que cumpla un gran nmero
tos programables por hardware, en lugar de funciones lgicas.
de usar lgica digital convencional?
Oscilador a cristal de cuarzo. Posibilita la
Cul es el proceso tecnolgico que permi- generacin de una seal de onda cuadra-
te implementar fsicamente hardware digi- da, con muy alta estabilidad temporal, pa-
tal desde un chip? ra aquellas experiencias en las que se re-
quiera de una seal de reloj de precisin.
Cules son las diferentes formas para rea-
lizar un diseo lgico, empleando este tipo Conector para programacin de la CPLD.
de tecnologa? Es implementado en base a un conector
tipo DB25 con un circuito integrado y
Qu herramientas de software y hardware
componentes pasivos, a fin de brindar
se necesita para lograrlo?
una interfaz entre la computadora per-
El equipo est compuesto por dos placas de sonal (desde un puerto paralelo) y el dis-
circuito impreso (la principal que posee el positivo lgico programable (en este ca-
chip y una auxiliar con ejemplos de diseo) so, una CPLD tipo EPM7128).
y un conector especial para programacin.
La placa auxiliar presenta ejemplos de aplica-
La placa principal tiene alojado un circuito ciones que pueden implementarse en un aula5.
lgico programable del tipo CPLD disposi-
tivo lgico programable complejo y est A travs del recurso didctico Entrenador en
compuesta por subcircuitos: lgica programada, los alumnos pueden rea-
lizar experiencias tales como:
Fuente de alimentacin, y conectores de Comprender la tecnologa basada en
entradas y salidas digitales. Permite ali-
dispositivos lgicos programables por
mentar a los circuitos internos y externos hardware.
que se conecten con una tensin de 5 V
regulada, proveniente de un regulador de Realizar diseos lgicos simples y com-
tensin estabilizada; para esto, emplea plejos con estos dispositivos y con el
una fuente externa de alimentacin de software asociado a ellos; para concretar-
220 V a 12 V de corriente continua o, e- los, basta con programar al chip y conec-
ventualmente, una fuente auxiliar me- tar aquellos componentes que se requie-
diante la conexin de una batera de 9 V ran, desde uno o varios circuitos impre-
de corriente continua para usar en caso sos va los conectores DB25.
de no contar con un suministro de ener- 5 Usted encontrar estas aplicaciones en el CD que acompaa
ga elctrica. esta publicacin.

9
Utilizar el equipo como entrenador bsi- errores funcionales en su diseo.
co de lgica digital, ya que puede emular
cualquier tipo de compuerta o circuito Porque, con la ayuda de los circuitos lgicos
ms complejo. Por ejemplo, se podra en- programables, es posible realizar el diseo
sear cmo funciona un contador BCD y del reloj como tantos otros mucho ms
cmo programarlo dentro, conectando a complejos, empleando la mnima cantidad
los conectores DB25, aquellos compo- de circuitos integrados. Con un solo chip, en
nentes necesarios para su demostracin principio, se pueden sintetizar las funciones
(por ejemplo llaves, pulsadores y diodos de la fuente de generacin de reloj de un
emisores de luz). segundo de perodo, de los contadores y de
los decodificadores BCD a 7 segmentos; y
Simular el comportamiento de un cir-
como, en general, las prestaciones de estos
cuito digital genrico en forma temporal,
chips son grandes, queda todava ms lgica
empleando el software asociado al cir-
para implementar otras funciones.
cuito integrado. Se constituye, as, en una
herramienta adicional para el anlisis y
sntesis de circuitos digitales.

Contando con este


equipo, los alumnos En la cuarta
del primer testimo- parte de este
material de capacita-
nio podran disear cin consideramos va-
sintticamente el re- rios ejemplos de di-
loj y, a partir de all, seo de circuitos tales
como contador BCD,
agregar o quitar sumador de 4 bits, de-
funciones, a travs codificador de 7 seg-
de una simple mentos, flip-
flop tipo D, etc.
reprogramacin.
Tambin:

realizar circuitos mucho ms complejos y


que trabajen a mayor velocidad que a- Las ventajas de emplear este tipo de tecnolo-
quellos a implementar con circuitos inte- ga en la que el chip se puede configurar para
grados digitales en tecnologa tradicional, que haga dentro de ciertos lmites lo que el
ingresar los diseos de manera grfica o usuario requiere:
mediante un lenguaje de programacin
especial a fin de que, luego, el programa No se necesita tener diferentes tipos de
compilador sintetice el circuito pedido, circuitos integrados en stock.
simular cualquier diseo digital que se Al implementar toda o casi toda la lgica
realice en tecnologa TTL o CMOS y, de necesaria en un chip, las velocidades de
esta manera, comprobar que no contenga trabajo (mxima frecuencia de reloj a uti-

10
lizar) son muy En una computadora per-
vista funcional, a fin de comprobar sus
superiores res- sonal, por ejemplo, exis- tablas de verdad;
pecto de em- ten varios de estos circui-
desde el punto de vista temporal, simular
plear lgica dis- tos que la hacen ms
veloz y que permiten dis- cualquiera de estos circuitos realizados
creta.
minuir su tamao al en tecnologa TTL o CMOS, para estudiar
Seleccionando requerir menos cantidad los efectos que introducen los tiempos de
adecuadamente de chips. retardo de un circuito fsico real;
el circuito inte-
implementar el hardware de un circuito
grado, podemos destinar lgica adicional
digital simple o complejo sumadores,
para ampliar el circuito, si esto es necesario.
decodificadores, contadores..., con la
Es posible reconfigurar ciertos circuitos ayuda de llaves, pulsadores, osciladores,
de lgica programada para hacer un diodos tipo LED, etc. que se implementen
nuevo diseo o para mejorar el existente. en diversas placas que se interconecten al
Esto constituye una ventaja extra, ya que entrenador. Porque, como el dispositivo
puede suceder que si se toman ciertas empleado en el entrenador es progra-
previsiones inicialmente no sea nece- mable, es posible seguir utilizando las
sario modificar el circuito impreso o, si mismas conexiones de pulsadores, llaves,
debe hacrselo, los cambios sean mni- LED, etc. para los diferentes tipos de cir-
mos, ganando en el tiempo de rediseo. cuitos a ensayar stos son creados dentro
del chip, las veces que sean necesarias.
En la etapa de diseo, la mayora de los
fabricantes de circuitos de lgica progra- En el taller, integrar el entrenador como recurso
mada, ofrece un software para edicin, didctico para que los alumnos detecten qu
simulacin y programacin de sus chips. hace el circuito dado por su profesor, posibilita:
Esto es muy importante ya que, en gene-
ral, hace mucho ms fcil el diseo y, realizar su simulacin temporal, para
adems, mediante el simulador es posible estudiar el circuito por etapas si ste es
saber si el proyecto funciona correcta- muy complejo,
mente, sin necesidad de tener que armar-
lo previamente. implementarlo en hardware dentro del
chip del entrenador y excitarlo conve-
Si los alumnos del segundo testimonio conta- nientemente con seales digitales, para
ran con el entrenador, podran comprender el analizar la evolucin de las salidas con el
funcionamiento de diversos circuitos integra- instrumental adecuado.
dos simples y complejos que se ensean en
escuelas secundarias y universidades, y que Y, en el ltimo testimonio, una vez detectado
forman parte de la base de circuitos mucho el problema, el grupo de alumnos va a poder:
ms complejos memorias, conversores anal-
gico-digitales, microprocesadores, etc. y: Redisear el circuito y volver a simularlo,
para comprobar que responde apropia-
simular estos circuitos desde el punto de damente.

11
2. E N C U A D R E T E R I C O PA R A L O S
PROBLEMAS

Hacia circuitos integrados

La electrnica se conoce desde la dcada de A partir de 1950, el tamao de los disposi-


1930. tivos electrnicos comienza a reducirse
dramticamente. Y, desde 1958, surge la pa-
La invencin de la labra microelectrnica. Un bloque -chip- de
vlvula gaseosa da silicio de un rea de 0.5 cm pasa a contener
La ENAC - Numeri-
origen a un nuevo cal Integrator And
de 10 a 20 transistores con varios diodos,
componente elc- Computer-, primera resistencias y condensadores.
trico que, entre o- computadora elec-
tras cosas, posibi- trnica, estaba con- As se configura la idea de circuito integrado,
lita amplificar se- formada por 18.000 un circuito elctrico muy avanzado formado,
ales elctricas. vlvulas que se que- generalmente, por transistores, diodos,
La invencin del maban constante- resistencias y capacitores conectados conve-
transistor en 1947, mente y que la ha- nientemente a fin de realizar una tarea
por su parte, co- can muy poco con- especfica.
fiable, con un consu-
mienza a generar
mo de 200.000 watts
un cambio res- de potencia y ocu-
Hacia mediados de los '50 se construyen cir-
pecto de cmo de- pando el espacio de cuitos electrnicos en laboratorios industria-
beran construirse toda una habitacin; les de dos compaas estadounidenses: Texas
los componentes con el transistor se Instruments y Fairchild Semiconductor.
electrnicos; en- logra disminuir la Jack Kilby, de la primera empresa, es quien
tonces, la tecno- cantidad de compo- inventa el circuito integrado y, posterior-
loga sufre un re- nentes y el rea de mente, Robert Noyce hace mejoras que per-
direccionamiento las computadoras. miten resolver problemas de encapsulamien-
notable, al comen- to de los chips.
zar a trabajar sobre materiales slidos y al
disear un transistor -ms pequeo y con- En la dcada de 1970 ya se han desarrollado
fiable, comparado con los tubos al vaco-. diversas familias de circuitos lgicos digi-

12
tales; las preponderantes son: Ya en 1970, comienza a aparecer una familia
lgica que puede competir con la TTL6; se
TTL -lgica transistor-transistor-, trata de la CMOS, basada en el uso de tran-
ECL -lgica acoplada por emisor- y sistores de efecto de campo tipo MOSFET
CMOS -lgica MOS (Metal Oxide Semi- tanto de canal N como de canal P, para poder
conductor; semiconductor de metal- implementar cualquier funcin lgica pri-
xido) de simetra complementaria-. maria (and, or, negacin) y, con ellas,
cualquier otra funcin por compleja que sta
sea.
A medida que la tecnologa electrnica digital
sigue avanzando, se hace cada vez ms com-
La TTL est desarrollada sobre la base de
pacta (introduce mayor cantidad de com-
transistores bipolares del tipo NPN, con el
ponentes en una misma rea de silicio) y co-
agregado de diodos y resistencias. La CMOS,
mienza a ser aplicada al diseo de dispositivos
en cambio, slo contiene transistores MOS-
complejos tales como microprocesadores y
FET en sus circuitos, con las ventajas de:
otros dispositivos de alta densidad de integra-
cin como son las memorias de estado slido.
bajo consumo sin seal,
Los primeros dispositivos comerciales que em- mayor inmunidad al ruido elctrico,
plean circuitos de alta densidad de integracin mayor capacidad de carga a la salida,
son las calculadoras, que dan origen a las com- para alimentar a otras compuertas,
putadoras comerciales, a comienzos del '80.
posibilidad de operar con tensiones de
Hoy en da, se han alcanzado densidades de alimentacin desde 3 V hasta 18 V.
integracin tan altas, que los circuitos integra-
dos digitales pueden contener varias decenas Su principal desventaja es la de ser mucho
de millones de transistores en un rea de si- ms lenta que la TTL. Pero, con la mejora en
licio de pocos milmetros cuadrados. ste es la tecnologa de fabricacin de circuitos inte-
el caso de los microprocesadores que se em- grados y nuevas ideas para desarrollar esque-
plean en las computadoras personales como, mas de conexionado interno ms eficientes,
por ejemplo, los conocidos Pentium de Intel. ambas familias van hacindose cada vez ms

6En este momento existe (y, an est presente, con ciertas


Tecnologa de familias lgicas modificaciones) otra familia lgica denominada ECL -lgica
acoplada por emisor-, basada en el uso de transistores bipo-
lares, diodos y resistencias, mucho ms veloz que TTL y
Una familia lgica es una tecnologa que,
CMOS, pero que emplea lgica binaria negativa y que trabaja
empleando un conjunto particular de com- con fuentes de alimentacin negativas de -5,2 V. En la actuali-
ponentes dispuestos circuitalmente de una dad, se cuenta con otra familia lgica denominada BICMOS,
forma dada, permite implementar fsica- que integra tanto transistores bipolares (de ah el prefijo "bi")
como de efecto de campo (CMOS) para implementar com-
mente funciones lgicas, segn lo estable-
puertas; se emplea en ciertas aplicaciones que requieren, prin-
cido por el lgebra de Boole. cipalmente, velocidad pero con gran capacidad de carga a la
salida de dichas compuertas.

13
veloces. El transistor MOS,
la base de toda
De la primitiva TTL, se constituyen nuevas
subfamilias (variaciones de la TTL con otros la tecnologa CMOS
circuitos internos y transistores bipolares
mejorados). De la inicialmente conocida se- Desde que se inventa la lgica CMOS hasta
rie 74 se pasa a la 74L, a la 74S y, por lti- nuestros das, se sigue manteniendo la
mo, con la inclusin de transistores del tipo misma estructura para implementar, por
Schottky, se inicia la serie 74LS, 74ALS y ejemplo, un inversor.
74F.

Por el lado de CMOS, de la serie 4000 inicial Un transistor MOS es un compo-


se pasa a la 74HC/HCT y, por ltimo, a la nente activo que, controlando la ten-
74AC/ACT. sin de su compuerta -gate-, permite
modificar la corriente que circula
En la carrera por conseguir una familia ms entre los terminales fuente -source-
rpida y de menor consumo, gana la CMOS y drenaje -drain-. Dicho de otra ma-
frente a la TTL, ya que con la disminucin nera, a diferencia de un transistor
del tamao con que pueden fabricarse los bipolar (en el que la corriente entre
transistores MOS, se consiguen los beneficios los terminales de emisor y colector
de: se controla por la corriente de base),
un transistor MOS permite controlar
mayor velocidad de respuesta, por tensin la corriente entre los ter-
menor consumo, minales drain y source; de esta ma-
mayor densidad de integracin (para nera, se puede hacer que trabaje en
realizar una misma funcin lgica, diferentes zonas de trabajo como
CMOS slo usa transistores y en menor son las de corte, zona activa y de sa-
cantidad que TTL). turacin.

Este ltimo rasgo es decisivo, ya que permite


la implementacin de circuitos mucho ms Los cambios tecnolgicos que han llevado a
complejos -que con TTL- en una misma rea CMOS a reemplazar por completo a TTL, se
de silicio y, adems, con una velocidad un centran en modificaciones realizadas en la
poco mayor que con la versin ms rpida de fabricacin de los transistores MOS de canal
la subfamilia TTL, que es la 74F. N y de canal P.

TTL y CMOS trabajan con lgica binaria po- La diferencia entre un transistor de canal N y
sitiva y con tensiones de alimentacin positi- uno de canal P es el tipo de material con que
vas; TTL emplea fuentes de +5 V, CMOS usa se crea la zona de conduccin entre el source
fuentes de entre +3 V y +18 V. y el drain.

14
Transistor MOS de canal P

Inversor en
tecnologa CMOS

Los terminales de compuerta se unen


entre s y forman el terminal de entra-
da del inversor denominado, general-
mente, Vin.
La salida del inversor est constituida
por la unin entre el source del transis-
tor de canal P con el drain del transis-
tor MOS de canal N, denominado
Vout.
El terminal de alimentacin positiva
est constituido por el drain del tran-
sistor de canal P, denominado Vdd.
Transistor MOS de canal N
El terminal negativo o de masa, es el
source del transistor MOS de canal N,
Esta diferencia, entre otras cosas, implica que denominado Vss.
es necesario cambiar la polaridad de la ten-
sin entre gate y los otros terminales, para Alimentando el drain del transistor de
obtener los mismos resultados de trabajo con canal p con una tensin positiva respecto
un tipo de transistor o con otro. del terminal source del transistor de canal
n, se puede gobernar la salida de dicho
De esta manera, un inversor CMOS puede inversor desde el terminal de entrada.
ser implementado de una manera muy sim- Aplicando siempre una tensin positiva
ple, empleando dos transistores MOS.

15
entre Vin y Vss, del mismo valor que la
de alimentacin, se logra que la salida
Vout vaya a un valor de tensin cercano a
Vss (que equivale al estado lgico "0").
En estas condiciones, se est aplicando al
transistor MOS de canal P una tensin en
el gate tal que lo tiende a cortar; por el
contrario, dicha tensin Vin, hace con-
ducir al transistor de canal N, saturndo-
lo y, de esta manera, consiguiendo una
tensin cercana a 0 V o Vss.
Si se aplica, en cambio, una tensin nula
a Vin (entrada cortocircuitada a Vss), la
salida toma un valor cercano a Vdd (que
equivale a un "1" lgico).
Aqu, entonces, sucede lo contrario del
caso anterior. La compuerta del transistor
de canal N es Vss e impide que se active.
El potencial aplicado a la compuerta del Compuerta nor en CMOS
transistor MOS de canal P, en cambio, es
ms que suficiente para que conduzca y Cada una de las entradas est unida a un par
logre que la tensin Vout sea cercana a de transistores N-P.
Vdd.
Del circuito se deduce que, para que la salida
Para implementar otras compuertas diferen- pase a Vdd ("1" lgico), se tiene que dar la
tes al inversor, se aplica un esquema similar, condicin de que ambos transistores de canal
usando transistores de canal P entre Vdd y la P estn activos, lo que, en principio, se logra
salida, y transistores de canal N entre la sali- poniendo ambas entradas a Vss.
da y Vss.
Por otro lado, los transistores de canal N
Por ejemplo, veamos cmo se implementa deben estar cortados, para que los transis-
una compuerta nor de 2 entradas. tores de canal P puedan gobernar la salida.
Como estn en paralelo, la nica forma de
Este circuito consta de 2 transistores de canal lograr esto es que ambas compuertas de tipo
P y 2 de canal N. N estn a Vss. Cualquier otra combinacin
de entradas (01, 10 11) hace que, al menos
Los primeros estn conectados en cascada una de las entradas, est a Vdd, lo que impli-
entre Vdd y la salida. Los de canal N estn en ca que al menos uno de los transistores de
paralelo, conectados entre la salida y Vss. canal P est cortado y uno de los transistores

16
de canal N est activo, con lo que la salida logra con ambas entradas a Vdd ("1" lgico).
pasa a ser Vss ("0" lgico).
Cualquier otra combinacin de entradas (00,
En definitiva, la tabla de verdad de este cir- 01 10) hace que al menos un transistor de
cuito es tal que slo con las entradas a Vss se canal P se active y que uno de canal N est
puede lograr que la salida vaya a Vdd; y esto cortado, por lo que la salida es siempre Vdd.
slo lo puede efectuar una compuerta nor. La conclusin es que: La tabla de verdad
obtenida es la de una compuerta nand.
En forma similar, una compuerta nand se
puede fabricar segn el siguiente circuito: Cmo se obtienen las compuertas or y nor?
Sobre la base de las anteriores, conectando
un inversor a la salida de ellas.

Una or se fabrica con una nor seguida de


un inversor.
Una and, de igual manera, con una nand
seguida de un inversor.

Basndonos en estas compuertas y en otros


circuitos especiales (por ejemplo, las
denominadas pass-gate -compuertas de trans-
misin-) es posible construir cualquier tipo
de circuito lgico, desde un circuito combi-
nacional tan simple como un multiplexor
hasta dispositivos ms complejos que nucle-
an lgica combinatoria y secuencial, tales
como un microprocesador.

Compuerta nand en CMOS Recuerde que un microprocesador es un con-


junto de circuitos basados, principalmente,
en registros formados por flip-flops, y una
lgica combinatoria que realiza operaciones
El anlisis es similar al anterior. Se puede aritmticas y lgicas denominada ALU -
observar que los transistores de canal P estn unidad aritmtico-lgica-. Cada uno de estos
en paralelo, mientras que los de canal N circuitos est implementado por combina-
estn en serie o cascada. ciones de las compuertas bsicas como las
que describimos; cada una de estas com-
Aqu, la nica manera de que la salida pueda puertas est hecha, a su vez, por circuitos
estar en Vss ("0" lgico) es cuando ambos formados por transistores de efecto de campo
transistores de canal N estn activos; y esto se de canal N y P.

17
centmetros de dimetro y unos pocos
Como conclusin: milmetros de espesor.

Todo diseo lgico, no importa su com- Dado que los procesos de fabricacin son
plejidad, se basa en la interconexin muy costos, una forma de poder abaratarlos
apropiada de transistores de efecto de es la de fabricar varios chips en una misma
campo de canal N y P que son los que oblea. Por diversos mtodos de fabricacin,
constituyen cada una de las compuer- el circuito electrnico as grabado en la oblea,
tas necesarias para implementar la o se repite varias veces.
las funciones lgicas requeridas.
El paso siguiente consiste en cortar la oblea a
fin de separar los chips y de depositar cada
uno de ellos en un encapsulado que, segn el
caso, puede ser metlico, plstico o cermi-
Procesos de fabricacin de
co. Este encapsulado ya dispone de pines
circuitos integrados para, luego, poder conectar el circuito inte-
grado a otros componentes.

Le recomendamos leer "Historia de los cir- El ltimo paso consiste en soldar los contac-
cuitos integrados" en http://nobelprize.
tos del chip a los respectivos pines, a fin de
org/physics/educational/integrated_
completar el circuito elctrico.
circuit/history/

Este procedimiento de fabricacin de un cir-


Los circuitos integrados, tanto analgicos cuito integrado tiene variaciones. Hoy en da,
como digitales, son fabricados con tcnicas con la necesidad de emplear circuitos cada
muy complejas y variadas que requieren vez ms veloces, los materiales y las tcnicas
instalaciones muy costosas, mantenidas en van cambiando; por ejemplo, en algunas oca-
ambientes denominados "de sala limpia", con siones se reemplaza el silicio por otro com-
extrema pureza en el aire (sin ninguna ponente y, en otras, el chip se deposita sobre
partcula de polvo u otro componente que un material que hace las veces de circuito
pueda depositarse en las obleas de silicio impreso, soldndose a ste con delgados
antes o despus de su procesamiento). alambres (circuitos denominados hbridos),
Empresas tales como Intel, AMD, Texas por lo que no existe el encapsulado (los chips
Instrument, Motorola y National de este tipo se denominan die).
Semiconductors, por nombrar slo algunas,
disponen de tal infraestructura. Si bien en la actualidad hay varias tcnicas de
fabricacin de circuitos integrados, una de
El material de referencia para comenzar a las utilizadas es la denominada stepping -por
fabricar los circuitos integrados es la oblea - pasos- que permite, mediante el empleo de
wafer-, un trozo de silicio de alta pureza, ge- mscaras, ir construyendo las diferentes
neralmente con forma circular, de ms de 10 partes de los semiconductores (principal-

18
mente, transistores MOS), resistencias y 3. Con condiciones estrictas de calidad, se
capacitores, sobre una superficie (de silicio, fabrica el cristal de silicio de muy alta
por lo general). pureza.
4. La barra de silicio que se obtiene es cor-
tada en rodajas con una sierra de dia-
mante. Se logran, as, varios wafer de sili-
cio que son la base para comenzar a fa-
bricar los circuitos integrados.
5. Cada uno de los wafer de silicio es recu-
bierto con una capa de xido de silicio.
6. Sobre la capa de xido se coloca otra de
un material que es sensible a la luz ultra-
violeta (UV).
7. La mscara que se ha fabricado se coloca
entre el wafer y una lmpara de luz ultra-
violeta. Las partes traslcidas de la ms-
cara dejan pasar la luz UV y las opacas,
no. En las partes traslcidas donde la luz
UV ilumina, el material fotosensible se
degrada y, luego, puede ser removido.
8. El proceso es repetido a lo largo de la
superficie del wafer, a fin de que se pueda
Luz UV aplicada a oblea aprovechar el material para obtener dece-
a travs de mscara nas de chips del mismo tipo. Se remueve
el material fotosensible.
9. El wafer es tratado qumicamente con
Los momentos de este proceso stepping, son:
ciertos productos. Este proceso se
denomina etching y permite eliminar el
1. Con un software especial se hace el di-
material aislante (la capa de xido de sili-
seo del circuito elctrico que va a
cio) que ha quedado expuesto en las
grabarse en la oblea de silicio (Si).
zonas donde el material fotosensible se
2. Se fabrican las mscaras y patrones nece- ha eliminado.
sarios para comenzar la fabricacin del
10. El wafer es sometido a un tratamiento
chip; estas mscaras son placas que con-
que permite cambiar las propiedades
tienen el diseo del circuito integrado,
elctricas de las zonas que han quedado
con partes opacas y partes traslcidas.
expuestas, al ser eliminada la capa de
Luego, sobre la oblea de silicio, son ilu-
xido de Si. Este proceso se denomina
minadas con luz.
doping -dopaje-.

19
Los pasos 5 al 10 pueden repetirse varias mente se ha puesto una capa aislante.
veces para construir el circuito integrado
18. Aquellos chips que no son programables
capa por capa.
requieren una prueba antes de ser conec-
11. Finalmente, cuando el chip est termina- tados al encapsulado. Esta prueba pre-
do, se agrega una capa metlica para tende comprobar si cada uno de los chips
interconectar los componentes unos con fabricados en la oblea de Si funciona ade-
otros. Este proceso se denomina cuadamente, antes de pasar a la prxima
metalization -metalizacin- y es realizado etapa de construccin.
de una manera similar a la anterior.
19. Los chips de la oblea son separados con
12. Sobre la capa metlica se agrega una capa una sierra de diamante.
de material fotosensible -photoresist-.
20. Finalmente, cada chip es empaquetado
13. Repitiendo el proceso, se coloca la ms- (encapsulado) en una carcasa que le brin-
cara que contiene los caminos metlicos da proteccin e interconexin con el
que el chip va a recorrer y se aplica luz mundo exterior. Previamente, se suelda
ultravioleta. El material photoresist queda cada contacto del chip con el pin exterior
degradado en las zonas donde ha pasado correspondiente.
la luz ultravioleta a travs de la mscara.
14. Con productos qumicos se remueve el A modo de ejemplo, veamos cmo se puede
material fotosensible que fue alcanzado fabricar un inversor CMOS:
por la luz UV.
La figura de la prxima pgina es una vista
15. Se aplica otro proceso de etching a fin de
superior de cmo se vera el inversor imple-
eliminar el metal de las zonas donde ha
mentado; es el esquema final que se disea
sido removido el material fotosensible.
en una computadora y da origen a las dife-
16. En este momento del proceso, el chip ya rentes mscaras que se aplicarn oportuna-
est internamente completo. mente durante el proceso de fabricacin del
17. La mayora de integrado.
los chips ac- La fabricacin de
tuales permite All:
chips no-programa-
la aplicacin bles y programables,
de varias capas es en general simi-
Q1 es el transistor de canal N.
metlicas para lar, salvo en las eta- Q2 el del canal P.
realizar las in- pas de implemen-
tacin de las dife- G, D y S corresponden a gate, drain y
terconexiones
rentes capas met- source, respectivamente.
necesarias; es
decir, el agre- licas que se emple- A indica dnde se hara la vista del corte
an para formar la de la oblea.
gado de una
matriz de interco-
segunda capa Sustrato-P es el material base del wafer de
nexin programable.
donde previa- silicio.

20
Grabado de inversor CMOS

21
Seccin de un inversor CMOS

Para fabricar el transistor de canal P, es nece- Para formar los gate es necesario cubrir las
sario que el material que se emplee sea un zonas apropiadas con una capa de xido de
sustrato tipo P que, en principio, una los ter- Si, lo que se efecta en una etapa previa a las
minales de drain y source. Para fabricar el anteriores.
transistor de canal P se debe emplear un sus-
trato-N. Por ltimo, metalizan los contactos, a fin de
poder interconectar el inversor con los pines
Para ello se debe dopar la zona de inters del encapsulado.
para formar el denominado Pozo-N (esto se
puede hacer con una mscara tal que slo el Un tema muy im-
rea que se quiere cambiar de Sustrato-P a portante es el rela-
Este rasgo es el que
Pozo-N, pueda ser afectada al contaminarla cionado con las permite que los mi-
con qumicos que cambien las propiedades dimensiones de croprocesadores
elctricas del material). los transistores . modernos puedan
En particular con alcanzar velocida-
Una vez hecho esto, se crean los drain y la dimensin mar- des de procesa-
source de ambos transistores, para lo cual cada como L, que miento del orden de
tambin hay que dopar con impurezas para denota la longitud los GigaHertz (1 GHz
formar las zonas P+ y N+, lo que tambin se del canal de am- equivale a 1.000 MHz
hace con una mscara adicional. bos transistores N o 1.000.000.000 Hz).

22
y P. Este parmetro es fundamental ya que, actuales estn fabricados sobre la base de
cuando menor sea, ms rpido es el transis- transistores cuya longitud de canal L es de
tor fabricado -es decir, mayor es su frecuen- 130 nanometros (1 nanometro -nm- equivale
cia de trabajo-. a 0,000000001 metros o 0,13 micrmetros -
m- ). Intel est trabajando en el desarrollo
Los avances de la tecnologa CMOS en la fa- de nuevos chips con longitudes de canal de
bricacin de circuitos integrados permiten los transistores de 90 nm (0,09 m).
lograr un tamao cada vez menor en la cons-
truccin de los transistores. En 1965, un ingeniero en electrnica,
Gordon Moore, observa que la tecnologa
Esto implica el logro de dos objetivos impor- avanza de tal forma que los procesos de fabri-
tantes: cacin de circuitos integrados llevan a que el
nmero de transistores por rea de silicio se
aumentar la velocidad de conmutacin y duplique cada ao, dato que se confirma con
permitir la ubicacin de mayor nmero el correr del tiempo.
de transistores en un rea de silicio, lo
que redunda en mayor lgica a imple- La siguiente tabla ilustra lo dicho para el caso
mentar. de los modelos de microprocesadores que la
empresa Intel ha ido desarrollando, desde
Para tener una idea del tamao logrado, diga- el microprocesador 386 usado en las compu-
mos que los microprocesadores Pentium tadoras XT hasta los modernos Pentium 4.

Ao de Nombre del Cantidad de


fabricacin microprocesador transistores

1985 386 275.000

1989 486DX 1.180.000

1993 Pentium 3.100.000

1997 Pentium II 7.500.000

1999 Pentium III 24.000.000

2000 Pentium 4 42.000.000

23
Diseo digital. Alternativas de implementacin
Un poco de historia do por la empresa Monolitic Memories. Di-
cho circuito, denominado PAL -Programma-
En los comienzos de la era de los circuitos ble Array Logic; arreglo lgico programable-,
integrados, los chips tenan una estructura es el precursor de los dispositivos programa-
rgida, de tal manera que, una vez que esta- bles que se emplean en la actualidad y per-
ban listos para ser usados, no exista la posi- mite que el usuario pueda programarlo, con-
bilidad de modificar su funcionamiento. Un tando con un aparato especial que debe
chip -ya fuera una compuerta nand o un adquirir.
microprocesador- cumplan con lo estableci-
do en sus hojas de datos... y nada ms! La idea de ese entonces es la de fabricar, den-
tro del chip, una serie de compuertas lgicas
As, si un usuario deseaba algn circuito interconectadas de una forma especial a
especial, no poda hacer cambios por su travs de fusibles. Tales fusibles se queman
cuenta; deba pedrselos a las grandes empre- con ese aparato especial logrando, as, imple-
sas y stas -por los costos que involucra di- mentar la funcin lgica deseada. Pero, una
sear un chip totalmente nuevo- cobraban vez que un fusible es quemado, no hay posi-
una fortuna para realizar ese diseo. Incluso, bilidad alguna de volver a la condicin ini-
para que resultara ms econmico contar con cial, por lo que el chip se puede programar
un chip "a medida", el usuario tena que una sola vez.
comprar quizs cientos de miles de ellos,
solucin que es an vlida para aquellas A partir de este producto tecnolgico
empresas que necesitan de un chip especial y comienza una carrera vertiginosa de diferen-
en grandes cantidades (por ejemplo, empre- tes fabricantes de circuitos integrados, a fin
sas que arman telfonos celulares y que de poder afianzarse en el mercado electrni-
requieren ciertos tipos de chips que, al ser co con dispositivos cada vez ms poderosos.
comprados en cantidad, pueden amortizar el
costo inicial). Los SPLD -Simple Programmable Logical
Device; dispositivos lgicos programables
Pero, en los '70, con la creciente mejora en la simples- son los que comienzan a dominar el
tecnologa de fabricacin de los circuitos mercado en pequeos desarrollos de elec-
electrnicos -bsicamente, con los cambios trnica digital reemplazando, de a poco, a los
relacionados con la densidad de integracin: circuitos integrados tradicionales TTL y
cada vez ms transistores en una misma rea CMOS.
del chip-, comienza a pensarse de una ma-
nera diferente. Nuevos cambios tecnolgicos, tanto en la
fabricacin de circuitos integrados como en
En 1978 entra al mercado electrnico un tipo la concepcin de ideas para implementar cir-
diferente de circuito integrado digital fabrica- cuitos programables, dan paso a los CPLD -

24
Complex Programmable Logic Device; disposi- de la manera tradicional8.
tivos lgicos programables complejos-.
Poner a disposicin del usuario un
sofisticado software para realizar el dise-
En la dcada de 1980 se introducen al mer-
o, la simulacin y la programacin de
cado los FPGA -Field Programmable Gate
los diferentes tipos de dispositivos pro-
Array; arreglo de compuertas programables
gramables. Dado que las estructuras
por el usuario- que, a diferencia de los CPLD,
internas de estos chips son tan comple-
tienen la capacidad de poder implementar
jas, no es posible realizar ningn diseo
memoria tanto RAM como ROM.
sino a travs de estos programas compi-
ladores que los fabricantes suministran.
Varias ventajas interesantes son introducidas
Existen versiones bsicas que cubren
con los desarrollos de los CPLD y FPGA:
gran parte de las expectativas de los
usuarios a las que es posible acceder en
Posibilidad de reprogramar los circuitos
forma gratuita; otras versiones ms
tantas veces como se deseara permitien-
sofisticadas para el diseo de circuitos
do, de esta manera, usar un mismo chip
deben ser adquiridas.
como prototipo para realizar pruebas y,
luego, emplearlo como el chip definitivo
Hoy en da las CPLD y FPGA9 permiten dise-
de diseo7.
ar no slo circuitos digitales -como contro-
Posibilidad de incorporar lgica adi- ladores de bus PCI en computadoras perso-
cional dentro del chip, lo que permite al nales- sino dispositivos tan complejos como
fabricante realizar un test de estado gene- microprocesadores.
ral. ste constituye un factor importante
en lo econmico, ya que gracias a esta
cualidad, los circuitos integrados pro-
gramables pueden adquirirse a un costo Tecnologa para el diseo lgico
mucho menor que si el fabricante tuviera
que hacer las pruebas de sus integrados Podemos realizar la siguiente clasificacin:

7 En determinadas aplicaciones, como las aeroespaciales y las satelitarias, existen chips que son programados slo una vez, ya
que la tecnologa empleada en esos casos requiere que los circuitos integrados sean muy resistentes a la radiacin csmica. As,
las tcnicas usuales de reprogramacin no resultan confiables para estos casos particulares.
8 El test tradicional comprende el empleo de puntas de prueba que se conectan sobre los diferentes pines del circuito integrado
antes de encapsularlo; luego, se inyectan y miden seales en determinados lugares, para comprobar su funcionamiento. Pero,
a medida que los circuitos electrnicos implementados en los chips se hacen ms grandes y con mayor nmero de pines, el
proceso de test en fbrica se complica y, finalmente, se traduce en un aumento del costo de los circuitos. Actualmente, sacri-
ficando algo del rea del chip, en la mayora de los circuitos integrados programables, el fabricante puede hacer su test emple-
ando algunos pocos pines para enviar y recibir datos, e inyectar seales de reloj. Este proceso se realiza en forma serie, entran-
do informacin por un pin y recibiendo datos desde otro pin, y permite que los mismos pines de la prueba puedan ser uti-
lizados por el usuario final.
9 En el mercado tambin existen otros dispositivos, los ASIC -Application Specific Integrated Circuit; circuitos integrados para
aplicaciones especficas-, un paso intermedio entre los circuitos integrados que no pueden programarse y los mencionados
SPLD, CPLD y FPGA. Se trata de circuitos en los que el usuario puede disear lgica sobre una estructura preestablecida y
enviar, luego, el modelo terminado para que el fabricante construya el chip.

25
Circuitos de lgica rgida

TECNOLOGA Circuitos digitales de lgica estndar


APLICABLE PARA
Circuitos digitales de funciones dedicadas
EL DISEO DE CIRCUITOS
LGICOS Circuitos digitales complejos

Circuitos de lgica programable

Circuitos lgicos programables por hardware

Circuitos lgicos programables por software

Son circuitos de lgica rgida todos aquellos conversores analgico-digitales, memo-


circuitos lgicos digitales fabricados sin que la rias de estado slido, etc.
funcin o funciones establecidas en el mo-
Circuitos digitales complejos. Son aque-
mento de su creacin puedan ser modificadas.
llos en los que se sintetizan varios blo-
ques lgicos funcionales de relativa gran
Circuitos de lgica estndar. Son los en-
complejidad, como es el caso de los
marcados dentro de las series de circuitos
microprocesadores.
de lgica TTL, ECL y CMOS que per-
miten realizar funciones lgicas bsicas
Los circuitos de lgica programable son
tales como and, or, nand, nor, etc. y otras
aquellos circuitos que el usuario -a travs de
ms complejas como multiplexores, con-
un software y, en algunos casos, de un pro-
tadores, etc. Generalmente, cada funcin
gramador suministrados por el fabricante-
lgica se encuentra implementada en un
puede configurar para implementar el diseo
chip, por lo que deben emplearse varios
lgico requerido.
de ellos para conseguir armar el diseo
requerido. Estos circuitos tienen una baja
Dentro de su programabilidad, es posible
capacidad de generacin de lgica.
hacer una distincin de acuerdo con la natu-
Circuitos de funciones dedicadas. Son raleza de la programacin efectuada:
circuitos de mediana complejidad y den-
sidad de integracin que realizan ciertas Circuitos lgicos programables por hard-
funciones especficas que permiten ware. La estructura de estos circuitos est
implementar en forma parcial un diseo formada por un conjunto de bloques
completo; por ejemplo, generadores de lgicos que pueden interconectarse entre
reloj a cristal, frecuencmetros, relojes, s a fin de construir el circuito lgico

26
deseado. Por lo general, estas interco- Circuitos lgicos programables por soft-
nexiones se logran empleando transis- ware. La programacin se realiza sobre
tores como llaves que pueden, por ejem- una memoria de estado slido. As, este
plo, interconectar dos lneas o no, depen- tipo de circuito -con el control del pro-
diendo de si el transistor conduce o est grama almacenado- puede realizar opera-
cortado. Estos dispositivos conforman ciones lgicas, aritmticas y transferen-
los SPLD, CPLD y FPGA. cias de datos, segn se haya establecido.

Circuitos lgicos programables por hardware

Diseo ms veloz. Parte o todo el proyec-


to est dentro del chip, con lo que se evi-
El concepto de lgica programada surge
tan los retardos que se generan en las pis-
de la necesidad de desarrollar diseos
tas de circuitos impresos.
digitales cada vez ms complejos y ms
veloces que empleen la menor cantidad Diseo ms pequeo y econmico. Son
de circuitos integrados. Porque, el pocos los componentes empleados, es
empleo de lgica estndar -por ejemplo, menor el rea de circuito impreso, y se
de tecnologa CMOS- implica que, para reduce el costo hora-hombre para diseo
poder disear un circuito digital de rela- y armado.
tiva complejidad se requiera un nmero
Diseo ms confiable. El chip es probado
apreciable de chips de diferentes fun-
en fbrica y su diseo es simulado por el
ciones.
usuario empleando software. En el dise-
o del impreso hay mucha menos canti-
dad de soldaduras a realizar y de compo-
Hoy en da, los diseadores se enfrentan a nentes que pueden fallar.
especificaciones que van variando de proyec-
Menor costo de stock. Slo se necesita
to a proyecto e, inclusive, a algunas que no se
disponer de algunos chips diferentes
definen en el momento del desarrollo. Por
segn el grado de complejidad del dise-
otra parte, los tiempos de desarrollo son cada
o.
vez ms cortos y requieren una infraestruc-
tura que permita realizar el proceso lo ms Flexibilidad para nuevos diseos. Estos
rpido posible y, adems, efectuar pruebas diseos pueden guardarse en una com-
confiables a fin de detectar y resolver errores. putadora y ser reutilizados en otros
proyectos. Empleando versiones univer-
Las ventajas de la lgica programada por sales de software es posible hacer un di-
hardware frente a la lgica estndar y a los seo que, luego, se implemente en
circuitos de lgica dedicada son: cualquier dispositivo programable de

27
diferente fabricante; esto habilita a dise-
ar aplicaciones especficas y a ofrecerlas En forma generalizada, se puede decir que exis-
a usuarios. ten tres componentes bsicos para el desarrollo
de un diseo lgico:
Migracin a nuevas tecnologas . El
conocimiento adquirido para disear con Microprocesador. Puede ser un micro-
dispositivos lgicos programables per- procesador propiamente dicho o variacio-
nes de l -por ejemplo, un microcontrolador
mite que el usuario pueda adaptarse en o DSP -procesador digital de seales-.
forma relativamente simple a los cons-
tantes cambios tecnolgicos que se van Circuito lgico programable. CPLD, FPGA10
o ASIC.
produciendo. El software que brinda el
fabricante es verstil, lo que permite que Memoria de estado slido. sta, en algunos
el diseador pueda, por ejemplo, pasar casos, puede estar implementada en un
microprocesador o en un dispositivo lgico
de una familia de circuitos integrados a programable.
otra sin necesidad de rehacer sus diseos.
Dependiendo del proyecto, alguno de los dos
Proteccin intelectual. Por lo general, los primeros debe estar presente en el diseo.
circuitos de lgica programada vienen
con la opcin de proteccin contra lec-
tura -si el diseador no lo permite, no es Qu es un PLD?
posible copiar dicho chip-.
Clasificacin
Las desventajas de emplear la tecnologa de Un PLD -Programmable Logic Device; disposi-
la lgica programada: tivo lgico programable por hardware- es un
circuito integrado que puede implementar
Al disponer de lgica adicional para variados tipos de funciones lgicas mediante
realizar la programacin de tales disposi- una programacin que, generalmente, se
tivos -lo que implica interconectar blo- realiza desde una computadora personal.
ques lgicos entre s para que cumplan
con la funcin lgica deseada-, se est Internamente, cada chip dispone de una
agregando ms tiempo de retardo, lo que serie de bloques lgicos que pueden inter-
limita la mxima frecuencia de conectarse entre s gracias a una serie de
operacin. En este sentido, los disposi- llaves y de alambres que sirven para llevar
tivos ASIC tienen ventaja sobre los CPLD seales desde diversos puntos del chip.
y FPGA, ya que no hay elementos de
10 Con los avances de la tecnologa de dispositivos programa-
interconexin programables; pero, resul- bles por hardware, ya se estn ofreciendo dispositivos
tan caros para la mayora de los usuarios. FPGA con capacidad de emular microprocesadores; es
decir, que se pueden programar internamente para que
Si se pretende lograr un diseo optimiza- copien todos los circuitos que forman parte de dichos
microprocesadores. De esta manera y considerando que las
do, se requiere de cierto entrenamiento FPGA tambin pueden emular memoria, en un futuro cer-
para comprender cmo usar el software cano se contar con chips de suficiente alta densidad de
asociado. integracin como para poder realizar casi cualquier proyec-
to en un solo chip programable.

28
Es as como, conectando convenientemente a la salida de dicha funcin o para ser usado
dichas llaves, se pueden implementar combi- en forma independiente.
naciones de diversos tipos de circuitos lgicos.

Cada uno de sus bloques bsicos est forma- Clasificacin


do por un conjunto de compuertas y un flip-
flop. Desde el primer circuito lgico programable
en la dcada de 1980, han ido apareciendo
El conjunto de compuertas tiene la capacidad dispositivos cada vez ms complejos y de
de realizar una o ms funciones lgicas, mayor nmero de bloques lgicos.
segn el tipo de dispositivo.
Desde el punto de vista de su estructura
El flip-flop se puede emplear (tambin segn interna, es posible realizar una clasificacin
el dispositivo de que se trate) para conectarse cronolgica de dispositivos PLD:

Primera generacin. SPLD -dispositivo lgico


programable simple-
PAL
GAL
PLA
PLD
Segunda generacin. CPLD -dispositivo lgico
-DISPOSITIVO LGICO
programable complejo-
PROGRAMABLE
CPLD
EPLD
EEPLD
XPLD
Tercera generacin. FPGA -arreglo de compuerta
programable por el usuario-
FPGA
LCA
pASIC
sPGA
xPGA

29
Otra posible cla-
sificacin se refie- Unipolar -CMOS-
re a la tecnologa
empleada para EPROM
programar dichas
EEPROM
PLD: Qu tipo de CELDA DE
elemento de me- MEMORIA FLASH
moria se emplea PROGRAMABLE SRAM
para poder reali-
zar las intercone- Antifusible
xiones internas
dentro de cada
Bipolar
dispositivo, a fin
de armar la lgica Fusible
requerida:
Generalmente, se emplean transistores como El concepto de fusible es simple:
llaves para realizar las interconexiones inter-
nas; pero, en otros casos, se emplean fusibles Si se lo deja en el circuito, deja intacta la
o antifusibles, dependiendo de si la inter- unin entre lneas elctricas.
conexin inicialmente est cerrada o abierta,
Si se lo quema, dichas lneas quedan ais-
respectivamente.
ladas permanentemente.
A diferencia de los fusibles o antifusibles, si
se usa un transistor MOS como llave, es
necesario programarlo desde su compuerta a
fin de definir su estado de conduccin.

Para ello se emplean elementos de memoria


de una sola salida que definen este nivel lgi-
co. Estos elementos pueden ser celdas RAM o
transistores EPROM, EEPROM o FLASH,
como veremos ms adelante.
Esquema de unin implementada con fusible

El concepto de antifusible es el inverso:

Sin programarlo, deja la unin abierta.


Si se lo programa, se crea un cortocir-
Elemento de memoria cuito interno y se unen elctricamente
que programa el estado de un transistor sus extremos.

30
El antifusible se basa en el uso de dos placas transistores que funcionan como llaves. Un
de material conductor y, entre medio de elemento de memoria basado en tecnologa
ambas -a modo de sndwich-, un elemento EPROM y sus derivados (EEPROM y FLASH)
semiconductor funciona como aislante. Al permite programar a la salida de ste, un
aplicarle tensin al semiconductor para pro- estado lgico "0" o "1" y mantenerlo
gramarlo, el aislante se elimina y quedan las indefinidamente, an luego de haber quitado
placas conductoras en contacto, logrando la tensin de alimentacin al dispositivo
que se unan elctricamente. Exactamente lo PLD. Esto sucede tambin con las tecnologas
contrario a un fusible; de all su nombre. denominadas "fusible" y "antifusible". Estos
tipos de elementos de memo-
ria son no-voltiles: La infor-
macin para la programacin
interna del PLD no se pierde al
desconectar su fuente de ali-
mentacin. La nica tec-
nologa que es de tipo voltil -
pierde su informacin al qui-
tar la tensin de alimentacin-
es la SRAM -Static Random
Esquema de un antifusible Acces Memory; memoria estti-
ca de acceso aleatorio-.
En la actualidad, los PLD estn centrados en
el uso de la tecnologa CMOS en reemplazo Considerando si es posible reprogramar
de la bipolar (esta ltima se sigue fabricando varias veces los dispositivos, podemos
slo para mantener en stock aquellos dispo- reclasificar las tecnologas en las que se basan
sitivos antiguos que requieren de repuestos). los elementos de memoria en:

La idea es que, en cada transistor que se use Elementos de memoria de tecnologa pro-
como llave, exista un elemento de memoria gramable OTP -One Time Programmable-
que lo configure para que est siempre cerra- programables una sola vez: PROM,
do o siempre abierto. fusibles, antifusibles.
Elementos de memoria de tecnologa
Dentro de la tecnologa CMOS, tenemos cin-
reprogramable: EPROM, EEPROM,
co tipos diferentes
FLASH y SRAM.
de elementos de
memoria -ROM, Cuando hablamos de Los primeros dispositivos SPLD, tienen
PROM, EPROM, programacin nos
incorporada la tecnologa PROM para poder
referimos a definir el
E E P R O M , ser programados, lo que permite configurar-
estado de cada una
FLASH- para po- de esas llaves: abier- los slo una vez. Si algo falla o se requiere
der programar a tas o cerradas. modificar su configuracin, se debe emplear
cada uno de los otro chip. Con EPROM se gana en cuanto a
31
poder lograr la reprogra-
macin; para ello se incorpora
al chip una ventana de cuarzo,
por la que se puede borrar el
contenido de la memoria
interna exponindola a la luz
ultravioleta; pero, los tiempos
prolongados de espera hasta
que este proceso se complete -
alrededor de 30 minutos- Esquema transistor de tecnologa EPROM
constituyen una desventaja.

Una mejora lograda sobre la base de esta tec- A modo de ejemplo, en la figura siguiente,
nologa, son los elementos de memoria EEP- presentamos el caso de una unin programa-
ROM y FLASH. La mayora de los SPLD y ble entre dos lneas que transportan seales.
CPLD actuales tienen elementos de memoria Si el transistor EPROM est programado,
incorporados para poder configurar interna- acta como un circuito cerrado y activa al
mente a dichos dispositivos. transistor de conmutacin uniendo las lneas
de interconexin; en cambio, si no ha sido
La tecnologa EPROM y sus derivados (EEP- programado, el transistor de conmutacin
ROM y FLASH) se basa en el empleo de un queda permanentemente cortado y las lneas
transistor MOS que posee una doble com- no se unen.
puerta, indicadas como G1 y G2: La externa
es G2 mientras que G1 es la interna -com-
puerta flotante-. Esta constitucin permite
que el transistor pueda retener la informa-
cin una vez que se lo ha programado.

Si se quiere que el transistor se comporte


como un circuito abierto, no se le aplica
carga alguna a la compuerta G2.
Programacin de transistor con
Si se desea que quede programado per-
tecnologa EPROM
manentemente en conduccin cada vez
que se lo alimenta, se aplica una tensin
de programacin a G2. La desventaja de un transistor de este tipo es
que no es posible borrar la programacin, es
Al realizar esto, las cargas aplicadas quedan decir, quitar las cargas acumuladas en la
almacenadas en la compuerta flotante sin po- compuerta flotante, salvo que se aplique luz
der escapar, an si se quita la tensin de ali- ultravioleta sobre dicha zona. Para ello, el
mentacin. dispositivo debe tener una ventana de cuarzo
32
en la parte superior del chip, a fin de borrar Ejemplo 1. Si se une la interseccin de la
todos los elementos de memoria y reutilizar lnea I0 con cualquiera de las entradas de la
el integrado para otro proyecto. compuerta and superior y lo mismo con I1
con cualquiera de las entradas de la com-
Los transistores hechos con estas tcnicas son puerta and inferior, dejando las dems inter-
similares a los implementados con EPROM. secciones libres, se est generando la funcin
La diferencia radica en la construccin de las F = I0 + I1.
dos compuertas, que permiten el borrado
elctrico. As es posible obtener varias funciones de 2
variables.

Dispositivos lgicos programables Pero, con este circuito no se pueden imple-


simples -SPLD- mentar todas las funciones posibles con 2
variables ya que se necesitan 16 trminos
El primer dispositivo de este tipo que se producto y aqu slo disponemos de 2 trmi-
inventa es PAL -Programmable Logic Array; nos producto (2 and).
arreglo lgico programable-.
Ejemplo 2. Si a la compuerta and superior se
En un ejemplo sencillo tenemos un circuito conectan I0 y la negacin de I1, y a la com-
de dos entradas y una salida. Est formado puerta and inferior se conectan I1 y la
por una compuerta or de 2 entradas y 2 com- negacin de I0, se ha implementado una fun-
puertas and de 4 entradas cada una. A cada cin or-exclusiva.
and se conectan las 2
entradas externas y sus
correspondientes nega-
ciones, dando un total
de 4 entradas. Las
lneas horizontales y
verticales forman una
matriz de intercone-
xin entre las entradas,
sus negaciones y las
entradas de las com-
puertas and; sta es de
8 x 4 (8 lneas horizon-
tales y 4 verticales). Si
se unen intersecciones Esquema de una
en forma coherente, es PAL elemental:
posible generar fun- Dos entradas y una
ciones lgicas. salida

33
La funcin responde a la ecuacin11 F = /I0.I1 + I0./I1

En la siguiente figura vemos un circuito un poco ms complejo:

PAL de 6 entradas y 4 salidas

Se trata de una PAL elemental que tiene 6 conectar a las 6 entradas y a sus negaciones.
entradas y 4 salidas, y que est formada por Tenemos, por lo tanto, 4 secciones and-or
una matriz de interconexin de 16 x 12 idnticas.
lneas, 16 compuertas and y 4 compuertas or.
De esta manera, respecto del ejemplo ante-
Cada salida tiene asociada una compuerta or rior, es posible ahora resolver simultnea-
cuyas entradas se conectan a 4 salidas de and mente 4 funciones lgicas de 6 variables cada
diferentes. Cada compuerta and se puede una, conectando convenientemente los
11 La barra indica negacin. cruces que correspondan.

34
En analoga con el a algunas de las entradas de la seccin inicial12.
ejemplo anterior, Trmino producto: Fun-
como en cada or cin lgica que se ob- El esquema anterior es la base para fabricar la
no se tienen todos tiene de hacer la fun- PAL.
los trminos pro- cin and de cualquier
combinacin entre las
ductos posibles variables de entradas y Como se puede comprobar en el circuito,
con 6 variables (64 sus negaciones. hay dos matrices, una la de las and y otra de
en total), el n- Estudios realizados han las salidas de stas con las or:
mero de funciones demostrado que, en la
mayora de los casos, la matriz de las and es programable,
que se puede im- no es necesario contar
plementar en cada con todos los trminos la matriz de las or es fija (cada or ya tiene
una de las salidas productos para realizar asignada las salidas de 4 and y estas
es limitado. Para una funcin; slo son
necesarios unos pocos
conexiones no se pueden remover).
lograrlo se hubiera de ellos. En este caso,
requerido contar como cada or tiene a-
Las primeras versiones de PAL disponan de
con 64 com- sociadas 4 compuertas
and, los trminos pro- fusibles en cada una de las intersecciones de la
puertas and que ductos posibles son 4. matriz and. Mediante un programador, se
entraran a cada or. quemaban aquellos fusibles no deseados, y se
dejaban slo aquellos cuya variable o su
(Hemos planteado ex profeso esta cantidad de
negacin se necesitaba que apareciera en la
compuertas y de conexiones tan grande). entrada de las and.

Si una funcin dada no puede resolverse con


una sola seccin and-or, puede emplearse una o Como generalizacin, mostramos un diagra-
ms de las restantes e interconectar sus salidas ma en bloques de un dispositivo SPLD:

Esquema genrico de una SPLD

12 Por simplicidad en el dibujo, las 12 entradas a cada and y a las 4 entradas a cada or se muestran con una sola lnea de trazo.

35
Las caractersticas de este tipo de estructuras minada GAL por el fabricante-, implementa-
son: da en CMOS, que utiliza transistores de efec-
to de campo con tecnologa EEPROM, para
Una macrocelda por salida. programar la matriz de interconexin entre
44 lneas verticales y 132 horizontales.
Un mnimo de dos macroceldas por dis-
Observe este diagrama en bloques donde esta
positivo.
matriz se representa como un bloque rectan-
Tpicamente, todas las macroceldas gular.
iguales.
Los trminos producto o
mintrminos o minitr-
minos, generados por
una matriz and-or.
Un flip-flop dedicado
por cada macrocelda.

A travs de esta estructura es


posible programar:

La matriz de interco-
nexin.
Internamente, cada ma-
crocelda para generar
lgica combinatoria o
secuencial.
La funcin de los pines
conectados a cada una
de las salidas de las
macroceldas.

A continuacin analizamos
estos componentes en una
versin moderna de una PAL
la denominada GAL22V10
de la empresa Lattice13.

Se trata de una PAL -deno-


13 Lattice Semiconductor Corporation:
http://www.latticesemi.com/ GAL22V10 01

36
El dispositivo est formado por 10 bloques El bloque OLMC est formado por varias
lgicos OLMC -Output Logic Macrocell; compuertas and de mltiples entradas cada
macrocelda de lgica de salida-. Cada uno de una, que provienen de la matriz de inter-
ellos termina en un pin del chip que puede conexin. Todas ellas se conectan a una com-
ser usado como entrada o como salida; te- puerta or.
nemos, por lo tanto, 10 pines de
Entrada/Salida. La salida de dicha compuerta se conecta a un
flip-flop tipo D, por un lado, y a dos
Hay 11 pines que funcionan como entradas entradas de un multiplexor -mux- de 4:1 (4
de seales solamente -denominadas I- y otra entradas de datos: 1 salida), por el otro.
-ICLK- que sirve como entrada de reloj a
cada uno de los flip-flops que se encuentran Las salidas del flip-flop Q y /Q tambin se
implementados en cada mdulo OLMC o conectan a las entradas de dicho multiplexor.
como una entrada adicional a las 11 Su salida, va un buffer inversor tri-state,
restantes. enva la seal a un pin del integrado.

De cada uno de los pines de entrada, se enva Por ltimo, otro MUX 2:1 (2 entradas de
a la matriz tanto la entrada sin negar, como datos: 1 salida), se emplea para seleccionar
su negacin. una de dos fuentes posibles de seal para in-

Esquema de un bloque OLMC

37
yectar a la matriz de Recuerde que tri-state - Con el MUX 2:1 es posible seleccionar
interconexin. Las tercer estado- es una una seal que retorne a la matriz de
opciones son: desde condicin de algunos interconexin: Puede ser el pin externo
buffers que pueden po- de la OLMC o la salida negada del flip-
el pin mismo o des- ner su salida en estado
de la salida negada flop. Este rasgo es importante, ya que es
de alta impedancia.
el que hace posible implementar, por
del flip-flop.
ejemplo, un contador o un registro de
Se puede notar, adems, que hay otras tres desplazamiento que requiera que la sali-
seales denominadas AR, SP y CLK. Las dos da de un flip-flop se reutilice para tales
implementaciones.
primeras son las entradas de "reset asincrni-
co" y "preset asincrnico" del flip-flop. La
tercera, la entrada de reloj. Tanto en SPLD como en CPLD y FPGA, el mux
juega un papel muy importante a la hora de
Este esquema es el mismo para cada uno de servir como circuito de seleccin de seales. Un
mux 4:1 con 2 lneas de seleccin, puede elegir
los 10 OLMC que tiene la GAL22V10. cul de sus 4 entradas de datos va a estar pre-
sente a su salida. Es -ni ms ni menos- una llave
Cada uno de los OLMC puede manejar un selectora digital.
nmero diferente de trminos producto
(combinaciones entre las entradas y sus ne-
gaciones). A mayor nmero de trminos pro-
ducto, mayor es el nmero de funciones que
se pueden implementar.
De este anlisis, se puede deducir que:

Es posible elegir que la salida sea regis-


trada o no registrada, es decir, con el flip-
flop entre la funcin generada por las
compuertas and-or o, directamente, con
la salida de la or hacia el pin de salida.
Se puede elegir si la salida es con tri-state
o no. Esta funcin tri-state es til para el
caso en que, por ejemplo, se quisiera usar
el pin de conexin de una OLMC sola-
mente como entrada. Esto se puede lo-
grar inhibiendo el buffer (poniendo su
salida en estado de alta impedancia), a
travs de la entrada de control que
proviene de la matriz de interconexin.
Se puede optar si la salida de la lgica de
compuertas es negada o sin negar. Esto es Esquema de disposicin de pines para
posible ya que al MUX 4:1 ingresan, la encapsulado tipo DIP -Dual In-line
salida de la or y su negacin. Plastic; plstico doble en lnea-

38
Ventajas de las SPLD14: programada por hardware.

Dado que las interconexiones que se Las SPLD tienen ciertas limitaciones. Algunas
pueden realizar son pocas, los tiempos de de ellas son:
retardo que se obtienen son bastante pre-
decibles; es decir, son fciles de calcular. Poca flexibilidad en el uso de elementos
Son fciles de usar en un diseo. internos en cada macrocelda para
resolver ciertas funciones lgicas.
Desventajas de las SPLD: Del mismo modo, en la matriz empleada
para interconectar entradas y seales de
La utilizacin de los recursos en inefi- realimentacin a las matrices and-or.
ciente. Por ejemplo, si en una OLMC no
se usa el flip-flop, ste se pierde. Desperdicio de aquellos pines conecta-
dos a las macroceldas en las que las sali-
Se pueden realizar slo funciones lgicas das se usen para reinyectar seales a la
muy simples, y con poca cantidad de matriz de interconexin.
variables de entrada y salida.
Es por ello que, para resolver todos estos
Segn los vendedores se encuentran dife- problemas, con la creciente necesidad de
rentes denominaciones de este tipo de chip, poder implementar circuitos cada vez ms
tales como: grandes y complejos, aparecen los CPLD.
PAL -Programmable Array Logic-
La concepcin de esta estructura divide al
GAL -Generic Array Logic- chip en tres partes perfectamente separadas:
PLA -Programmable Logic Array-
Bloque de macroceldas.
Bloque de matriz para interconexin
Dispositivos lgicos programables entre macroceldas, y entre macroceldas y
complejos -CPLD- pines.
Bloque de entrada/salida, formado por
Estos dispositivos PLD complejos son el paso los pines fsicos reales y la lgica asocia-
siguiente de las transformaciones de la lgica da a ellos.
14 La SPLD analizada, corresponde a un modelo nuevo de
SPLD, que incluye mejoras respecto de las primeras ver- Las caractersticas ms sobresalientes de
siones que salieron al mercado al fin de la dcada de 1970. estos dispositivos son:
Hacemos esta aclaracin porque la estructura interna de los
primitivos SPLD era mucho menos flexible que la de los
OLMC vistos en el chip GAL22V10; en ellos, por ejemplo, Los trminos productos generados se
no se utilizaban multiplexores como elementos de selec-
cin. Adems, en esas primeras versiones, no se tena la
programan dentro de cada macrocelda y
posibilidad de seleccionar si la salida poda ser registrada no en una gran matriz -como es el caso
(con un flip-flop entre la salida real y la funcin or o nor) o de los SPLD-.
no-registrada (slo lgica combinatoria).

39
Esquema genrico de un CPLD15

Hay un flip-flop A continuacin analizamos la estructura de


dedicado en ca- Los dispositivos CPLD una CPLD, la familia MAX7000 de la empre-
adoptan la tecnologa
da macrocelda, EEPROM para almace- sa Altera16.
con todas sus nar la informacin ne-
entradas total- cesaria para la progra- La familia MAX7000 es una de lnea de dis-
mente progra- macin de los compo-
positivos lgicos programables. Se trata de
nentes internos y la ma-
mables. triz de interconexin. CPLD implementados en CMOS que em-
Las macrocel- plean la tecnologa EEPROM en los elemen-
das se agrupan en bloques. tos de memoria, a fin de mantener la infor-
macin de programacin de los compo-
No existen pines dedicados a una macro- nentes internos configurables de estos chips.
celda dada; stos forman parte de un 15 En la figura, el bloque entrada/salida est incluido en los
bloque separado. denominados "bloques lgicos", an cuando algunos fabri-
cantes no incluyen este bloque como algo separado de los
En general, los pines son todos de entra- bloques lgicos.
da/salida. 16 Altera: http://www.altera.com

40
El chip modelo EPM7128 es el que
utilizamos como base para la gene- Diagrama en bloques de la MAX7000
racin del entrenador en lgica progra- tal como se presenta en su hoja de
mada que le proponemos desarrollar con datos
sus alumnos.
Componentes:

Trabajan con alimentacin de 5 volt. LAB -Logic Array Block; bloque


de arreglos lgicos-.
Macrocelda -Macrocell-.
Estn basados en el empleo de macroceldas
que, segn el modelo los chips, pueden con- I/O -Control Block; bloque de con-
tener desde 32 (la EPM7032) hasta 256 trol de entradas/salidas-.
macroceldas (la EPM7256). Cada macrocelda
contiene una matriz programable and-or para I/O -pins; pines de entrada/sali-
la sntesis de la funcin lgica deseada. Su da-.
salida puede ser no-registrada o registrada,
gracias al flip-flop interno totalmente confi- PIA -Programmable Interconnect
gurable. Array; arreglo de interconexin
programable-.
Las macroceldas son agrupadas de a 16, for- Entradas de funciones especficas:
mando los denominados LAB -Logic Array GCLK1, GCLK2, GCLRn, OE1,
Block; bloque de arreglos lgicos-. OE2.

En esta estructura, las macroceldas estn


agrupadas de a 16 en cada LAB. Una
EPM732 tiene 2 LAB con 16 macroceldas
cada una; en cambio, una EPM256 tiene 16
LAB de 16 macroceldas en cada LAB.

Los pines fsicos del chip pueden progra- salvo algunas excepciones, es posible que el
marse como entrada, salida o entrada/salida. usuario defina por dnde entra o sale una
Estn vinculados no a una macrocelda sino a seal lgica del exterior.
un bloque de entrada/salida.
La PIA es anloga a un conjunto de autopis-
Cada bloque de entrada/salida -bloque I/O- tas verticales en cada una de las cuales existe
nuclea de 6 a 16 pines fsicos y puede conec- una gran cantidad de carriles.
tarlos a la matriz de interconexin interna -
PIA- o a los LAB. Tanto los LAB como los bloques I/O, se
conectan a la PIA a travs de conductores
Esto permite una gran flexibilidad ya que, horizontales.

41
Existe una gran cantidad de posibles posibilitando, as, generar la lgica deseada.
conexiones entre la PIA y los conductores,

Ruteo de informacin
entre la PIA y un LAB
de la MAX700017

17 Seguimos mostrndole los planos


originales, por lo que mantenemos el
ingls de su nomenclatura.

42
De los varios carriles que posee la PIA, es Para elegir cul seal de la PIA va a un LAB
posible seleccionar uno para que vaya a un determinado, basta con poner un "1" en
LAB en particular. dicha compuerta y un "0" en las dems.

Cada seal de la PIA va a la entrada de una Note que la salida de la or va a un buffer que
and de 2 entradas. La otra entrada tiene aso- permite ingresar a un LAB con la seal PIA
ciado un transistor FET que se programa seleccionada, negada o sin negar.
para que dicha entrada se ponga a "0" (inhi-
biendo la salida de la and) o a "1" lgico Cada macrocelda contenida en un LAB tiene
(habilitando dicha salida). la siguiente estructura:

Macrocelda de la MAX7000
Matriz de seleccin de trmino pro-
ducto - Product-Term Select Matrix-.
Interconecta varias compuertas and
con una or donde se sintetiza la fun-
cin lgica requerida.

43
Siguiendo con el anlisis de la estructura de Luego, de esta compuerta -mediante el em-
la MAX7000, el esquema anterior nos pleo de un mux denominado Register Bypass;
permite observar que la salida de la or se registro de puenteo-, se puede seleccionar
conecta a la entrada de una or-exclusiva de que dicha seal salga de la macrocelda
2 entradas. hacia el bloque I/O correspondiente y,
simultneamente, introducirla a la PIA
De acuerdo a cmo se programe la otra nuevamente.
entrada de la or-exclusiva, se puede tener a su
salida la funcin negada o sin negar, de la El flip-flop, por otro lado, se puede progra-
matriz and-or resuelta. mar para que su entrada de datos reciba
seal de dicha or-exclusiva o desde un pin
de I/O. Esto se selecciona con otro
mux denominado Fast Input Select -
Un flip-flop, programable a travs de
seleccin rpida de entrada-.
varios multiplexores.
El flip-flop puede ser programado para
que funcione como tipo D, JK, SR o T.
Sus entradas de CLK -reloj-, Clear -
borrado-, Preset -ajuste-, Enable -habi-
Expansores lgicos paralelo -Parallel
litacin de reloj-, pueden tambin ser
Logic Expanders-. Permiten incluir
otros trminos producto, desde la sali-
programadas para recibir seales de
da de otras macroceldas. Esto posi- diferentes fuentes; por ejemplo, la
bilita, por ejemplo, realizar funciones entrada de reloj, puede venir de la
con mayor nmero de entradas o matriz de seleccin de trmino de pro-
mayor nmero de trminos productos ducto o de uno de dos pines especfi-
de las que dispone una sola macrocel- cos de entrada para seales de reloj
da. globales, denominadas GCLK1 y
GCLK2.

Lo mismo sucede con la entrada de


Clear del flip-flop. Puede venir de la
Expansores lgicos compartidos - matriz de seleccin de trmino de pro-
Shared Logic Expanders-. Cada ducto o del pin especfico de entrada
macrocelda dispone de compuertas para seales de borrado global,
and que pueden ser empleadas por denominada GCLRn.
otras macroceldas a fin de generar
lgica muy compleja. Las salidas de Por ltimo, analicemos uno de los ele-
estas and se pueden reinyectar nueva-
mentos que conforman cada bloque de
mente a la PIA.
control de entrada/salida de la
MAX7000.

44
Bloque de entrada-salida de la
MAX7000

45
Se puede apreciar que cada uno de los pines Retardos internos relativamente bajos.
fsicos de la EPLD est asociado a un buffer
Eficiencia de los recursos internos, debido
no inversor del tipo tri-state (3 estados: "0",
al diseo de la matriz de interconexin.
"1" y Z o de "alta impedancia"). La entrada de
control de este estado proviene de un mux Aptitud para diseos de baja a mediana
8:1 (8 entradas de datos y 1 salida). complejidad.

Empleando 2 lneas de seleccin para dicho


mux, podemos seleccionar 8 diferentes fuen- Principales desventajas:
tes de seal que comanden dicho buffer.
Para implementar diseos de gran comple-
Como se puede observar en la figura, 6 de jidad, la matriz se hace muy grande y, por
dichas seales provienen de la PIA y las otras lo tanto, muy cara.
2 son Vcc ( +5 V) y GND (masa). No permite emular memoria como las
Si se aplica Vcc, el buffer est siempre activo. FPGA.
Con GND, dicho buffer queda inactivo; por
lo tanto, el pin se configura slo para entra- Segn los vendedores, se pueden encontrar
da de seales desde el exterior. diferentes denominaciones de este tipo de
chip tales como:
Empleando cualquiera de las opciones que
vienen desde la PIA, se puede usar dicho pin CPLD -Complex Programmable Logic
como bidireccional -es decir, como entrada o Device; dispositivo lgico programable
salida-, segn el nivel lgico de la lnea de complejo-.
control proveniente de la PIA.
EPLD -Erasable Programmable Logic Device;
Se puede observar, adems, que desde el pin dispositivo lgico programable borrable-.
hay dos caminos hacia dentro del dispositivo:
EEPLD -Electrically-Erasable Programmable
Uno es directo hacia la PIA y el otro a un mux
Logic Device; dispositivo lgico progra-
de una macrocelda que est directamente aso-
mable borrable elctricamente-.
ciada a ese pin. El multiplexor puede progra-
marse -como planteamos al analizar las macro- XPLD -Expanded Programmable Logic
celdas- para que la seal proveniente de dicho Device; dispositivo lgico programable
pin vaya directamente a la entrada del flip-flop expandido-.
de la macrocelda, convirtiendo a dicha entrada SPLD -Segmented Programmable Logic
como registrada; es decir, en una entrada cuyo Device; dispositivo lgico programable
valor se captura dentro del dispositivo slo
simple18- .
cuando se activa el flip-flop correspondiente.

Las principales ventajas de las CPLD son:

Tiempos de retardo predecibles, al igual 18 Esta ltima categora no debe confundirse con la sigla
que las SPLD. SPLD en la que la letra S significa simple.

46
Arreglos lgicos programables -FPGA-

Los FPGA -Field Programmable Gate Array; La arquitectura de las FPGA consiste en
arreglos de compuertas programables por el muchos mdulos lgicos ubicados en una
usuario- son desarrollados en 1984 por la estructura del tipo de arreglo matricial:
empresa Xilinx y constituyen el tercer paso
en las transformaciones de los PLD.

Esquema genrico
FPGA

47
En general, una FPGA est formada por un ms de una funcin lgica por mdulo
nmero muy grande de mdulos lgicos (es posible encontrar mdulos que
cada uno de los cuales tiene un circuito de pueden implementar hasta 4 funciones
lgica combinatoria y un flip-flop, en forma simultneas de 2 variables de entrada
similar a las CPLD. Existe, adems, un gran cada uno).
nmero de canales entre los mdulos lgicos
que son usados como elementos de ruteo.
Los elementos de memoria que suelen uti-
lizarse en las FPGA para almacenamiento de
Este tipo de disposicin de canales permite
la programacin de interconexiones y com-
una mayor flexibilidad para interconectar los
ponentes, son de dos tipos: el antifusible y
mdulos lgicos entre s.
SRAM. El primero constituye una tecnologa
no-voltil (mantiene lo programado aunque
Rodeando dicho arreglo de mdulos lgicos,
se quite la tensin de alimentacin); el
se ubican los bloques de entrada/salida, los
segundo tipo, no.
que tienen funciones similares a las de los
CPLD.
Para segundo caso, vemos un esquema de
una celda de memoria SRAM que excita
Existen FPGA que son de grano fino y de
directamente a un transistor que puede ser
grano grueso.
empleado como llave:
Grano fino:
C o n t i e n e
muchos mdu-
los en el chip.
Cada mdulo
tiene, entonces,
poca capacidad
de implementar
lgica combina-
toria (por ejem-
plo, funciones
de slo 3 4
Programacin llave con SRAM
variables de
entrada).
Grano grueso: Por el contrario, es una Este circuito consta de un transistor (lado
arquitectura en cuyos chip hay pocos izquierdo) que funciona como habilitador
mdulos lgicos; pero, cada uno de ellos de seal y de un par de inversores conecta-
puede implementar lgica combinatoria dos en antiparalelo que funcionan como
de ms variables de entrada (por ejem- un biestable (elemento bsico de memo-
plo, de hasta 7 variables) e, inclusive, ria).

48
Cuando la seal "Seleccin" lo permite, la controlado por una celda de memoria.
informacin de "Dato" hace cambiar la salida
del biestable. Al volver a inhibir al transistor Otro ejemplo de utilizacin de la celda SRAM
de paso, queda almacenando el ltimo valor es para configurar un multiplexor:
que tena "Dato".

En el ejemplo,
esta celda SRAM
Una celda SRAM est
se conecta al Gate
formada por 5 tran-
de un transistor
sistores MOS. Uno
FET. De esta ma-
como habilitador y 4
nera, se puede
como inversores. Re-
comandar su esta-
cuerde que los inver-
do para hacerlo
funcionar como sores CMOS se fabri-
can con 2 transistores Programacin
una llave. mux
MOS.
Un caso ms com- En este caso, programando las entradas de
plejo es: seleccin del mux 4:1 con 2 celdas de memo-
ria, es posible seleccionar permanentemente
cul de las 4 entradas se conecta a la salida
del mux.

Analicemos, ahora, la FPGA de la familia


XC4000 de la empresa Xilinx19. Esta fami-
lia comprende una serie de dispositivos,
desde el ms pequeo: XC4002XL hasta el
ms grande: XC4085XL.

Cada dispositivo est formado por un con-


junto de bloques lgicos denominados CLB -
Configurable Logic Block; bloque lgico con-
Llaves en XC4000 figurable-, dispuestos en forma de un arreglo
de N x N bloques: La XC4002X cuenta con
Aqu tenemos 4 cables denominados N, O, E un arreglo de 8 x 8 CLB que dan un total de
y S que se pueden conectar empleando los 6 64; mientras que, la XC4085XL tiene un
transistores dibujados. Este esquema permite arreglo de 56 x 56 CLB, dando un total de
tener flexibilidad en cuanto a las diferentes 3136.
combinaciones entre ellos.
19 Xilinx: Programmable Logic Devices, FPGA & CPLD:
Cada transistor que funciona como llave est http://www.xilinx.com/

49
Estos CLB se pueden interconectar entre s slo generar las funciones combinatorias
gracias a una sofisticada red de interconexin necesarias sino, adems, implementar varios
que permite una gran flexibilidad para tipos de memorias. Esto es muy importante
implementar lgica compleja. ya que dentro del chip, por ejemplo, puede
construirse un circuito que tenga la habilidad
Rodeando a todo el arreglo de CLB se de obtener informacin desde el exterior,
encuentran ubicados los IOB -Input/Output guardarlo en la memoria y permitir que otro
Blocks; bloques de entrada/salida-. stos dispositivo la lea. Esta caracterstica es nica
disponen de circuitos internos que permiten y no est presente en los CPLD; es original
una gran posibilidad de interconexin entre en las FPGA basadas en tecnologa SRAM.
las entradas y/o salidas, y los recursos inter-
nos del chip. Trabaja con tensiones de 3.3 V y es tolerante
a lgica de 5 V; es decir que, aunque se ali-
Este tipo de FPGA emplea en el diseo de los mente con 3,3 V internamente, puede
CLB una estructura denominada LUT -Look- reconocer niveles lgicos de seales de entra-
Up Table; tabla de Look-Up- que permite no da que provengan de dispositivos TTL.

Estructura
CLB XC4000

50
Cada bloque consta de 3 generadores de fun-
ciones basados en una LUT, indicados como
F1-F4, G1-G4y H1.

Los dos primeros pueden sintetizar funciones


lgicas de 4 variables de entrada y 1 salida. El
tercero realiza lo mismo pero de 3 variables
de entrada, que son las salidas de los bloques
anteriores ms otra seal que puede provenir,
por ejemplo, de otro CLB o del exterior.

FFs en CLB XC4000

Tiene 4 salidas diferentes: Y, X, YQ y XQ;


LUT en XC4000 todas ellas se interconectan a la matriz gene-
ral del chip. Esto da una importante flexibili-
dad ya que, por ejemplo, en un CLB se
Incluye, adems, 2 flip-flops tipo D cuyas puede, por un lado, usar la lgica combina-
entradas pueden provenir tanto de alguno de toria para generar ms de una funcin lgica
los generadores de funciones como de com- y, por el otro, emplear uno o ambos flip-flop
binacin de ellos o de la matriz de inter- en forma independiente para ser usados por
conexin. Cada uno puede programarse en otra parte del chip. Esta habilidad tampoco
forma similar a lo que hemos planteado para estaba presente en los CPLD.
CPLD.
En la siguiente figura vemos la estructura
Mediante el empleo de mux es posible selec- interna de una de las LUT, la que genera la
cionar fuente de entrada, seal de reloj, reset, funcin G. El circuito para el generador de
preset y a dnde ir su salida Q. funcin F es idntico.

51
LUT G XC4000

Dicha LUT est formada por un multiplexor cin, entonces es necesario poner un "1" en
de 16:1 (mux), un registro de desplazamien- la entrada de datos que corresponda y, si no
to serie-paralelo de 16 bits (16 latch-array) y aparece, se debe poner un "0". De esta mane-
un decodificador de escritura de 1:16 (write ra, se completan las 16 entradas del mux con
decoder). los "0" y "1" que se necesiten, implementn-
dose as la funcin lgica requerida (Por
La base para implementar una funcin lgica ejemplo: Si una funcin tiene los mintrmi-
est cumplimentada por el uso del mux 16:1 nos 0 -las 4 variables negadas- y 7 -las 4 va-
(16 entradas y 1 salida). Con este compo- riables sin negar-, entonces en la primera y
nente podemos sintetizar cualquier funcin ltima entrada de datos del mux se pone un
lgica de 4 variables (G1, G2, G3 y G4) que "1" y, en las 14 restantes, un "0").
se entran por las lneas de seleccin del
mux. Como el mux slo puede implementar fun-
ciones, se emplea el registro de desplaza-
Recuerde que una funcin de 4 variables miento para poder programarlo.
siempre se puede formar con una combi-
nacin dada entre los 16 mintrminos que En esencia, una LUT es la combinacin entre
existen para dicha cantidad de variables de un mux de N:1 y un registro de desplaza-
entrada. Si el mintrmino existe en la fun- miento serie-paralelo de N bits.

52
Las direcciones de memoria corresponden a
Por qu progra- Programar significa las lneas G1 a G4 en el LUT G y de F1 a F4
mar? En general, poner "0" y "1" en las para la LUT F. De esta manera, en cada LUT
en las FPGA repro- entradas de datos se puede implementar una memoria de 1 x
gramables hay que del mux para que 16 bits -16 posiciones de memoria (o regis-
programar no slo sintetice la funcin tros) de 1 bit cada una-.
la matriz de inter- pedida. Esto se
conexin -para hace en forma Habiendo cargado los 16 valores en los regis-
que se unan los ca- serie, con la tros de desplazamiento de ambas LUT, se
ayuda del registro
minos necesarios puede acceder a cualquiera de los 16 re-
de desplazamiento.
para armar el cir- gistros de cada LUT, direccionando apropia-
cuito deseado- sino damente con los 4 bits de G1-G4 y F1-F4.
que, en los CLB usados, hay que programar
cada generador de funcin. El hardware est previsto para que en cada
CLB se pueda implementar una memoria de
Olvidemos, por un momento, que existe el 2 x 16 bits (usando las dos LUT en paralelo)
decodificador de escritura. Por la entrada D1 - o una memoria de 1 x 32 bits (usando a las
acceso a la entrada del primer flip-flop del LUT en cascada).
mencionado registro- se va ingresando de a un
dato lgico por vez, usando para ello una se- Como en el caso de los CPLD, los bloques de
al de reloj (clk) que sincroniza su aparicin. entrada/salida son tambin configurables.
Cada IOB -Input/Output Block; bloque de
As, cuando se mandan 16 ciclos de reloj, el
entrada/salida- est asociado a un pin fsico o
registro de desplazamiento tiene sus 16 sali-
PAD.
das con los 16 datos entrados secuencial-
mente.
El circuito es complejo y dispone de dos flip-
Si se observa la figura, dichas salidas se flop, uno para programar, si es necesario, la
conectan directamente a las entradas de entrada como registrada y el otro lo mismo,
datos del mux. Por lo tanto, luego de esas 16 con la salida.
cargas, el mux ha quedado ya configurado, y
resta slo entrar las seales por G1, G2, G3 y Existen otras funciones como la de progra-
G4 para implementar la funcin lgica mar si la salida ser tipo pull-up (se conecta
deseada. una resistencia entre la salida y +V) o pull-
down (conectando una resistencia entre masa
As, por ejemplo, desde una computadora se y la salida).
programa en forma serie a este compo-
nente. Tambin -al igual que analizbamos antes
con las CPLD- se puede programar la salida
En el modo de implementacin de una como tri-state, a fin de posibilitar el uso del
memoria, el bloque LUT se configura de pin en forma bidireccional (como de entra-
igual manera que antes. da/salida).

53
54
IOB en XC4000
Las ventajas de las FPGA son: ware para que realice las diferentes etapas del
diseo con una PLD:
Utilizacin de recursos de manera efi-
ciente. edicin,
Muy alta densidad de integracin. simulacin y
Muy alto nivel de complejidad en dise- programacin.
os lgicos.
Altas frecuencias de operacin. La edicin es la etapa en la cual se entra la
informacin requerida para describir el cir-
Posibilidad de emular memoria.
cuito a implementar.

Y, las desventajas de las FPGA: La simulacin es la etapa utilizada para com-


probar, mediante el anlisis de un diagrama
Tiempo de retardo no muy predecible, lo temporal, si las salidas del circuito responden
que lleva a diseos internos complejos. adecuadamente a las excitaciones de entrada
Requieren herramientas de software caras definidas por el usuario.
para optimizar diseos complejos.
En dispositivos
Si los dispositivos deben ser muy rpidos tales como CPLD y
y complejos, y se necesitan en cantidad, Por ejemplo, la lnea
FPGA que dispo-
la opcin ms viable es utilizar los ASIC. de SPLD y FPGA de
nen de elementos la empresa Altera
de memoria EEP- utiliza slo 5 pines
ROM o SRAM, la del chip, para ser
Programacin programacin ge- conectados a una
neralmente se rea- PC; luego de progra-
Tanto los dispositivos ms simples -como los liza de una manera mado, dichos pines
SPLD- o los ms complejos -como los FPGA- muy simple: No se pueden ser reutiliza-
tienen una caracterstica bsica en comn: su requiere de un dos por el circuito
programabilidad. programador exter- del usuario.
no conectado a una
Debido a la gran cantidad de celdas lgicas y computadora personal, como en el caso de
matrices de interconexin que forman parte muchos de los microprocesadores que se
de un circuito lgico programable, es imposi- obtienen en el mercado; en esencia, el pro-
ble que el usuario pueda realizar las inter- gramador es la computadora personal y slo
conexiones entre dichas celdas o configurar se necesitan cables y mnimo hardware para
cada una de ellas en forma manual. conectar el PLD a la PC a travs del puerto
paralelo o en serie (RS-232).
Es por ello que cada fabricante ofrece al
usuario una serie de herramientas de soft- El hardware requerido por los CPLD y FPGA

55
es diferente; lo explicaremos de modo dife-
rencial a continuacin

Programacin de CPLD. Los CPLD suelen


tener tecnologa EEPROM para realizar su
configuracin; esto implica que, una vez pro-
gramados, la informacin no se puede borrar
ni siquiera quitando la alimentacin del cir-
cuito.

Por esto, para programarlos slo basta 3. Si es necesario modificar su configu-


emplear un cable de interconexin entre la racin por algn error o por una actuali-
PC y los chips. zacin, se procede a repetir el paso ante-
rior.
Las siguientes figuras muestran los pasos a
seguir para la programacin de un chip:

1. Se suelda el chip al circuito impreso


definitivo. Generalmente, se deja un
conector slo para uso de programacin.

A lo largo de las pginas siguientes


vamos a mostrarle y explicarle
cmo construir el hardware necesario
para programar dispositivos CPLD de la
empresa Altera.

Programacin de FPGA. Aquellas FPGA que


estn basadas en tecnologa SRAM (memo-
2. Se conecta el cable necesario entre la PC rias voltiles) tienen la desventaja de que,
y el conector que est en el circuito una vez que se quita la tensin de ali-
impreso. Se procede a programar al chip. mentacin al chip, ste se desprograma total-

56
mente, queda completamente desconfigura-
do.

Generalmente,
este tipo de FPGA
Esta memoria, una
se utiliza junto con vez programada,
otro chip que es guarda la informa-
una memoria EEP- cin en forma per-
ROM serie. Lo que manente.
se programa ini-
cialmente desde la
PC es esta memoria con la informacin nece-
saria para, luego, transferirse a la FPGA.

En el circuito impreso, se sueldan la FPGA y


la memoria, conectndolas segn indica el
fabricante. En general, los pines necesarios
son muy pocos.

Cuando se aplica la tensin de alimentacin,


comienza un proceso de booteo -iniciali-
zacin-, a travs del que la FPGA controla la
transferencia de datos desde la memoria
hacia ella, hasta que est completamente pro-
gramada.

Una vez finalizado este proceso, la FPGA ya se


puede usar normalmente. Esta operacin suele
durar algunas decenas de milisegundos20.

20 Seguramente le interesar leer:


- Wakerly, J. F. (2000; 3 ed.) Digital Design:Principles and
Practices. Prentice Hall International.
- Tavernier, Christian (1994) Circuitos lgicos programables.
Paraninfo. Madrid.
- Lloris Ruiz, Antonio; Espinoza, Alberto (1996) Diseo l-
gico. McGraw-Hill.
- Vyemura, John P. (2000) Diseo de sistemas digitales,
Thomson.
- Sedra-Kenneth A.; Smith, C. (1999; 4 ed.) Circuitos mi-
croelectrnicos. Oxford University Press. Mxico.
- Pearson-Prentice Hall (2003; 3 ed.) Diseo digital.

57
3. H ACIA UNA RESOLUCIN TCNICA
Manual de procedimientos para la construccin y
el funcionamiento del equipo

El producto
El entrenador en lgica programada est di-
seado sobre la base del circuito integrado Para desarrollar el entrenador es nece-
EPM7128SLC84 de la firma Altera. sario emplear algn dispositivo comer-
cial.

Este chip es un CPLD -Complex Nuestra eleccin se hizo considerando que


Programmable Logic Device; dispositivo lgico este dispositivo estuviera todava en vigencia,
se obtuviera a un precio accesible, tuviera la
programable complejo- de 128 macroceldas complejidad necesaria para poder desarrollar
que trabaja con +5 V y tiene un encapsulado proyectos interesantes, y la tensin de ali-
tipo PLCC de 84 pines. mentacin de trabajo y el tipo de encapsulado
permitieran su rpido y fcil reemplazo en caso
de necesidad.
Est formado por estos componentes:
Esta ltima consideracin es importante, ya
Placa principal: Aloja el CPLD con una que la mayora de los chips actuales CPLD y
FPGA incluyen encapsulados para montaje
fuente de alimentacin regulada de +5 V superficial, que pueden constituir una limi-
y un oscilador a cristal de cuarzo para ser tacin importante en el armado del circuito
usado como fuente de reloj de referencia impreso, corriendo peligro en caso de tener
que reponer el chip asociado a dicha placa.
de alta precisin.
Nuestra opcin no va en desmedro de disposi-
Interfaz de programacin: Circuito que tivos de otras marcas que puedan adquirirse en
sirve para configurar el dispositivo sin el mercado.
tener que sacarlo de la placa principal,
La empresa proveedora aconseja emplear el
adems de protegerlo de la conexin software Quartus para nuevos diseos, ya que
directa con el puerto paralelo de la com- ste soporta los dispositivos ms modernos.
putadora personal. Pero, la eleccin hecha aqu del software
MAXPLUS-II (que todava est en vigencia) se
Placa de entrenamiento nmero 1 : debe, principalmente, a que existe literatura
Ejemplo de aplicacin de un contador de acerca de l en Internet y, adems, porque este
soft funciona desde Windows 95 en adelante;
2 dgitos en cambio, Quartus slo es soportado por
Windows XP y, desde el punto de vista didcti-
Placa de entrenamiento nmero 2: Para co, puede resultar ms difcil de apren-
ser implementada por los alumnos. der.

58
Software MAXPLUS-II: Suministrado por
la empresa, permite realizar las tareas de
Los componentes
diseo y simulacin del proyecto lgico,
y la programacin del dispositivo. El ambiente de desarrollo de este equipo de
entrenamiento en lgica programada est
Placa de entrenamiento nmero 3 : basado en dos partes:
Ejemplo de aplicacin como entrenador
en lgica estndar (compuertas, multi- 1. Hardware: Contiene un CPLD a ser
plexores, sumadores, etc.). armado por los alumnos.
2. Software: Es suministrado por el fabri-
El objetivo primario de este equipo es el de cante del chip.
introducir a docentes y alumnos al mundo de
la lgica programada por hardware.
A partir de aqu vamos a describir tanto el
El entrenador en lgica programada permite: hardware como el software.

Comprender la tecnologa basada en dis- 1. Hardware


positivos lgicos programables por hard-
ware. Los componentes descriptos aqu son:
Realizar diseos lgicos simples y com-
plejos con el dispositivo CPLD y el soft- El chip EPM7128SCL84.
ware asociado a ste. La placa principal.
Utilizarlo como entrenador bsico de l- La interfaz de programacin.
gica digital, ya que puede emular
La placa de experimentacin nmero 1.
cualquier tipo de compuerta o circuito
ms complejo. La placa de experimentacin nmero 2.
Simular el comportamiento de un cir- La placa de experimentacin nmero 3.
cuito digital genrico en forma temporal,
empleando el software asociado al cir-
cuito integrado; as se cuenta con una El chip. El equipo est basado en el circuito
herramienta adicional para el anlisis y integrado EPM7128 que corresponde a la
sntesis de circuitos digitales. familia de dispositivos lgicos programables
El equipo y el marco conceptual que le hemos
tipo CPLD de la empresa Altera.
acercado permiten, por un lado, construir una
visin local de esta tecnologa, a fin de que los El modelo seleccionado es el EPM7128SCL84-15:
alumnos puedan desarrollar equipos basados
en otros modelos y marcas de CPLD; y, por el
otro, apropiarse de las nociones bsicas de La sigla EPM significa: Familia MAX
nivel general para abordar, en el futuro, imple-
mentaciones con otros dispositivos tales de dispositivos PLD basados en
como los FPGA. EEPROM.

59
El nmero 7 indica: Familia MAX7000 La letra S indica: Programacin ISP (per-
mite programar directamente al dispositi-
El nmero 128 indica: Modelo y nmero
vo desde una PC, sin uso de progra-
de macroceldas que posee21.

21 Los modelos incluidos en la familia MAX7000 parten desde 32 macroceldas (la MAX7032) hasta 256 macroceldas (la
MAX7256), pasando por 64, 96, 128, 160 y 192 macroceldas.

60
mador alguno)22. Pines dedicados de entrada para progra-
macin y uso posterior, para el usuario.
La sigla CL significa: Encapsulado
PLCC23 Pines dedicados para control global
interno o uso como entradas, para el
El nmero 84: 84 pines. usuario.
El nmero 15: 15 nanosegundos de 16 pines de alimentacin.
retardo en una conexin directa entre un
pin configurado como entrada y otro pin
configurado como salida24. De todos ellos, De todas maneras,
slo 2 no han sido los 66 pines dis-
El EPM7128 tiene 128 macroceldas que utilizados, dado ponibles son ms
estn distribuidas en el chip en 8 bloques que no se dispona que suficientes para
LAB de 16 macroceldas cada uno. de ms pines en la mayora de los
los conectores proyectos didcti-
DB25 y que se cos que se puedan
Cada bloque LAB -Logic Array Block; o
decidi reforzar las realizar.
bloque de arreglo lgico- tiene comunicacin
con una matriz de interconexin denomina- seales de ali-
da PIA -Programmable Interconnect Array; mentacin Vcc y GND en ellos.
arreglo de interconexin programable- que
es la encargada de interconectar a los LAB y, Pines relevantes en el EPM7128 son:
por lo tanto, a las macroceldas entre s.
Pin 1 (INPUT/GCLRn): Programable
Los 84 pines que posee el chip se distribuyen como entrada simple o entrada para clear
en: global negada.
Pin 2 (INPUT/OE2/GCLK2):
60 pines de entrada/salida, selec- Programable como entrada simple, e n -
cionables por el usuario. trada de control tri-state o entrada de reloj

22 Este dispositivo tiene implementada la funcin ISP -In-System Programmabiliy; programable dentro del sistema-, lo que sig-
nifica que posee internamente los circuitos necesarios para poder programar las celdas de memoria EEPROM que configuran
los componentes internos del chip (multiplexores, matriz de interconexin, etc.) sin necesidad de hardware adicional (pro-
gramador). La norma que se emplea para ello es la IEEE Standard 1149.1 (1990) redactada por el IEEE -Institute of Electrical
and Electronic Engineering; Instituto de Ingeniera Elctrica y Electrnica-; sta, ms conocida como JTAG -Joint Test Action
Group- es una interfaz de 4 pines con la cual es posible programar desde un procesador host (por ejemplo, una PC) a cualquier
dispositivo lgico, ya sea un PLD o un microprocesador que trabaje con esta norma. La familia MAX7000S, como la mayora
de los chips CPLD y FPGA de la empresa por la que hemos optado, dispone de esta interfaz. La EPM7128S tiene 4 pines
denominados: TCK, TDI, TDO y TMS, que son los que se deben conectar a la PC a travs del puerto paralelo.
23 Los modelos hasta EPM7128 vienen con la opcin de encapsulado PLCC: a partir de all, todo es montaje superficial.
24 El nmero despus del guin da informacin sobre la velocidad del dispositivo; indica el tiempo de retardo que se puede
obtener a la salida de un pin configurado como salida, excitando con una seal desde otro pin configurado como entrada, si
se programa el chip de tal forma que se conecte internamente a ambos pines sin lgica en medio. Cuanto ms chico es dicho
nmero, ms rpido es el chip internamente.

61
Esquema general de la serie MAX7000S

62
global 2. Pines 7, 19, 32, 42, 47, 59, 72 y 82:
Corresponden a tensin de alimentacin
Pines 14 (I-O/TDI), 23(I-O/TMS), 62(I-
0 V (GND).
O/TCK) y 71(I-O/TDO): Programables
como entrada/salida o para progra- Dems pines. Programables como entra-
macin del dispositivo. da, salida o entrada/salida, por el
usuario.
Pin 83 (INPUT/GCLK1): Programable
como entrada simple o reloj global 1.
En caso de que usted y sus alumnos quieran
Pin 84 (INPUT/OE1): Programable como disear otro impreso empleando este chip,
entrada simple o entrada de control tri- deben conectar todos los pines de ali-
state. mentacin indicados. stos no son redun-
dantes, ya que conectan distintas partes inter-
Pines 3, 13, 26, 38, 43, 53, 66 y 78: nas del chip que deben recibir las diferentes
Corresponden a tensin de alimentacin tensiones de alimentacin.
positiva (Vcc = +5 V).

Encapsulado PLCC
84 pines de l
MAX7000S

63
La placa principal. Esta placa de circuito da con la PC, para su programacin; para
impreso tiene por finalidad: esto, se dispone de un conector especfico.
Interconectar el chip con hasta 3 placas
Alojar al circuito integrado EPM7128
de experimentacin, para la realizacin
compatible con el encapsulado PLCC, a
de mltiples proyectos de diseos lgi-
fin de permitir su posible reemplazo.
cos.
Dar alimentacin con tensin regulada a
Alojar al oscilador de cristal de cuarzo,
ste y a los circuitos alojados en las pla-
para generar seales de reloj de alta pre-
cas de experimentacin.
cisin y estabilidad temporal al chip de
Interconectar el chip de lgica programa- lgica programada.

Placa principal junto con la interfaz de programacin

64
Esquema de la placa indicando cada componente
U1. CPLD modelo EPM7128SCL84.
U2. Regulador de tensin fija de +5 V LM7805T;
suministra tensin de +5 V hasta 1 A de corriente.
U3. Oscilador a cristal de cuarzo de 4 MHz JITO,
compatible con seales TTL.
J2. Conector de programacin tipo IDC10 para
cable plano de 2 x 5 contactos.
J4. Conector para entrada de alimentacin princi-
pal de +12 VDC, tipo plug de 3,5 mm con corte.
J5. Conector para entrada auxiliar de ali-
mentacin, para conectar una batera de +9 VDC,
para el caso de no disponer de una fuente externa
de alimentacin de 220 VAC a +12 VDC.
J6. Conector 1 para conexin con placa de experi-
mentacin nmero 1, tipo DB25 macho a 90 para
impreso.
J3. Conector 2 para conexin con placa de experi-
mentacin nmero 2, tipo DB25 macho a 90 para
impreso.
J1. Conector 3 para conexin con placa de experi-
mentacin nmero 3, tipo DB25 macho a 90 para
impreso.
D1. Diodo de proteccin contra inversin de pola-
ridad.
D2. Lmpara para indicacin de alimentacin, tipo
led, 3 mm color rojo.
SW1. Interruptor tipo llave inversora doble marca
SIPI o similar.
R1. Resistencia limitadora de corriente del led,
220 ohm, W.
R2-R5. Resistencia de pull-up para pines de pro-
gramacin del CPLD, 1K, 1/4W.
J7. Selector de fuente de reloj global al CPLD; tira
de pines de 1 x 3 y jumper.
J8. Zcalo PLCC de 84 pines para alojar al CPLD.
C1. Capacitor de filtrado de alimentacin;
1000 F / 25 V.
C2. Capacitor de filtrado para fuente de tensin;
100 nF / 16 V.
C3. Capacitor de filtrado para CPLD;
100 nF / 16 V.
C4. Capacitor de filtrado para CPLD; 10 F / 16 V.
C5. Capacitor de filtrado para el oscilador a cristal.

Varios: Disipador para el regulador de tensin


U2, de aluminio tipo "U" de 20 x 20 x 20 mm.
Fuente de alimentacin 220 VAC - 12 VDC
1 ampere con terminacin en ficha plug 3,5 mm con
el terminal positivo en el centro.

65
Como mencionamos, el EPM7128 tiene, en
Tabla de conexiones
total, 68 pines a disposicin del usuario.
Conector 1
En el diseo del entrenador, contemplamos PIN Dispositivo
la inclusin de conectores tipo DB25; emple-
ando 3 de ellos se tiene un total de 75 cone- 1. GND
xiones posibles.
2. 77 EPM7128
Dado que la placa principal brinda la ten- 3. 80 EPM7128
sin de alimentacin de +5 V y masa
4. 84 EPM7128
(GND), para simplificar el diseo de las
placas de experimentacin, algunos pines 5. 1 EPM7128
son utilizados para llevar dichas seales; 6. 4 EPM7128
stos son los designados como 1, 13, 14 y
25 en cada uno de los conectores 3 (J1), 2 7. 6 EPM7128
(J3) y 1 (J6). 8. 9 EPM7128

Los pines 1 y 14 son de masa (GND), mien- 9. 10 EPM7128


tras que los pines 13 y 25 son de +5 V. 10. 15 EPM7128
11. 17 EPM7128
Cada conector lleva un conjunto diferente de
pines de entrada/salida desde el EPM7128 y 12. 20 EPM7128
no se repiten. 13. VCC

Las excepciones son: 14. GND


15. 79 EPM7128
Pin 1 del conector J7 que se conecta
tanto al conector 1 (al pin 17) como al 16. 81 EPM7128
conector 2 (al pin 17). Si el jumper J7 lo 17. 1 ConectorJ7
habilita, este pin permite que una fuente
18. 2 EPM7128
de reloj externo a la placa principal
entre al reloj global de la EPM7128 por 19. 5 EPM7128
el pin 83.
20. 8 EPM7128
Pin 2 del EPM7128 que se conecta tanto 21. 11 EPM7128
al conector 1 como al conector 2. Este
pin puede ser configurado como entrada 22. 12 EPM7128
simple ( I ), de control para control de 23. 16 EPM7128
tri-state de buffers internos (OE2) o
24. 18 EPM7128
como una entrada adicional de reloj
global ( GCLK2). 25. VCC

66
Tabla de conexiones Tabla de conexiones
Conector 2 Conector 3

PIN Dispositivo PIN Dispositivo

1. GND 1. GND
2. 25 EPM7128 2. 50 EPM7128
3. 27 EPM7128 3. 52 EPM7128
4. 28 EPM7128 4. 54 EPM7128
5. 29 EPM7128 5. 56 EPM7128
6. 31 EPM7128 6. 58 EPM7128
7. 33 EPM7128 7. 60 EPM7128
8. 35 EPM7128 8. 65 EPM7128
9. 39 EPM7128 9. 69 EPM7128
10. 41 EPM7128 10. 70 EPM7128
11. 45 EPM7128 11. 74 EPM7128
12. 2 EPM7128 12. 75 EPM7128
13. VCC 13. VCC
14. GND 14. GND
15. 22 EPM7128 15. 49 EPM7128
16. 21 EPM7128 16. 51 EPM7128
17. 1 ConectorJ7 17. 55 ConectorJ7
18. 30 EPM7128 18. 57 EPM7128
19. 34 EPM7128 19. 61 EPM7128
20. 36 EPM7128 20. 63 EPM7128
21. 37 EPM7128 21. 64 EPM7128
22. 40 EPM7128 22. 68 EPM7128
23. 44 EPM7128 23. 73 EPM7128
24. 46 EPM7128 24. 76 EPM7128
25. VCC 25. VCC

67
Esquemtico del chip junto con el jumper selector de fuente de reloj
y oscilador a cristal

68
Esquemtico de la fuente de
alimentacin

69
Esquemtico del conector 1 Esquemtico del conector 3

Esquemtico del conector 2

70
Esquemtico del conector para la interfaz de programacin

71
La interfaz de programacin. La tecnologa sintetiza ambas opciones:
empleada por los CPLD de la lnea MAX7000
para almacenar la configuracin de los chips Usar un programador conectado a la PC
una vez programados, es la EEPROM. donde se aloja el CPLD o FPGA para su
configuracin y, luego de programado,
Los elementos de memoria fabricados con conectarlo al impreso definitivo.
esta tecnologa deben ser programados apli-
Con la ayuda del cable de bajada, interco-
cando tensiones superiores a los 5 V (tpica-
nectar la PC directamente al circuito im-
mente, alrededor de 12 V).
preso donde est alojado el CPLD o FPGA
-previo paso de haberlo soldado a l-.
Existen dispositivos que, necesariamente,
deben conectarse a los programadores para
que stos apliquen los niveles apropiados de Esta operacin se
tensiones de programacin; otros, en cam- realiza con slo al- Esta ltima opcin
bio, como los de la lnea MAX7000S, poseen gunos cables (tpi- es la denominada
electrnica interna que les permite generar camente, 4) que se ISP-In-System
dichas tensiones a fin de poder programar a conectan a los pi- Programmability-.
sus elementos de memoria interna; este rasgo nes de programa-
ofrece una gran ventaja, ya que no es nece- cin del chip. Una
sario disponer de programador alguno. vez programado el chip, estos pines de pro-
gramacin pueden ser utilizados por el
En la siguiente figura vemos un ejemplo que usuario en el circuito impreso.

Esquema de programacin en el sistema ISP

72
Para poder realizarlo, slo son necesarios recomienda interponer, entre el CPLD y el
cuatro pines de la EPM7128S: conector del puerto paralelo de la PC, un
ctuplo buffer no inversor con tri-state tal
TDI (pin 14) como el 74LS244 y 7 resistencias asociadas.
Esto es debido a que en el puerto pueden
TDO (pin 71)
generarse niveles de tensin que perjudiquen
TCK (pin 62) las lneas de programacin del CPLD.
TMS (pin 23)
Cada uno se puede conectar directamente al
puerto paralelo de una computadora perso-
nal. Slo es necesario conectar -entre cada
una de estas lneas y los +5 V de tensin de
alimentacin- una resistencia de 1 k; estas
resistencias estn incluidas en la placa principal.
Programador
No obstante esto, la empresa proveedora

Disposicin de compo-
nentes en el circuito

U1. ctuplo buffer no


inversor tipo 74LS244.
C1. Capacitor 100 nF /
16 V.
J1. Conector DB25 macho
para cable.
J2. Conector para cable
plano 2 x 5 tipo IDC10.
R1-R7. Resistencia de 33
ohm de W.
Varios: Cable plano de
10 cables. Conector hem-
bra para cable plano de
10 (lado DB25).
Conector hembra para
cable plano de 10 (lado
placa principal). Tapas
para conector DB25. Tira
doble de pines a 90 com-
patible con conector
IDC10.

73
Esquemtico del programador

74
La placa de experimentacin nmero 1. Esta programables no posee la capacidad de co-
placa consta de dos buffers de corriente rriente suficiente para excitar un led ellos
ULN2803 (U1 y U2) con capacidad para mismos.
manejar hasta 500 mA de corriente cada uno.
Adems hay dos llaves doble inversoras
Los buffers se usan para poder excitar a cada (SW1 y SW2) y un pulsador (SW3) sin reten-
uno de los 2 displays de 7 segmentos (D1 y cin, a fin de proveer diversas entradas ma-
D2) que estn en la placa. Esto es necesario, nuales al chip.
ya que la mayora de los circuitos lgicos

Disposicin de componentes
U1. ctuplo buffer inversor tipo ULN2803 que comanda a display D1.
U2. ctuplo buffer inversor tipo ULN2803 que comanda a display D2.
D1. Display de 7 segmentos nodo comn tipo TDSR5150 o TDSO5150.
D2. Display de 7 segmentos nodo comn tipo TDSR5150 o TDSO5150.
J1. Conector DB25 hembra a 90 grados para impreso.
SW1. Llave doble inversora, para uso como selector de modo de conteo up/down.
SW2. Llave doble inversora, para uso como habilitacin de reloj.
SW3. Pulsador doble normal abierto, para uso como RESET.
R1-R8. Resistencia de 330 ohm de W limitadoras de corriente, para display D1.
R9-R16. Resistencia de 330 ohm de W limitadoras de corriente, para display D2.
R17-R19. Resistencia de 4K7 de W limitadora de corriente, para SW1, SW2 y SW3
respectivamente.

75
El conector DB25 hembra (J1) es el que displays va los ULN2803 y, adems, usar
interconecta esta placa con la principal. De entradas provenientes de las 2 llaves y el pul-
esta manera, el EPM7128 puede excitar a los sador.

Esquemtico mostrando el conector DB25

76
El pulsador se conecta al pin de global clear
(GCLR1n) del EPM7128, teniendo un acceso
directo para poder resetear a los flip-flops
internos.

Esquemtico mostrando las llaves

77
Los dos circuitos de excitacin de cada dis- por los led y por cada uno de los inversores
play son idnticos. Las resistencias R1 a R16 de los ULN2803.
se utilizan para limitar la corriente que pasa

Esquemtico mostrando los componentes U1 y D1

78
Esquemtico mostrando los componentes U2 y D2

79
Cada ULN2803 est compuesto de 8 inver- Cada uno de esos inversores tiene la capaci-
sores que sirven de buffer entre el dispositivo dad de brindar una corriente de hasta 500
de salida (en este caso, el EPM7128) y los mA, suficiente en este caso, para alimentar a
diodos led que conforman a los displays. los diodos led.

Diagrama del ULN2803

80
La placa de experimentacin nmero 2. Esta
Tabla de conexiones entre placa principal
placa permite implementar tipos de circuitos
y placa 1
que contienen diversos componentes,
PIN Dispositivo Placa 1 incluyendo circuitos integrados, ya que el
paso de varias de las islas dibujadas en el
1. GND GND impreso son de 100 mils (100 milsimas de
pulgada).
2. 77 EPM7128 Pin 8 de U2
3. 80 EPM7128 Pin 6 de U2 Esta placa usa varios de los pines de entrada-
salida del EPM7128.
4. 84 EPM7128 Llave de habilitacin
5. 1 EPM7128 Pulsador reset La placa de experimentacin nmero 3. sta
6. 4 EPM7128 Pin 4 de U2 posee dos dip-switches (llaves en encapsula-
do DIP) de 4 llaves cada uno, lo que permite,
7. 6 EPM7128 Pin 2 de U2 en forma individual o en grupo, introducir
8. 9 EPM7128 No conectado hasta 8 seales digitales manuales directa-
mente al EPM7128.
9. 10 EPM7128 Pin 7 de U1
10. 15 EPM7128 Pin 5 de U1 Un ULN2803 posibilita que, desde el
EPM7128, se pueda excitar en forma inde-
11. 17 EPM7128 Pin 3 de U1
pendiente cada uno de los 8 diodos led
12. 20 EPM7128 Pin 1 de U1 incluidos en la placa.
13. VCC VCC
A fin de poder realizar, por ejemplo, dos
14. GND GND desarrollos diferentes en forma simultnea,
15. 79 EPM7128 Pin 7 de U2 se han elegido 4 led de color verde y 4 de
color rojo.
16. 81 EPM7128 Pin 5 de U2
17. 1 ConectorJ7 No conectado Dos conectores
BNC hembra para Para el caso presenta-
18. 2 EPM7128 Llave de up/down
chasis completan do en el siguiente ttulo,
19. 5 EPM7128 Pin 3 de U2 la placa; cada uno "El ensayo y el control",
de ellos se encuen- se utiliza el conector
20. 8 EPM7128 Pin 1 de U2 BNC1 como entrada
tra conectado a un
externa compatible con
21. 11 EPM7128 Pin 8 de U1 pin de entrada-sa- TTL hacia el EPM7128 y
22. 12 EPM7128 Pin 6 de U1 lida del EPM7128 el BNC2 como salida
y pueden ser usa- compatible con TTL
23. 16 EPM7128 Pin 4 de U1 dos independien- desde el EPM7128
hacia el exterior.
24. 18 EPM7128 Pin 2 de U1 temente, como
entrada o salida,
25. VCC VCC segn sea el proyecto.

81
Disposicin de componentes de la placa 3

U1. ctuplo buffer inversor tipo ULN2803 que comanda a display D1.
D1-D4. Diodo led de 3 mm de color rojo.
D5-D8. Diodo led de 3 mm de color verde.
J1. Conector BNC hembra, para chasis.
J2. Conector BNC hembra, para chasis.
J3. Conector DB25 hembra a 90 grados, para impreso.
SW1. Llave cudruple tipo DIP-SWITCH, para impreso.
SW2. Llave cudruple tipo DIP-SWITCH, para impreso.
R1-R8. Resistencia de 4 K7 de W limitadora de corriente, para las llaves.

82
Esquemtico del conexionado de conectores BNC

83
Esquemtico del conexionado del conector DB25

84
Esquemtico del conexionado de las llaves DIP-SWITCH

85
Esquemtico del conexionado del ULN2803 y diodos LED

86
El armado del hardware 4. Armado de la placa de prctica nmero 2.
5. Armado de la placa de prctica nmero 3.
Vamos a organizar las tareas de armado en
cinco etapas: 1. Armado de la placa principal

1. Armado de la placa principal. Esta placa es un circuito impreso de doble


2. Armado de la interfaz de programacin. faz, segn se puede apreciar en las siguientes
3. Armado de la placa de prctica nmero 1. figuras:

Impreso de placa 3 lado cobre

Impreso de placa 3 lado componentes

87
El chip empleado tiene un encapsulado tipo caras del impreso y, luego, colocamos y sol-
PLCC de 84 pines, distribuidos en un forma- damos linking pins -pines de interconexin-
to cuadrado de doble lnea. tambin en ambas caras.
Zoom de la
zona del
EPM7128:
Ubicacin del
Zcalo capacitor
PLCC; vista de 100 nF y
posterior linking pins;
lado compo-
nentes

Zoom de la
zona
EPM7128:
Soldado de
Zcalo
componentes
PLCC; vista
en dicha zona
frontal

Zoom de la
1.1. El EPM7128 tiene varios pines de
zona del
alimentacin de +5 V y GND que deben EPM7128:
ser interconectados, adems de los pines Soldado en
utilizados para entrar o salir con seales digi- dicha zona
tales. Es aconsejable, por ello, incluir un lado cobre
capacitor de 100 nF, usado como filtro de
alimentacin lo ms cerca posible de los
bornes de +5 V y GND. Para esto, optamos
por ubicarlo en el centro del rea del chip. Los linking pins son clavijas con cabeza sin
Dado el tamao reducido de dicha rea punta; se presentan en tiras y son fcilmente
(sobre ella va soldado el zcalo PLCC), removibles. Segn su dimetro (el tpico es
empleamos un capacitor miniatura (puede de 0,7 mm), agujereamos cada una de las
ser de montaje superficial). vas con una mecha acorde, a fin de que los
linking pins entren a presin. Luego, sol-
Primero, soldamos el capacitor en ambas damos ambos lados de la placa.

88
1.4. Soldamos la tira de pines doble de 2 x 5
y las 4 resistencias de 1k.

Tira de
linking pins
Zoom de la zona
de entrada de
programacin

1.2. Una vez que estn soldados el capacitor


y los linking pins, montamos el zcalo PLCC,
soldando los 84 pines del lado cobre. 1.5. Soldamos el regulador de tensin
-7805T-, la tira de pines de 1 x 3, la resistencia
de alimentacin de led y led. Lo mismo con los
capacitores de fuente de 100 nF y 10 F.
Zoom zona
del
EPM7128:
Ubicacin
del zcalo
Zoom de la zona
PLCC
de entrada de
alimentacin

1.3. Ubicamos y soldamos los linking pins en


el resto del circuito.

Disposicin de vas y ubicacin de los linking pins

89
1.6. Soldamos el jack de alimentacin y el inversin de polaridad, el capacitor elec-
conector auxiliar de alimentacin. Lo mismo troltico de filtrado y el interruptor de encen-
con el diodo 1N4007 de proteccin contra dido.

Zoom de la
zona de sa-
lida de ali-
mentacin
ya regulada

1.7. Soldamos los conectores DB25.

Emplaza-
miento y
soldado de
todos los
conectores

1.8. Soldamos el oscilador a cristal.

Emplaza-
miento del
chip de
oscilador
a cristal

90
1.9. Emplazamos el chip EPM7128, presio- un capacitor de 100 nF de policarbonato.
nando suavemente en las aristas del chip, de
a una por vez, hasta que quede firmemente
El extremo del conector DB25 se conecta al
colocado.
puerto paralelo de la PC y el
otro a la placa principal, va
un conector hembra IDC10.

A fin de poder miniaturizar


dicha interfaz, empleamos
un circuito impreso doble
faz, para ubicar todos los
componentes dentro del
espacio que existe entre las
dos tapas de un conector
Esquema mostrando la posicin correcta para colocar el chip DB25 para cable.
en el zcalo PLCC
2.1. Cortamos los postes
internos de cada tapa del
1.10. Soldamos el capacitor de filtrado del
conector DB25, a fin de dejar espacio para
oscilador y montar el disipador.
ubicar el circuito impreso.

Vista interna de la tapa del


Placa principal ya armada
conector DB25, ya preparada

2. Armado de la interfaz de programacin


Vista exter-
La base de la interfaz se centra en:
na de la tapa
del conector
un conector DB25 macho para cable, DB25, ya
un buffer Schmitt Trigger 74LS244, preparada

7 resistencias de 30 ohm y

91
2.2. Desarrollamos el circuito impreso doble 2.5. Soldamos el buffer 74LS244 al circuito
faz para la interfaz de programacin. Una vez impreso. Tenemos presente que es necesario
fabricado, puede ser necesario limar los bor- hacer soldaduras en ambas caras del impre-
des, hasta que calce perfectamente dentro de so.
las tapas.

Soldado del
Impreso 74LS244
lado cobre

2.6. Soldamos el capacitor de desacoplo al


buffer.

Impreso
lado compo-
Soldado del
nentes
capacitor de
desacoplo

2.3. Cortamos una tira doble de postes a 90


grados, tal que queden dos lneas de 5 postes.
2.7. Soldamos las 7 resistencias de 30 ohm.

Corte de la tira
de pines doble a
90 grados Soldado de
las 7
resistencias
de 30 ohm
2.4. Ubicamos y soldamos dicha tira al cir-
cuito impreso.

2.8. Ubicamos el impreso dentro de una de


Ubicacin y las tapas (es indistinto cul es, la superior o
soldado la inferior). Presentamos el conector DB25. Si
de la tira es necesario, limamos la cara que lo toca a fin
de pines de que pueda acoplarse todo, al cerrar ambas
de 2 x 5 tapas.

92
2.11. Ubicamos el impreso sobre una tapa,
cortamos con trincheta y rebajamos a lima la
zona donde est el conector IDC10, a fin de
Emplaza- que pueda calzar dentro. Repetimos este
miento del paso para la otra tapa.
conector
DB25
Vista final
del soldado
de cables al
conector
2.9. Retiramos el impreso y le soldamos
DB25
alambres esmaltados de longitud suficiente
como para que puedan soldarse, luego, al
conector DB25.
2.12. Ponemos ambas tapas y comprobamos
que todo quede firme en el interior.

Provisoriamente, para las pruebas, podemos


Soldado de
cables mantener las tapas unidas con cinta aislado-
ra. Posteriormente, para su uso permanente,
le recomendamos utilizar un adhesivo de
contacto para fijarlas definitivamente.
2.10. Ubicamos el conector DB25 contra el
borde superior de la tapa y doblamos los
alambres a la altura necesaria para cada con- Vista final del
tacto. Cortamos el alambre excedente y sol- programador,
damos cada uno al pin que corresponda. armado sin
Repetimos esto en cada contacto. conector

En la foto se muestra un clip "cocodrilo" que


se usa a fin de que el calor del soldador no
derrita el estao ya soldado en el impreso.
Conviene identificar con un smbolo -en la
parte posterior de la tapa- dnde est el
pin nmero 1 del conector IDC10; ste se
Tcnica para
identifica en el impreso como un cuadra-
evitar el desol-
do. Esta identificacin es importante para
dado de la otra
conectar correctamente el cable plano a
punta del cable
esta interfaz.

93
2.13. Armamos el cable plano con los dos 3. Armado de la placa de prctica nmero 1
conectores hembra IDC10 en cada extremo.
Dicho cable tiene, en general, uno de los La placa consiste en un circuito impreso sim-
hilos de color rojo que sirve como referencia ple faz, que se conecta a la placa principal a
para indicar cul es el nmero 1. Asimismo, travs del conector DB25.
cada conector tiene una indicacin (por
ejemplo, un tringulo) para el mismo fin, lo
que se respeta en el armado.

Cable plano
de 10 cables

Conector
IDC hembra
de 10 pines

Programador
con el cable
completo

Croquis del circuito impreso de la placa 1

94
Impreso de la placa 1; lado cobre

3.1. Soldamos el conector DB25, zcalos 3.2. En el impreso, dejamos ex profeso una
DIP-18, el pulsador sin retencin y las llaves. separacin de los displays de 7 segmentos, a

Vista
impreso
Vista
con
impreso
emplaza-
con
miento de
emplaza-
conector,
miento de
zcalo
zcalo
del
para los
ULN280
display
3 y pul-
sador

95
fin de poder utilizarlos en forma conjunta o 3.4. Montamos los displays TDSR5150.
individual. En caso de necesidad de reem-
plazarlos, podemos montarlos sobre un zca-
lo. Como no se proveen zcalos tipo DIP-10
del tamao de dichos displays, es posible
emplear uno DIP-24 (dos lneas de 12 con-
tactos) y cortarlo.

3.3. Montamos las resistencias de 330 ohm y


4K7.

Vista impreso con los displays agregados

Vista impreso con el emplazamiento de 3.5. Por ltimo, montamos los drivers de
las resistencias LED, ULN2803.

96
Vista definitiva del impreso
de la placa de experi-
mentacin 1

4. Armado de la placa de prctica


nmero 2

Esta placa est prevista para que los


alumnos diseen sobre ella, por lo
que el montaje de componentes
queda libre de explicacin.
Croquis del circuito impreso de la placa de
experimentacin 2

97
5. Armado de la placa de prctica nmero 3 ple faz que se conecta a la placa principal a
travs del conector DB25.
La placa consiste en un circuito impreso sim-

Croquis del circuito


impreso de la placa de
experimentacin 2

98
Vista del circuito impreso de la placa nmero 3
5.1. Soldamos el conector DB25, zcalo DIP- 5.2. Soldamos los 8 diodos led, 4 rojos y 4
18 y las dos llaves DIP-Switch. verdes

Vista del Vista


circuito del
impreso impreso
con el de ubi-
emplaza- cacin
miento de los
de conec- diodos
tores y led
llaves

99
5.3. Soldamos las resistencias de 330 ohm 5.5. Emplazamos el perfil "L" con los dos
para el ULN2803 y las de 4K7 para las llaves BNC ya colocados.
DIP-Switch.

Vista del
impreso
con el
emplaza-
miento de
todas las
resisten-
cias

5.4. Realizamos perforaciones al perfil para


poder amurarlo al circuito impreso y pasar
dichos conectores. Se trata de un perfil en Vista del emplazamiento del perfil de
"L" de aluminio de 90 mm de largo, y de 15 aluminio con los conectores
x 15 mm de ancho, utilizado como soporte
mecnico para los conectores BNC hembra 5.6. Emplazamos el ULN2803.
tipo chasis.

Perfil "L" de aluminio empleado para sujecin de los


conectores BNC

100
2. Software
El software para
trabajar con el Si no dispone del
chip EPM7128 es archivo de insta-
el MAX+PLUS-II lacin, puede bajar-
de la empresa lo desde el sitio de
Altera. Es nece- Internet de la
sario instalarlo en empresa.
una PC que corra
bajo el sistema operativo Windows 98 en
adelante.

Los pasos a seguir para realizar la instalacin


son:

1. Ingrese al sitio web de la empresa:


http://www.altera.com

2. En la parte superior de la pgina web va


a ver una serie de barras; haga clic en
Download.

Vista del impreso con el chip ULN2803 3. En Legacy Design Software, haga clic en la
opcin MAX+PLUS II Student Edition, la
5.7. Soldamos los dos terminales de cada que lo conduce a una pgina del centro
conector BNC al impreso. de descarga de la empresa.

4. Haga clic en Download stu-


dent10.0.exe (archivo de 51
Mbytes, aproximadamente); va a
ingresar a una nueva pgina con
un formulario que es necesario
llenar.

5. Una vez hecho esto, presione el


botn de Submit; aparece una
ventana de dilogo para bajar el
Vista final del circuito impreso de la placa de software.
experimentacin 3

101
6. Presione en Guardar. Elija en qu subdi- 12. Una vez que usted tiene el archivo de
rectorio quiere que se almacene el pro- instalacin, ejectelo y siga las instruc-
grama. Como son 51 Mbyte, depende de ciones que le solicita. Si usted no indica
la velocidad de conexin de Internet sa- lo contrario, el programa es instalado en
ber cunto tiempo dura la descarga (para un subdirectorio creado en el directorio
una bajada a 30 Kbps, usted y sus alum- raz, denominado maxplus2 y, adems, es
nos tardarn, ms o menos, 30 minutos). creado otro subdirectorio llamado
max2work que contiene varios ejemplos
7. Una vez descargado el software, pida una de aplicaciones.
licencia a Altera para usarlo. Para esto,
vaya a la opcin Licensing que puede 13. Luego de completada la instalacin,
estar en la parte izquierda de la ltima todava es necesario un paso ms para
pantalla abierta; si no es as, vuelva a la emplear el software; ste es el ingreso del
pgina principal y haga clic en Licensing. archivo license.dat que enva la empresa
por correo electrnico. En este archivo
8. Una vez en esta pgina, vaya al final y hay informacin para que el software
haga clic sobre la opcin MAX+PLUS II pueda funcionar -esto sucede slo si
software for student and universities. coincide con el nmero de serie del disco
Aparece otra pgina en la que debe elegir, rgido donde se ha instalado-. Una vez
dentro de Legacy Software, la opcin que tenga este archivo de licencia,
MAX+PLUS II Student Edition Software ubquelo en el subdirectorio maxplus2 y,
Versin 10.2, 10.1 or 9.23. luego, procedeaa correr el MAX+PLUS-
9. Al presionar el botn de Continue, va a ir II, ya sea desde el acceso directo que se
a otra pgina que le pide que ingrese el crea al instalar o desde el subdirectorio
nmero de serie del disco rgido donde maxplus2, haciendo doble clic en el
va a instalar el software. Este nmero archivo "max2win.exe".
puede obtenerse desde el sistema opera-
14. Desde el men principal, haga clic en
tivo DOS, escribiendo "dir/p".
Options. Se despliega una ventana que
10. Una vez ingresado, presione Continue. contiene la opcin License Setup; hacien-
Aparece otra pgina con un formulario do clic sobre ella, aparece la ventana
que es necesario llenar25. License Setup.
11. Presione Continue. La ltima pantalla da 15. Presione sobre el botn Browse; va a
un mensaje de aprobacin a lo solicitado entrar a una ventana similar a la del
y expresa que, dentro de las prximas Explorer de Windows donde debe ubicar
horas, por e-mail, la escuela va a recibir el subdirectorio en el que se encuentra el
el archivo de autorizacin para el uso del archivo license.dat. Una vez hecho esto,
software. presione el botn OK.
25 Es importante que controle que la direccin de correo elec-
trnico sea la correcta, ya que la empresa enva por e-mail A partir de ese momento, usted y sus alum-
un archivo que, luego, debe ser ubicado en el subdirecto-
rio "maxplus2", una vez que se ha instalado el software.
nos pueden utilizar el MAX+PLUSII.

102
La descripcin del software Especificacin del diseo. Existen varias for-
mas de entrar un diseo en el software.
Ya le hemos explicado cmo instalar el soft-
ware; ahora, le presentamos las bases para Modo grfico. El proyecto se va armando
poder emplearlo. a travs de la inclusin, en una ventana
grfica, de smbolos que representan los
El MAX+PLUS-II es uno de los ambientes de componentes digitales a usar (compuer-
desarrollo que nos permite disear proyectos tas, multiplexores, sumadores, conta-
de lgica digital con circuitos lgicos pro- dores, etc.), cables de interconexin y
gramables tanto SPLD, CPLD como FPGA. bornes de entrada y salida; es decir, de
todo lo necesario para especificar el cir-
cuito que se desea implementar.
Modo textual. A travs de lo que se
Este software est formado por cuatro denomina HDL -Hardware Description
herramientas de diseo: Language; lenguaje de descripcin del
hardware- se puede ordenar al programa
que sintetice (implemente) el circuito
1. Especificacin del diseo . Permite pedido dentro del chip; se realiza me-
entrar las especificaciones del diante sentencias escritas 26.
proyecto en forma grfica o textual.
Verificacin del diseo. En dispositivos PLD,
la manera tradicional de poder saber si el
2. Verificacin del diseo. Permite veri- proyecto puede implementarse (una vez de
ficar, a travs de simulaciones y anli- haberlo descrito en forma grfica o textual)
sis temporal, la viabilidad del proyecto. es mediante una simulacin en el tiempo.

Para esto, es necesario realizar la compilacin


3. Procesamiento del diseo. Permite,
(la explicamos en el punto siguiente) y,
mediante un programa compilador y
luego, crear un grfico que incluya todas las
procesador de mensajes, sintetizar la
seales de inters: las de entrada y las de sa-
lgica requerida dentro del chip selec-
lida del circuito.
cionado.
Este grfico muestra la evolucin en el tiem-
4. Programacin de los dispositivos . po, en el eje de las abscisas (eje X). Se dan
Permite programar fsicamente al dis- valores ( 0 y 1) a las seales de entrada a lo
positivo seleccionado, va puerto serie largo del eje X y se ejecuta el programa de
o paralelo, segn la interfaz que se
26 Existen variaciones de este tipo de lenguaje HDL bsico,
disponga.
como el AHDL de Altera o el ABEL de Xilinx, y ver-
siones mucho ms poderosas como VHDL y VERILOG,
que son utilizadas por los diseadores expertos

103
simulacin, obteniendo entonces, la respues- mal diseo- nunca van a cambiar con las
ta de las salidas a dichas entradas. seales especificadas, etc.).

Procesamiento del diseo. Para poder simu- Una vez que se ha pasado con xito la
lar y, luego, programar el chip se requiere etapa de compilacin, se procede a
compilar -sintetizar internamente el circuito realizar la simulacin temporal. Para
dentro del chip-. En esta tarea se usa el pro- esto, se ingresan a un grfico de tiempos,
grama Compiler -compilador- que se encarga las seales de entradas y las de salida,
de convertir en hardware el circuito que ya se dndoles valores a las primeras; al correr
ha descrito. la simulacin aparecen las formas de
onda que corresponden a las seales de
Programacin de los dispositivos. Una vez salida.
que se est seguro de que el circuito fun- Si todo sale bien, es decir, si el grfico
ciona, pasa a la etapa de programacin del responde a lo esperado, se puede pasar a
chip. Para ello se usa el programa la ltima etapa. Si los resultados no son
Programmer -programador- en el que se los esperados, entonces se debe volver al
especifica qu dispositivo se desea programar paso primero y replantearse el diseo
(en nuestro caso, el EPM7128SCL84). para ver donde est el error.

Consideremos los distintos pasos: El ltimo paso es el de programacin.


Seleccionado el chip a emplear, se conec-
Se plantean las especificaciones del cir-
ta a la PC, ya sea a travs de una interfaz
cuito a implementarse. Esto, general-
serie (va puerto RS-232) o paralelo (va
mente, se hace en un papel y forma parte
el puerto paralelo, como en nuestro
del diseo. Por ejemplo, si va a imple-
caso).
mentarse un contador de dcadas (BCD),
se define de cuntos bits consta, si se Se prueba el diseo con el chip ya inser-
necesita un reset asincrnico o sincrni- to en el circuito definitivo.
co, etc.
Se ingresan esos datos al programa, ya
sea en forma grfica (dibujando un ESPECIFICACIN DEL DISEO
esquemtico) o en forma textual (emple-
ando algn lenguaje HDL). Explicaremos cmo realizar la entrada del
diseo en forma grfica.
Se compila el proyecto, a fin de que el
programa lo sintetice como lgica dentro
del chip. Este paso es muy importante y Cada vez que se mencione la frase "hacer
puede dar informacin referente a posi- clic o doble clic" se refiere al uso del botn
bles errores en el diseo (por ejemplo, izquierdo del mouse. Cuando se requiera
alertarnos de entradas o salidas no usar el botn derecho, se menciona expre-
samente esa frase.
definidas, de partes del circuito que -por

104
Crear un archivo de diseo grfico. 1. Crear un nuevo archivo

2. Especificar el nombre del proyecto

3. Seleccionar una herramienta grfica

4. Entrar smbolos de funciones lgicas

5. Ajustar y mostrar lneas de gua

6. Mover un smbolo

7. Entrar pines de entrada y de salida

8. Nombrar pines

9. Efectuar la conexin entre smbolos

10. Conectar nodos y buses por nombres

11. Salvar el archivo de trabajo y chequear


errores

12. Crear un smbolo por default -defecto-

13. Cerrar archivo

1. Crear un nuevo archivo. Creamos un 1.2. Elija Graphic Editor File -archivo de
proyecto que se llama "decbcda7s.gdf", editor grfico-.
en alusin a un decodificador BCD a 7
1.3. Seleccione la extensin ".gdf" en el
segmentos.
men desplegable.
1.1. En File -archivo- elija New -nuevo-. 1.4. Elija OK. Se abre una ventana sin
ttulo.

105
1.5. Si es necesario, puede maximizar la 2. Especificar el nombre del proyecto. En el
ventana del diseo grfico. MAX+PLUS II se pauta un archivo de di-
seo -como su proyecto actual, antes de
1.6. Para salvar el archivo, en File, elija
poder realizar una compilacin (proceso
Save As -salvar como- y, en File, Name
por el cual el programa sintetiza la lgica
-nombre del archivo-; en este caso, es
necesaria en base a la informacin que le
"decbcda7s".
dio el usuario)-.
2.1. Elija, en el men, File, la opcin
Project Name, donde aparece una
ventana de dilogo.
2.2. Seleccione el archivo "decbcda7s".
2.3. Oprima OK. En la barra superior de
la ventana principal aparece el nom-
bre del proyecto (por ejeplo: c:/
max2work/tutorial/decbcda7s).

3. Seleccionar una herramienta grfica. Es


posible seleccionar diferentes tipos de
herramientas para dibujo -en particular,
para el puntero-. Por ejemplo, haciendo

106
clic en el icono Orthogonal Line Tool, el entrada-salida, como as tambin las etique-
puntero se convierte en una cruz y se tas de "Vcc" (que equivale a un 1 lgico) y
puede dibujar una lnea; esto sirve para "GND" (que equivale a un 0 lgico).
realizar las interconexiones entre pines
de los dispositivos que se van a agregar
en el diseo grfico. Tambin se puede
agregar texto, haciendo clic sobre la letra
"A"; esto permite poner nombres a las
lneas y, as, identificarlas.

4. Entrar smbolos de funciones lgicas.


4.1. En la ventana del editor grfico, elija
la opcin Enter Symbol.
4.2. A la izquierda aparecen varias opcio-
nes de libreras de smbolos, tales
como: prim, mf, mega_lpm, Edif. Si
selecciona una de ellas, aparece -ms
abajo, a la izquierda- una serie de
nombres que hacen referencia a
diversos smbolos, como entradas y
salidas de seal, tensiones de Vcc y
GND, componentes tales como
compuer-
tas simples
(and, or,
etc.) o dis-
positivos
complejos
(decodifi-
cadores,
sumadores,
contadores,
etc.).

La librera de sm-
bolos "prim" con-
templa los smbolos
bsicos de com-
puertas, pines de
entrada, salida y

107
La librera de smbolos "mf" -
megafunciones- contempla,
fundamentalmente, compo-
nentes que figuran en los ma-
nuales TTL, como son 7400
(nand cudruple de 2
entradas), 74161 (contador
binario de 4 bits), etc.

La librera "mega_lpm" -com-


ponentes parametrizados- es
muy poderosa, ya que contem-
pla la inclusin en el diseo de
componentes que pueden ser
armados "a medida". Por ejem-
plo, un contador en esta libre-
ra llamado "lpm_counter" se
puede configurar como uno
quiera; es decir, es posible
definir la cantidad de bits que
se necesiten, si va a contar en
binario o BCD, si va tener o no
entrada de RESET, ya sea asin-
crnica o sincrnica, etc.

Lo mismo vale para otros com-


ponentes: sumador, multiplexer,
decodificador, latch, etc.

Para este ejemplo, hemos deci-


dido seleccionar el decodifi-
cador 74248 de la librera "mf
que tiene las mismas carac-
tersticas de funcionamiento
que el chip comercial de lgica
TTL denominado 74248. Para
acceder a l, entramos en Enter
Symbol y, eligiendo la librera
"mf", optamos por "74248" en
el men desplegable y hacemos
clic.

108
5. Ajustar y mostrar lneas de gua. Usted tanto en "X" como en "Y" en nmero
puede ubicar lneas horizontales y ver- 15; se forma, entonces, una grilla con
ticales que sirven de gua (grilla). Para cuadrados delineados por lneas de
ello, en el men Options vaya a puntos de 15 x 15 unidades.
Guideline Spacing y, por ejemplo, ponga

6. Mover un smbolo. Posicione el pun-


tero sobre el smbolo y, presionando
el botn izquierdo del mouse, muva-
lo; el smbolo seleccionado se va co-
rriendo hasta el lugar que se elija.

7. Entrar pines de entrada y de salida.


Vaya a Enter Symbol y, en /prim, selec-
cione Input -entrada-. Al hacer clic en
Input, aparece en la pantalla el corres-
pondiente smbolo.

109
Lo mismo, para entrar un pin de salida: En
/prim, busque la opcin Output -salida- y
haga clic. En el rea de trabajo aparece el
smbolo correspondiente.

Ambos se ven as:

Esta operacin se repite las veces que sean Copy, queda cargado en la memoria y, luego,
necesarias (en este caso, tenemos 4 entradas basta slo posicionar el puntero en donde
y 7 salidas). quiera que aparezca una copia e indicar
Paste. Puede realizar la misma operacin
Una forma ms prctica es la de entrar slo con el smbolo del pin de salida o con
una entrada y una salida, y luego usar las cualquier otro objeto que desee reproducir.
herramientas de Copy y Paste -copiar y
pegar-, a las que puede usted acceder desde 8. Nombrar pines . Posicione el cursor
el men Edit -edicin- o desde la barra supe- sobre Pin_name y haga doble clic; el car-
rior de herramientas. De esta manera, por tel se ha puesto negro y usted puede
ejemplo, si hace clic sobre el smbolo del cambiar el nombre por el que elija.
pin de entrada, ste queda recuadrado en Como regla, el nombre siempre empieza
color rojo; en esta condicin, si selecciona con una letra.

110
La primera salida del decodificador queda, entonces:

111
Y, el esquema completo:

9. Efectuar la conexin entre smbolos. Desde la barra izquierda de herramientas, presione


el cono con la flecha. Las conexiones entre smbolos se hacen haciendo clic con el botn
izquierdo del mouse y, desde un terminal, arrastrando el puntero hasta el otro terminal
que se quiere unir.

Por ejemplo, la unin de la entrada A comienza as:

112
Y, termina as:

El circuito completamente conectado, queda:

113
Para escribir algn texto, acceda a la he- 10. Conectar nodos y buses por nombres.
rramienta Texto que est ubicada a la izquier-
da de la ventana de trabajo. Haciendo clic en 11. Salvar el archivo de trabajo y chequear
cono "A", puede escribir a partir de donde errores. Para guardar el diseo se debe ir
est posicionado el cursor. En caso de querer a File y a Save -guardar-, donde aparece
cambiar el tipo de letra, tamao o necesitar una ventana que permite almacenar los
girar el texto 90, puede posicionarse en l y, ltimos cambios realizados. Otra opcin
con la herramienta de Seleccin, hacer clic es hacer clic en el icono del disquete que
con el botn derecho del mouse. est sobre la barra superior.

Existen dos comandos ms en el men de 12. Crear un smbolo por default -defecto-.
herramientas de la barra de la izquierda de la
ventana de trabajo; corresponden a dos 13. Cerrar archivo. En File, haga clic sobre la
conos denominados "rubberbanding func- opcin Close -cerrar-.
tion on" y "rubberbanding function off".
Conforman una herramienta que, si est acti- PROCESAMIENTO (COMPILACIN) DEL
vada (primera opcin), permite que al
DISEO.
moverse un smbolo, las conexiones asocia-
das a l se mantengan; es decir, las lneas de
Hasta aqu hemos especificado las tareas
conexin se modifican para que siga conecta-
necesarias para realizar la entrada del diseo.
do con los otros componentes. En cambio, si
Consideremos, ahora, cmo efectuar la com -
la opcin est en "off", al mover el objeto,
pilacin del proyecto.
slo ste se cambia de lugar, quedando el
resto del circuito tal como estaba antes de
Para realizar la compilacin, abrimos la ven-
moverlo.
tana del proyecto que queremos sintetizar

114
(en este caso, el denominado SNF27 Extractor; extractor SNF con infor-
"decobcda7s.gdf"). macin de temporizacin-. Esta opcin tiene
en cuenta, por ejemplo, qu tipo de chip se
va a usar, ya que existen muchas familias de
Dentro del ambiente de diseo del MAX+PLUS II,
podemos acceder a los programas de compilacin,
dispositivos SPLD, CPLD y FPGA, cada una
simulacin y programacin del proyecto, emplean- con diferente performance y velocidad de
do los conos que aparecen en la barra vertical respuesta. Es necesario, entonces, asignar al
superior o accediendo al men de la izquierda que proyecto la familia de dispositivo que se
dice "MAX*PLUS II.
quiere usar y, dentro de ella, especificar de
qu modelo se trata.

Se pueden realizar dos compilaciones dife- Dentro del men Assign -asignacin-, est la
rentes: una funcional y otra total. opcin Device -dispositivo- desde donde
usted puede seleccionar qu familia de
La compilacin funcional -Functional SNF chip28 va a emplear:
Extractor; extractor SNF funcional- permite
sintetizar la lgica requerida para el proyecto
pero no tiene en cuenta ningn requeri-
miento temporal; es decir, no optimiza la
velocidad del circuito. Si bien esta opcin
sirve para una primera aproximacin -para
saber si el funcionamiento del circuito es el
correcto-, es bastante rpida en implemen-
tarse.

En la ventana desplegable Device Family,


seleccione la MAX7000S.

27 SNF -Simulator Netlist File- es un archivo de listado del si-


mulador que contiene toda la informacin requerida para
sintetizar el circuito especificado.
28 Puede haber variaciones en el chip que se consiga luego del
guin (en lugar de 6 puede aparecer 15); esto se refiere a
una especificacin de velocidad del chip que no es rele-
Una vez que no hay errores en la especifi- vante, por ahora, para lo que estamos explicando. Dichas
opciones se encuentran en el men Processing -proce-
cacin del diseo, se puede pasar a la opcin samiento- que aparece una vez que se hace clic sobre el
de compilacin total o completa -Timing icono Compiler.

115
sione en Start.
Y, dentro de la familia de dispositivos CPLD Si todo est correcto, aparece una ventana
MAX7000S, elija el chip modelo con un aviso de 0 errors y 0 warnings -ningn
EPM7128SLC84-6 que es el que le pro- mensaje de error y ninguno de advertencia-.

El mensaje de
advertencia no
es un mensaje
de error; slo
indica alguna
condicin que
el usuario pue-
de tener en
cuenta o no
(por ejemplo,
que no asign
ningn chip y
que el compi-
lador lo hizo
por s solo).
Los mensajes
ponemos usar en el entrenador. de error, en cambio, s son para considerar,
Una vez seleccionado, presione el botn de ya que la sntesis no se puede realizar hasta
OK. no tener ningn error. En esas condiciones es
imposible simular y, menos, programar el
Ya designado el dispositivo, el paso siguiente chip hasta eliminar por completo todos los
es comenzar la compilacin. Para ello, pre- errores que aparezcan.

Si usted hace
clic en los sm-
bolos etiqueta-
dos como CNF,
RPT, SNF y
POF, se abren
archivos que
contiene infor-
macin sobre
la compilacin
realizada. En
particular, para
nuestro pro-

116
yecto, el icono RPT abre el archivo de texto lizadas para este proyecto:
de reporte "decobcda7s.rpt" que contiene
informacin de cmo se realiz la compi-
lacin; generalmente, es un archivo extenso
que brinda datos de cuntas y cules
macroceldas se utilizaron, cuntos y cules
pines se emplearon, qu espacio queda
disponible todava, etc. (El archivo denomi-
nado "decobcda7s.pof" contiene informa-
cin necesaria para poder, luego, programar
al chip).

Dentro del ambiente de desarrollo grfico,


existe tambin una parte denominada
Floorplan Editor que es un editor grfico que
muestra la disposicin fsica de las macro-
celdas y de los pines dentro del dispositivo.
All se encuentra informacin que sirve de
complemento al archivo de reporte en el
que se encuentran marcados los compo-
nentes que se han sintetizado luego de la
compilacin.

Al entrar a este
editor aparecen
las macroceldas a-
grupadas de a 8.
Cada bloque for-
ma el LAB -Logic
Block Array; arre-
glo de bloques l-
gicos- que ya he-
mos descrito en
apartados anterio-
res.

En las siguientes
dos figuras po-
demos ver aque-
llas macroceldas
que han sido uti-

117
Si usted hace doble clic sobre esta ventana, la disposicin fsica de los pines con sus de-
pasa a otro modo de ver el chip que muestra signaciones respectivas.

118
Presione en List -listado- para que aparezcan:

Hasta aqu hemos explicado cmo se puede


compilar un proyecto para que el programa
del chip sintetice las funciones requeridas
segn los datos entrados en forma grfica; y
hemos planteado cmo se puede asignar un
modelo dentro de una familia de dispositivos
lgicos programables.

El siguiente paso para tener un diseo com-


pletamente flexible es el de asignar a cada
una de las entradas y salidas del proyecto un
nmero de pin en el encapsulado del chip.
Una vez all, haga clic sobre, por ejemplo, la
entrada Ain y presione OK.
En nuestro caso, tenemos 4 entradas y 7 sali- Vuelva a la primera ventana. Ahora, en Node
das. Name -nombre del nodo- aparece "Ain".
Para comenzar las asignaciones, entre en el Haga clic en la opcin Pin. Aparece una ven-
men Assign y haga clic en la opcin tana desplegable que contiene todos los
Pin/Location/Chip pines del dispositivo seleccionado (en este
caso, el EPM7128SLC84).

Hemos elegido el pin nmero 68. Luego,


presione el botn Add -agregar-. En la ven-
tana denominada Existing Pin/Location/Chip
Assignment aparece la asignacin ya hecha
de la entrada Ain al pin nmero 68.

Aparece una ventana de dilogo. Presione el


botn Search -bsqueda- para encontrar la
lista de los nombres que hemos puesto en las
entradas y salidas (Ain, Bin, Cin y Din para
las entradas; a, b, c, d, e, f y g para las sali-
das).

119
Repita esto para cada una de las seales VERIFICACIN DEL DISEO
restantes.
Una vez que tiene el proyecto compilado,
En las siguientes dos figuras se resume dicha puede pasar a la etapa de simulacin.
operacin:
Para esto, haga correr el programa denomi-
nado Simulator -simulador-. Puede acceder a
l a travs del men "MAX+plus II en la
opcin Simulator o haciendo clic sobre el
icono correspondiente ubicado en la barra
superior horizontal de la hoja de trabajo del
proyecto abierto.

Comience creando un archivo de extensin


"scf" que, en un grfico temporal, ubique las
seales de entrada y salida para poder simu-
lar a estas ltimas.

Para esto, en File seleccione la opcin New.

Haciendo clic aparece una ventana; en ella,


seleccione Waveform Editor File -archivo del
editor de formas de onda- y haga clic en OK.

Salve el proyecto
nuevamente y vuelva Recuerde que
a correr el programa cuando hizo por
de compilacin, ya primera vez la
que ahora se han compilacin, fue
cambiado -por de- el mismo progra-
cisin del usuario- ma el que decidi
las asignaciones rea- dnde ubicar las
lizadas en pasos an- entradas y las
salidas. Al hacer esto, aparece una nueva ventana con
teriores.

120
un grfico X-Y; en l, el eje de las abscisas Al hacer esto, aparece una ventana que tiene
corresponde a tiempo y el de las ordenadas a dos cuadros de dilogo; en el de la izquierda
los estados lgicos de las variables en juego. aparecen las variables disponibles en el
proyecto -Available Nodes&Groups-; en el de
Esta ventana aparece con el nombre "unti- la derecha, las variables que uno quiere si-
tled1.scf" -sin ttulo-. mular -Selected Nodes&Groups-.

Presione en List. En el cuadro de la izquierda,


aparecen todas las seales disponibles:

Marcando, por ejemplo, la entrada Din y


haciendo clic en el botn en el cuadro de
la derecha, aparece la entrada en la simu-
Ahora, ingrese las seales que intervienen en lacin.
la simulacin: 4 entradas y 7 salidas, en nue-
stro proyecto "decobcda7s". Para esto, en el
men Node, elija Enter Nodes from SNF -
entrar nodos desde SNF, Simulator Netlist
File-.

Repita esto para todas las seales. Cada una


de stas debe aparecer en el cuadro de la
derecha.

Una manera ms rpida es hacer clic sobre


una de las seales y arrastrar el cursor hacia
abajo y, luego, soltarlo. As, quedan marcadas
en negro las seales requeridas. Luego, pre-

121
sione en el botn . Time Range posibilita seleccionar el tiem-
po inicial y final que se muestra en pan-
Cuando esta tarea est terminada, marque talla.
OK. Aparece, entonces, nuestra ventana ini-
cial pero, ahora, con las 11 seales. Mediante Zoom in -lupa con signo ms- y
Zoom out -lupa con signo menos-, se
Los grficos de las salidas se ven rayados; puede expandir o comprimir el grfico
esto se debe a que an no tienen valores asig- en el eje de tiempos.
nados, ya que no se realiz la simulacin. A travs del men Node, entran las
seales tanto desde el archivo SNF como
Las entradas por default -defecto- estn todas de a una variable por vez, empleando la
en cero a lo largo del grfico. opcin Insert Node -insertar nodo-.

Sigamos con el armado de


los grficos temporales.
Teniendo las entradas, es
necesario asignarles valo-
res en el tiempo.

Para ello, use la barra de


herramientas que est a la
izquierda de la ventana de
trabajo. Las opciones 0, 1,
X, Z son las que definen
"0" lgico, "1" lgico, esta-
do no definido y alta im-
pedancia respectivamente.
Detngase a analizar el men File. All tiene
las opciones normales ms otras; por ejem-
Nosotros aqu slo usamos las opciones "0"
plo:
y "1".
End Time es la opcin que permite entrar
el tiempo mximo de simulacin, desde Si usted hace clic sobre el nombre Din, por
0 segundos en adelante. Por defecto, el ejemplo, el grfico correspondiente se vuelve
programa siempre ajusta este parmetro negro, lo que significa que puede trabajar
en 1.0 s (1 microsegundo). sobre l.

Otro men importante es el View -vista-. Si, en esta condicin, usted presiona el botn
La opcin Fit in Windows -ajustar pan- "1", queda la seal Din en "1" lgico en todo
talla- permite que todo el grfico entre en el grfico. Esto se evidencia con un cambio
la pantalla. en el nivel de la lnea horizontal.

122
Para observar todo el grfico -hasta el tiempo
Para asignar, en el tiempo, un nivel lgico a final de la simulacin marcado como End
la entrada Din, marque una zona determina- time-, puede ir a View y, desde all, elegir la
da con el cursor del mouse; hgalo presio- opcin Fit Windows. Va a apreciar, entonces,
nando el botn izquierdo en la zona en que todo el rango de tiempo completo (para este
quiere modificar el nivel lgico, mientras caso, desde 0 segundos hasta 1.0 s).
corre el cursor horizontalmente. Va a
obtener la zona a modificar destacada con Complete el resto de los grficos de las otras
negro. seales de entrada de la misma manera que
hizo con Din.

Si necesita hacer un cambio de estados "muy


fino" y la resolucin de la pantalla no es la a-
decuada, puede hacer un zoom in (la lupa
con el signo ms) y cambiar los niveles con
ms precisin.

Una vez completados los grficos de las en-


tradas, salve el archivo con el mismo nombre
que tiene el del diseo grfico (en nuestro
caso, "decobcda7s"). Para ello, dirjase al me-
n File.

Si, ahora, presiona sobre el botn "1" de la Aparece una ventana de dilogo con el nom-
barra vertical de la izquierda, la entrada Din bre de nuestro proyecto, por defecto. Pre-
cambia su nivel lgico a "alto". sionando, registre en File Name. Finalmente,
d OK.

123
que visualiza el grado de avance de la simu-
lacin. Cuando sta ha concluido, aparece un
cartel. Si todo result correcto, el mensaje
indica 0 errors y 0 warnings.

Al igual que cuando usted hizo la compi-


lacin, pueden aparecer errores o mensajes
de alerta derivados de desajustes cometidos o
de los valores que se utilizaron. Un aviso de
error puede ser, por ejemplo, porque asign
valores a una variable desde 0 hasta 0.2 s y
el tiempo final de simulacin es 1.0 s -como
en este caso-.

Ya est listo para realizar la simu-


lacin.

En el men MAX+plus II, elija la


opcin Simulator.

Al hacer clic, aparece una nueva ven-


tana que se prepara para iniciar con
la simulacin. Presione, entonces, en
Start.

Si todo es correcto, va a ver completados los


grficos de las salidas.

Como usted puede notar, si hace clic sobre


una coordenada horizontal, aparece una ba-
rra vertical de color azul, indicando a qu
tiempo corresponde esa posicin (en la figu-
ra, a 41 ns).

Al lado de cada una de las variables del cir-


cuito aparecen valores ("0" y "1") que indican
los valores que toman las seales en ese
Esta ventana cuenta con una barra horizontal instante.

124
Cambiando el lugar de esa barra vertical, se cambia la informacin (en la figura, 167 ns).

125
En algunos proyectos puede resultar
interesante disponer de una forma ms
compacta para la representacin de
seales. Por ejemplo, si usted lo desea,
puede agrupar las 7 salidas -que estn
indicadas en formato binario- en una
sola salida con notacin en hexadeci-
mal. Para ello, presione el botn del
mouse marcando todas las salidas y,
luego, librelo: Quedan las salidas marcadas
Si repite lo mismo para las entradas, obtiene
en negro.
un grfico ms compacto que puede ser ms
prctico a la hora de analizar los resultados
Paso seguido, presione el botn derecho del
de la simulacin.
mouse; aparece un men. En l, elija Enter
Group -entrar grupo-.

En la ventana de dilogo que se ve, ingrese


un nombre para esta nueva salida combinada
(aqu, hemos optado por "salida7seg") y elija
el formato de dicho grupo (en el ejemplo,
HEX = Notacin hexadecimal).

Para revertir el proceso de agru-


pacin de seales, basta con que se
pare sobre la seal y, haciendo clic
con el botn derecho, seleccione la
opcin Ungroup -desagrupar-.

Una vez que cuenta con el formato


deseado, proceda a verificar que los
valores lgicos de las salidas que se
obtienen en el tiempo sean los co-
rrectos, en funcin de los valores
establecidos por las entradas.

Al hacer esto, va a ver que desaparecen las 7 La simulacin a travs de la opcin Zoom va
salidas y que se visualiza una nueva, denomi- a permitirle observar con bastante precisin,
nada "salida7seg", con informacin sobre los los cambios producidos por las salidas ante
valores de las 7 salidas agrupadas. cambios en las entradas.

A continuacin vemos un ejemplo donde, en

126
una parte del grfico, la seal de entrada Ain Expandiendo el grfico desde 0 hasta
produce un cambio en la salida "e". La barra 10.0 s, puede usted ver que las seales no
vertical se ha posicionado en el instante justo estn definidas desde 1.0 s hasta 10.0 s.
del cambio de Ain y, si se mueve el cursor Esto es debido a que es necesario correr nue-
hasta el momento en que cambia la seal "e", vamente la simulacin que par en 1.0 s.
se puede medir en el cuadro denominado Adems, obviamente, se requiere dar valores
Interval -intervalo- qu tiempo ha transcurri- a las entradas para este nuevo segmento de
do desde el instante en que Ain cambi hasta tiempo.
que lo hizo "e" (en este caso, 6.0 ns).

En las siguientes dos figuras se muestra cmo


puede usted hacer para cambiar el tiempo
final de la simulacin. Desde File, al selec-
cionar la opcin End Time, aparece una ven-
tana de dilogo; en este caso, ponemos en PROGRAMACIN DE LOS DISPOSITIVOS
ella el valor 10.0 s.
Esta herramienta de diseo tiene como obje-
tivo programar al chip seleccionado, a fin de
que pueda sintetizarse la lgica -que fue pre-
viamente definida al hacer la compilacin del
proyecto-.

Para lograr esto, comience accediendo al pro-


grama Programmer; esta opcin se encuentra
en el men "MAX+plusII" y, adems, en el
cono correspondiente de la barra horizontal
superior.

Al hacer clic sobre esta opcin, aparecen dos


ventanas: la primera (programmer) est aso-

127
ciada con las operaciones de configuracin gramador es el "decobcda7s.pof".
del chip, y con operaciones de programacin
y verificacin. La segunda (Hardware Setup) En Device Name -nombre del dispositivo-,
le permite realizar la seleccin de la interfaz seleccione la EPM7128S.
que emplear para conectar el chip a la com-
putadora personal. Luego, haga clic en Select Programming File -
seleccin del archivo de programacin-.
En esta ltima, elija la opcin ByteBlaster en Aparece una ventana a la derecha con una
Hardware Type -tipo de hardware-. Esta estructura de subdirectorios. Ubique el que
interfaz es la que permite trabajar con el contiene el archivo de programacin.
puerto paralelo de la PC y es donde va usted
a enchufar el cable de programacin. Luego A la izquierda aparece una lista con todos los
de esto, puede dar alimentacin al equipo, ya archivos disponibles.
que necesita de tensin para poder ser pro-
gramado. Busque el archivo "decobcda7s en la lista que
aparece a la izquierda y que co-
rresponde al subdirectorio
C:/max2work/tutorial indicado
a la derecha. Marque OK.

D OK a la ventana Hardware Setup; aparece


slo la ventana de Programmer.

Haga clic en el men JTAG; elija la opcin


Multi-Device JTAG Chain Setup.
Al dar OK, vuelva a la ventana Programmer;
Este paso lo conduce a otra ventana en la que en ella ha quedado configurado el chip e
va a especificar el modelo del chip que quiere indicado el archivo a transferir al dispositivo.
programar y, adems, indicar qu archivo es
el que el programador debe cargar en la Haga clic en Add -agregar- a fin de que quede
CPLD. En nuestro caso, el dispositivo es el cargado este archivo en el buffer de salida del
EPM7128S y el archivo de salida para el pro- programador.

128
En la ventana del centro aparece la informa-
cin del chip y del archivo a utilizar.

Ya conectado el cable de programacin al


puerto paralelo de la PC y encendido el
entrenador, puede usted comprobar que la Si todo sale bien, va a ver un mensaje de
conexin est bien, si presiona el botn Programming Complete -programacin com-
Detect JTAG Chain Info. Si todo est en orden, pleta-.
va a recibir el mensaje JTAG Can information
confirmed by hardware check. Puede hacer clic en el botn Verify para com-
probar qu ha quedado programado en el
Como en este caso slo hay un archivo a uti- chip. Si todo est correcto, va a leer un men-
lizar, puede dar OK. saje de Verify successful: No Error -verificacin
exitosa: no hay errores-.
Ahora, est en condiciones de realizar la pro-
gramacin. Para ello, haga clic en el botn
Program.

Una barra horizontal indica el progreso de la


programacin; cuando sta finalice, llega al
100 %.

Esta operacin tiene tres etapas:

Examine -examinar-,
Program -programar- y
Verify -verificar-.

129
4. EL EQUIPO EN EL AULA

A continuacin le presentamos algunos Vamos a compartir con usted ocho proyec-


ejemplos de aplicacin para este entrenador tos.
en lgica programada. Se trata de proyectos
que se implementan en forma separada y de
uno en el que se combinan los anteriores. ENTRENADOR EN LGICA PROGRAMADA

Proyecto 1: Implementacin de un decodificador


Recuerde que:
BCD a 7 segmentos
Cada vez que se programa el dispositivo
EPM7128, se borra la configuracin ante- Proyecto 2: Divisor de frecuencias de 4.000.000 Hz
riormente grabada. Por lo tanto, si usted a 4 Hz
quiere que se sintetice en el chip ms de
un proyecto simultneamente, agregue
cada uno de esos circuitos en un mismo Proyecto 3: Contador de 2 dgitos BCD con entrada
archivo de trabajo. de reloj externa al equipo

Es necesario tener cuidado en la desig-


nacin correcta de los pines del chip, ya Proyecto 4: Contador de 2 dgitos BCD con divisor
que ste puede daarse en caso de que de frecuencias incorporado
un pin designado como salida est
conectado a una fuente de seal externa
entrante. Si el grupo de alumnos utiliza Proyecto 5: Sumador binario sin signo de 4 bits
las placas propuestas, stas ya tienen
predefinidos los pines de entrada y salida
que van hacia la placa principal donde se Proyecto 6: Circuito monoestable disparado por
conectan con el chip EPM7128; por lo flanco ascendente
tanto, slo es necesario respetar las
conexiones establecidas29.
Proyecto 7: Combinacin de los proyectos 4, 5 y 6
en un mismo diseo

29 Por ejemplo, las 4 llaves del DIP-Switch SW1 de la placa Proyecto 8: Uso del entrenador como herramienta
nmero 3, ya estn conectadas en forma definitiva a los de anlisis de circuitos
pines 22, 09, 21 y 08 del conector de dicha placa y, a travs
de l, a los pines 68, 69, 64 y 65 del EPM7128. Asimismo,
para dar otro ejemplo, el display de 7 segmentos D1 que se Cada uno de estos proyectos permite familia-
encuentra en la placa de experimentacin nmero 1, recibe rizarse con diferentes formas de sntesis posi-
seales desde el integrado U1, cuyas 8 entradas provienen
-a travs del conector asociado a dicha placa- de los pines
bles, empleando el ambiente grfico del cual
16, 17, 18, 10, 11, 15, 12 y 20 del EPM7128. dispone el software MAX+PLUS II.

130
En el CD que acompaa a este
Los alumnos estn desarrollando un cir- mdulo de capacitacin, usted
cuito que les permite visualizar, en un dis- puede encontrar los archivos empleados
play de 7 segmentos, la evolucin de un para realizar la edicin, simulacin y pro-
contador de dcadas de 2 dgitos. gramacin del chip EPM7128:
Los archivos con extensin "gdf" co-
Para tal fin, ste va a estar conectado rresponden a los circuitos esquemti-
tanto a una seal de reloj externa como a cos del editor grfico.
una interna en la que el reloj es generado
a una frecuencia lo suficientemente baja Los archivos con extensin "rpt" son
como para poder seguir visualmente los los de reporte de la compilacin efec-
cambios en el nmero de conteo. tuada.
Los archivos con extensin "snf" son
Adems, el contador dispone de 3 los que gener el compilador y que se
entradas adicionales a la de reloj, para emplean en la etapa de simulacin.
permitir:
Los archivos con extensin "scf" co-
Modificar el sentido del conteo - rresponden al editor del simulador que
ascendente o descendente-. ya contiene una simulacin tipo.
Los archivos con extensin "pof" son
Inhibir o habilitar el conteo.
los que hay que cargar en el chip
Borrar -resetear o poner a "0"- a los empleando el programa
contadores. Programmer.

Veamos cmo los alumnos fueron dndole del EPM7128, a fin de que coincidan con los
solucin a su problema de las placas de experimentacin propuestas.

Aqu, las entradas del decodificador


Proyecto 1: Implementacin de un provienen del DIP-Switch nmero 1 de la
placa nmero. Como salidas al display de 7
decodificador BCD a 7 segmentos
segmentos se utilizan los pines que excitan al
circuito integrado U1 (ULN2803) que co-
En la seccin anterior, la descripcin de cada rresponde al control del display D1 de la
uno de los programas que integran al am- placa nmero 1.
biente de desarrollo MAX+PLUS II (editor de
texto, compilador, simulador y programador) Para poder generar este proyecto los alumnos
se corresponde con este diseo, desde la necesitan, entonces, conectar las dos placas
entradas de la informacin en el editor grfi- de experimentacin.
co hasta la programacin del chip.
De esta manera, pueden cambiar el dgito
Para este caso, seleccionamos ciertos pines BCD que se visualiza en D1, modificando las

131
4 llaves de SW1, y generando nmeros desde
0000 (que equivale al dgito "0") hasta el
1001 (que equivale al dgito "9").

Este proyecto es denominado


"decobcda7s.gdf".

Para complementar esta tarea, sus alumnos


pueden generar un nuevo proyecto que per-
mita entrar el dato en BCD a travs del DIP-
Switch SW2 y visualizar la informacin de
salida del decodificador en el display D2.

Proyecto 2: Divisor de frecuencias


de 4.000.000 Hz a 4 Hz
Este proyecto tiene como finalidad servir
como generador de reloj del proyecto
"Contador de 2 dgitos BCD".
perodo de 250 milisegundos).
La funcin del circuito que implementan los
estudiantes permite obtener una frecuencia Esta seal de salida ya dividida es sacada por
de reloj muy estable de baja frecuencia (4 uno de los conectores BNC de la placa de
ciclos por segundo); la frecuencia inicial es la experimentacin nmero 3, que puede ser
que proviene de un oscilador de muy alta visualizada con un osciloscopio.
precisin cuya frecuencia es de 4 megahertz.
En este proyecto, los alumnos implementan
La entrada de una cascada sincrnica de contadores de
excitacin al cir- dcada (BCD); cada uno de ellos divide 10
No deje de verificar
cuito proviene de veces la frecuencia de la seal de reloj.
que el jumper J7 de
una seal de reloj la placa principal
de 4.000.000 Hz est colocado co- Para lograr un total de 1.000.000 de veces,
que ingresa por el rrectamente, para deben utilizar 6 de ellos.
pin de GCLK (pin que la salida del
83) y divide dicha oscilador a cristal Llaman a este proyecto "divisorfrec.gdf"
seal en frecuencia vaya al pin 83 del
por 1.000.000; por EPM7128. En las figuras de la proxima pgina
esto, a la salida, mostramos el circuito total y ampliaciones de
tenemos una onda cuadrada de 4 Hz (con sus partes.

132
OUTPUT
20
eq3[9]
20 22
eq1[9] OUTPUT eq2[9] OUTPUT

LPM_COUNTER
LPM_COUNTER
LPM_COUNTER
LPM_COUNTER updown
VCC updown
9
updown q[]
updown eq4[15..0] eq4[9]
q[] eq[]
eq3[15..0]
q[] eq[] clk_en
eq2[15..0] eq2[9]
q[] eq[] clk_en
INPUT eq[] eq1[15..0] eq1[9] clk_en
VCC
clk_en

eq3[9]
11
18

INPUT 10 AND2
AND2

VCC AND2
6
7 17
16

23
eq4[9] OUTPUT

LPM_COUNTER
LPM_COUNTER

updown
updown
q[]
eq[] eq6[15..0] eq6[9] OUTPUT
q[] 15 eq6[9]
eq5[15..0]
eq[] clk_en
clk_en
eq5[9]

13
12 AND2

19
OUTPUT
21
eq5[9]

133
134
OUTPUT
20
eq3[9
22
eq2[9] OUTPUT

LPM_
LPM_COUNTER
LPM_COUNTER
updown
updown
updown
q[]
eq3[15..0]
q[] eq[] clk_en
eq2[15..0] eq2[9]
eq[] clk_en
clk_en
eq3[9]

11
10 AND2
6 AND2

17
16
OUTPUT
20
eq3[9]

LPM_COUNTER
LPM_COUNTER

updown
updown
q[]
eq4[15..0] eq4[9]
q[] eq[]
eq3[15..0]
eq[] clk_en
9]
clk_en

eq3[9]
11
18

10 AND2
AND2

17

23
eq4[9] OUTPUT

135
136
10
6 AND2

7
16

LPM_COUNTER
LPM_COUNTER

updown
updown
q[]
eq[] eq6[15..0] eq6[9] OUTPUT
q[] 15 eq6[9
eq5[15..0]
eq[] clk_en
clk_en
eq5[9]

13
12 AND2

19
OUTPUT
21
eq5[9
Este divisor est compuesto por 6 contadores Adems del smbolo del contador, se ve una ven-
tipo BCD, en conexin sincrnica; en l, tana que permite asignar las seales a utilizar en
todas las entradas de reloj estn conectadas a el contador generalizado.
una nica entrada denominada "reloj".

Se emplean, adems, compuertas and de 2


entradas que se obtienen de la librera prim,
accediendo a la opcin and2.

Para dividir por 1.000.000, los estudiantes


usan cada contador dividiendo por 10 cada
vez. Para ello, necesitan el total de 6 conta-
dores que obtienen de la librera de compo-
nentes parametrizados "mega_lpm".

Volvamos al contador. Los alumnos entran a


Symbol del men principal y eligen la opcin
Enter symbol.

Aparece una ventana en la que seleccionan la


opcin mega_lpm. Al hacer doble clic sobre
ella, se ve una lista de todos los componentes
parametrizados. Eligen la opcin lpm_counter.

Los estudiantes lo configuran para que sea un


contador BCD con entrada de reloj y habili-
tacin de reloj, y para que tenga una salida
que indica que el conteo llega a "9".

Cada contador tiene salidas de conteo


denominadas q[ ]. Su cantidad de bits
depende de cmo se configura el contador.
En nuestro caso, como el grupo de alumnos
decide que sean del tipo BCD, cada uno de
ellos va a tener 4 bits para poder contar desde
"0000" ("0") hasta "1001" ("9").

137
Adems de estas salidas, existen otras lla- "clk_en," se permite que el contador
madas salidas eq[ ]. Cada una de estas salidas cuente ciclos de reloj.
se pone a "1" cuando el valor del conteo pre-
Uniendo la salida eq1[9] a la entrada de
sente en las salidas de datos q[ ] coincide con
"clk_en" del contador 2, logra que cada
el nmero que las designa. Por ejemplo: la
vez que el contador 1 pasa de "9" a "0", el
salida eq[9], se pone a "1" cada vez que las
contador 2 cuente un evento.
salidas de datos llegan a "1001". Cuando,
luego del siguiente ciclo de reloj, pasan a Si se saca una seal de eq2[9], se obtiene
"0000", la salida vuelve a "0". una onda cuadrada 10 veces ms lenta
que la salida eq1[9] y, como sta ya era 10
Para el primer contador, entonces, cada 10 veces ms lenta que "reloj", hasta aqu se
ciclos del reloj de entrada, en eq1[9] se cuen- ha dividido por 100.
ta con una onda cuadrada de perodo 10 veces Para dividir ahora por 1000, los alumnos
menor que la seal de entrada "reloj" o -lo que conectan el tercer contador. Se aseguran
es lo mismo- dividido en frecuencia por 10. que ste cuente un evento slo cuando se
verifica que los conta-
dores 1 y 2 llegan
simultneamente a "9",
es decir a "99". Por eso
es recomendable usar
una compuerta and de
2 entradas.

La idea, entonces, es la siguiente: Cada entrada and est conectada a una


salida eq[9], a fin de garantizar que el
El primer contador cuenta de "0000" a contador 3 est slo habilitado a contar
"1001" y repite dicho ciclo, indefinida- cuando los anteriores llegan -ambos- al
mente. nmero "9".
Como el segundo contador tiene el reloj
conectado a la misma entrada que el En la siguiente figura vemos una simulacin
primero, ste debe cambiar su cuenta de las salidas eq[9] de los tres primeros con-
cada vez que el primer contador pasa de tadores BCD.
"9" a "0".
Para ello, em-
plea la en-
trada de ha-
bilitacin de
reloj"clk_en".
Cuando hay
un "1" en

138
Los alumnos repiten este proceso
para el cuarto contador, que debe
quedar habilitado para contar slo
cuando los tres primeros llegan,
simultneamente, a "9" -es decir,
para el conteo "999"-. Para esto,
usan otra and; sta permite que se
haga la and total entre las seales
eq1[9], eq2[9] y eq3[9].

Repiten para el resto de los conta-


dores, empleando otras compuer-
tas and adicionales.

Para editar los parmetros de los


contadores, hacen clic en cada uno
de ellos y presionan el botn dere-
cho del mouse. Aparece la ventana Las entradas a utilizar son la seal de reloj
de edicin Edit Ports/Parameters. clock, la seal de habilitacin de conteo
clock_en y una entrada denominada updown
En las siguientes figuras pueden verse los que permite definir si se va a contar en forma
parmetros que los alumnos han considera- ascendente o descendente (Esta seal puede
do: anularse en la ventana de Edit
Ports/Parameters...; pero, el profesor les pro-
pone mantenerla, porque va a ser
usada en el prximo proyecto).

Conectan updown a Vcc ("1" lgi-


co) para que cuente siempre en
forma progresiva o ascendente.

Los alumnos no utilizan las salidas


q[ ] de los contadores; como slo
les interesa implementar un divisor
de frecuencias, emplean las salidas
para la deteccin de un conteo
especfico que es el nmero "9".

La salida final del divisor es la


eq6[9] (ltimo contador).

139
A continuacin, los alumnos compilan.
A continuacin, Explicamos en detalle Como no han tenido errores, inician la simu-
realizan las unio- este proceso, en el lacin para comprobar que, funcionalmente,
nes entre compo- ejemplo de diseo de su diseo responde a lo esperado.
nentes. un decodificador BCD
a 7 segmentos.
Las asignaciones que se muestran en las figu-
Una vez que el cir- ras son tales que:
cuito est dibujado, realizan la asignacin de
dispositivo (EPM7128SCL84) dentro de la El reloj est conectado al pin 83
familia MAX7000S y de los pines: del chip; ste va al oscilador de
cristal va el Jumper J7.
El enable est conectado al inte-
rruptor de la placa de experi-
mentacin nmero 1 denominada
"ENABLE".
La salida eq6[9] -que es la que se
ha dividido por 1.000.000- est
conectada al pin 75 del chip para
que termine en el conector BNC 1
de la placa de experimentacin
nmero 3.
La salida eq1[9] que divide por 10,
est conectada al BNC 2 de la
placa.
Las otras salidas, eq2[9] , eq3[9] ,
eq4[9] y eq5[9], se envan al
conector nmero 2 a los pines 2,
3, 4 y 5, respectivamente.

En las siguientes figuras se presen-


tan extractos del archivo de reporte
que muestran cmo ha quedado
configurado el chip y la cantidad de
lgica empleada.

140
141
142
En las siguientes figuras podemos ver Por su parte, el contador de 2 dgitos
algunos grficos de simulaciones hechas de puede ser replicado del divisor de
este divisor. Se han simulado slo las salidas frecuencias (segundo proyecto), ya que est
correspondientes al tercer y quinto contador: formado, bsicamente, por los dos primeros
contadores BCD
de dicho divi-
sor. Cada una
de las salidas de
estos contado-
res va a la entra-
da de uno de los
decodificadores
BCD a 7 seg-
mentos. Sus sa-
lidas van a
las entradas de
los drivers -
manejadores
de corriente-
ULN2803 (U1 y
U2) de los dis-
plays D1 y D2
ubicados en la
placa de experi-
mentacin n-
mero 1.
Proyecto 3: Contador de 2 dgitos
BCD con entrada de reloj externa al Sin embargo, existe una diferencia de conex-
equipo in: ahora, el reloj es externo al entrenador,
por lo que dicha seal debe ser inyectada
desde el conector nmero 2 de la placa prin-
Este proyecto consta de dos contadores BCD
cipal (pin 17 del DB25), donde se coloca el
y dos decodificadores BCD a 7 segmentos, a
jumper J7 de tal forma que la conexin
fin de poder implementar un contador de 2
quede fijada con el pin 83 del EPM7128
dgitos BCD con visualizacin en 2 displays
(GCLK1).
tipo LED de 7 segmentos.
El grupo de alumnos llama "contador2-
Como los estudiantes ya han diseado el
dig1.gdf" a este proyecto.
decodificador BCD a 7 segmentos en el
primer proyecto, lo nico que necesitan es
El esquema del circuito es:
duplicarlo, haciendo Copy y Paste.

143
144
Para desarrollar este proyecto, apelan a los Paso seguido, como antes, los alumnos asig-
archivos "divisorfrec.gdf" y "decobcda7s.gdf", nan al proyecto el dispositivo
y hacen Copy y Paste, a fin de copiar el EPM7128SLC84 y, luego, los pines a
decodificador y parte del circuito del divisor emplear:
de frecuencias.

Como necesitan dos decodifi-


cadores, una vez que copian "con-
tador2dig1.gdf" a la hoja de traba-
jo, vuelven a hacer un Copy y
Paste para obtener el segundo.

Por otro lado, sacan el circuito de


los dos contadores BCD del cir-
cuito del divisor de frecuencias,
borrando lo que no corresponde,
es decir, los 4 ltimos flip-flops.

Las entradas a este proyecto son:


enable, reloj y up-down -habili-
tacin de reloj, reloj y control de
conteo progresivo-regresivo, res-
pectivamente):

Asocian enable al interruptor


de enable de la placa de experi-
mentacin nmero 1.
Hacen lo mismo con la entra-
da up-down y con el interrup-
tor up-down.
Toman el reloj del conector
nmero 2, pin 17, de la placa
principal, donde ajustan con-
venientemente J7.
Envian las salidas de los
decodificadores (14 en total) a
los displays de 7 segmentos
de la placa de experi-
mentacin nmero 1.

145
Una vez hecha las asignaciones, el grupo de Las siguientes figuras muestran extractos del
alumnos compila y simula. archivo de reporte de la compilacin realizada:

146
147
Para la simulacin, abren un nuevo archivo las seales de entrada enable, reloj y up-
denominado "contador2dig1.scf". En l car- down;
gan:
las 14 salidas a1 hasta g1 y a2 hasta g2.

Vemos una simulacin en la que se marca un instante en nivel alto y los dems en bajo.
de tiempos que corresponde al nmero de cuenta "20".
Para el "0" (unidades), todos los segmentos menos g1
Esto se corresponde con los niveles lgicos que estn en alto.
adquieren las salidas de 7 segmentos. Para el nmero
"2" (decenas) los segmentos a2, b2, d2, e2 y g2, estn Estos valores se pueden ver en la columna "Value".

La siguiente figura muestra


otro momento del conteo.
Aqu se marca el que
corresponde al nmero "07":

Para la programacin los


alumnos emplean, como
antes, el archivo "conta-
dor2dig1.pof", habiendo se-
leccionado como dispositi-
vo el EPM7128, previa-
mente.

148
Proyecto 4: Contador de 2 dgitos Con esto no es necesario disponer de una
seal externa al entrenador para manejar el
BCD con divisor de frecuencias
conteo.
incorporado
La diferencia en las asignaciones de pines
Este proyecto es similar al anterior, con la respecto a los otros proyectos radica en que,
diferencia de que en el chip se implementa ahora, tanto la entrada al contador como la
tanto el contador de 2 dgitos como el divisor salida del bloque divisor de frecuencias son
de frecuencias. seales internas al chip EPM7128.

De esta manera, la seal de reloj que recibe el Este proyecto se llama "contador2dig2.gdf".
contador proviene del oscilador a cristal,
dividiendo dicha frecuencia de 4 MHz por En las siguientes figuras vemos el esquema
1.000.000. propuesto por los alumnos y algunas amplia-
ciones.

149
150
151
En este caso, los estudiantes han sacado las El grupo de los dos contadores BCD recibe
seales internas del divisor de frecuencias esa seal dividida por 1.000.000.
que les permitan ver cmo se iba dividiendo
la seal de reloj de entrada. Slo dejaron la Las asignaciones para las salidas de 7 seg-
salida del sexto flip-flop que, internamente, mentos siguen siendo las mismas que para el
se conecta a la entrada de reloj de los dos proyecto anterior, al igual que las entradas
contadores BCD; es decir, la entrada de reloj enable, reloj y up-down.
proveniente del oscilador de cristal a travs
del jumper J7 va directo a la entrada de reloj En las siguientes figuras vemos extractos del
del divisor. reporte, una vez hecha la compilacin.

152
153
En este caso, las simulaciones son similares a los dos casos anteriores, por lo que no las repetimos.

Proyecto 5: Sumador binario sin datab con salidas result y cout.


signo de 4 bits
dataa y datab son dos nmeros binarios
sin signo, de 4 bits, que pueden ir desde
"0000" (0 en notacin decimal) hasta
Los alumnos quieren saber cmo funciona un "1111" (15 en notacin decimal). Estos
sumador de nmeros binarios sin signo, de 4
bits.
nmeros son ajustados desde la placa de
experimentacin nmero 3, empleando
Para ello, lo implementan en el entrenador, los DIP-Switches SW1 (para dataa) y
empleando 8 llaves que permiten entrar los SW2 (para datab).
datos de los nmeros A y B (4 llaves para cada
nmero ) y 4 diodos emisores de luz para La salida de datos de 4 bits result se enva
visualizar el resultado de la suma. -a travs del integrado U1 de la misma
placa- a los 4 diodos led de color rojo
Adicionalmente, agregan otro led que indica
que existe overflow -sobrecarga-; es decir, (D1 a D4). D1 es el bit menos significati-
cundo se han excedido en la capacidad de vo (LSB) y D4 el bit ms significativo
representar un nmero de 4 bits. (MSB).
La salida cout se manda al diodo led D8
(color verde). Es una indicacin de over-
flow -desborde- que seala una suma que
Disean, entonces, un sumador de nmeros supera los 4 bits (nmeros mayores a 15
binarios sin signo de dos operandos dataa y en decimal).

154
Para realizar el diseo, los estudiantes crean
un proyecto denominado "sumador4bits.gdf":

Entran, sucesivamente, a File Project


Name.
En File New, crean un nuevo archivo,
en modo grfico, de extensin "gdf". Lo
salvan como "sumador4bits.gdf".
En Symbol Enter symbol eligen la
opcin "mega_lpm" donde seleccionan
"lpm_add_sub", que es un modelo
parametrizado de un circuito sumador-
restador.

Como se trata de un circuito genrico, tienen


que especificarlo.

Este componente add_sub es un sumador-


restador de "n" bits, que puede sumar dos
nmeros binarios con o sin signo, segn
La primera vez30 que los estudiantes acceden cmo se lo programe.
a un smbolo de una librera parametrizada,
se abre automticamente una ventana de Por defecto, viene armado para realizar
dilogo, mostrando los parmetros que se operaciones con signo; pero, para desarrollar
pueden modificar. su proyecto, los estudiantes lo cambian a "sin
signo".
30En cualquier otro momento, marcando el smbolo parame-
trizado, aparece sealado con rojo. Haciendo clic con el
botn derecho se despliega un men en el que es posible
En la parte superior de la ventana de Edit
elegir la opcin Edit Ports/Parameters... Ports/Parameters... se puede ver una lista.

155
Con el ttulo Name se encuentran todas las
lneas de entrada y salida del componente.

En Status se indica si se usa -Used- o no -


Unused- esa seal. Esto se puede modificar,
haciendo clic en una seal dada y seleccio-
nando en Port status: Used o Unused.

Los alumnos indican Used para las seales:

cout -salida de indicacin de overflow;


desborde-,
dataa[......] -dato de entrada del operan-
do "a"-,
datab[.....] -dato de entrada del operando
"b"-,
result[.....] -dato de salida-.

En la ventana inferior, cambian:

"LPM_REPRESENTATION" a "UNSIGNED"
LPM_WIDTH a "4".

Dejan los dems datos een none -ninguno-.


Finalmente, realizan la compilacin y, por
Como en los ejemplos anteriores, agregan los ltimo, la programacin empleando el archi-
pines de entrada de datos de los operandos vo "sumador4bits.pof".
"a" y "b", que llaman dataa[3..0] y
datab[3..0], respectivamente; tambin, la De esta manera, queda configurado el chip
salidas de desborde cout y la de datos para que la entrada "a" provenga del DIP-
result[3..0]. Switch SW1 y la entrada "b" del SW2 de la
placa de experimentacin nmero 3.
En Assign Device, seleccionan la opcin
del EPM7128SLC84-6 La salida de datos de 4 bits va a travs del
integrado U1 de la misma placa a los 4 led de
Luego, en Pin/Location/Chip asignan los pi- color rojo "D1" a "D4", mientras que la sali-
nes: da de overflow denominada cout comanda al
led verde "D8".

156
En las siguientes figuras vemos extractos del los que es posible verificar que las asigna-
archivo de reporte "sumador4bits.rpt" desde ciones estn correctas.

157
158
Para realizar la simu-
lacin, crean un archi-
vo "sumador4bits.scf"
al que cargan las
seales a emplear a
travs del men Node
en la opcin Enter
nodes from SNF
(Recuerde los pasos
que puntualizamos al
describir el software).

En la siguiente figura
se muestra una corri-
da de la simulacin
del sumador

Aqu, agrupan los bits


de datos de las en-
tradas dataa y datab,
y de la salida result.

Para este caso, dejan el dato de datab cons-


tante e igual a "7"; a la entrada dataa asignan
datos variables, cambiando cada 100 ms,
desde el nmero "1", con incrementos de a
uno por vez.

Una propuesta que usted puede


implementar con sus alumnos es
modificar el circuito para que realice una
operacin de suma de nmeros "con
signo". Para ello, es necesario cambiar el
parmetro "LPM_REPRESENTATION" a
"SIGNED".

159
Proyecto 6: Circuito monoestable un ciclo de reloj (durante 250 ns) y, luego,
dicha salida va nuevamente a "0" lgico.
disparado por flanco ascendente
De esta manera, lo que los alumnos logran es
ste es un ejemplo de cmo se puede con-
un detector de flancos de seal "positivos" o
struir un circuito monoestable totalmente
"ascendentes" y lo registran con un pulso
digital sin resistencia ni condensadores,
"positivo" (de 0" va a "1" y vuelve a "0", luego
como los que tienen que usarse en los chip
de un perodo de reloj). Esto se denomina
de lgica estndar 74LS221, MC14528 o
monoestable disparado por flanco positivo.
similares.
Para la implementacin, el grupo de alumnos crea
La ventaja principal es la de implementarlo
un proyecto denominado "monoestable.gdf": y
dentro del chip sin requerir de componentes
abre un nuevo archivo de trabajo que salvan
externos adicionales. Los pulsos as genera-
con el mismo nombre.
dos son de gran estabilidad en el tiempo, si
se emplea un reloj a base de cristal de cuar-
El circuito que van a implementar es el si-
zo; esto no ocurre al emplear componentes
guiente:
como resistencias y capacitores, cuyos coefi-
cientes de temperatura son de varios cientos
de partes por milln por grado centgrado.

El circuito est formado por dos fli-flop tipo


D y compuertas.

Tiene una entrada de datos "X" y una salida


de datos 2salida_m".

Es un circuito secuencial, ya que consta de


dos elementos de memoria (los flip-flops
mencionados) y, por lo tanto, trabaja con una
seal de reloj que, en este caso, proviene del
pin 83 del EPM7128, el que se conecta al
oscilador a cristal de la placa principal va el
jumper J7.

De esta manera, en cada perodo del reloj de


4 MHz (cada 250 nanosegundos), el circuito
va a muestrear la entrada "X". Cuando detec-
ta que esta seal cambia de "0" lgico a "1"
lgico, pone la salida "salida_m" (hasta el
momento, en "0" lgico) a "1" lgico durante

160
161
Est formado por dos flip-
flops tipo D que seleccio-
nan de la librera prim den-
tro del men Symbol en la
opcin Enter symbol con el
nombre de dffe.

El resto de los compo-


nentes son compuertas
bsicas, que los alumnos
tambin obtienen en esa
misma librera:

compuertas and de 2
entradas con el nombre
de "and2",
inversores con el nom-
bre de "not",
una nor de 3 entradas como "nor3" y Compilan y, luego, realizan la programacin
empleando el archivo "monoestable.pof".
una compuerta or-exclusiva como "xor".

De forma anloga a como lo hicieron en los


proyectos anteriores, seleccionan el chip
EPM7128 y le asignan los pines:

162
163
En este caso,
realizan las si-
mulaciones
generando un
a r c h i v o
"monoestable.scf"
y seleccionando
-desde el men
Node, en la op-
cin Enter Nodes
from SNF- las
seales X, reloj,
nreset y sali-
da_m.

Veamos una si-


mulacin en la
que se hace un
zoom para ana-
lizar con ms de-
tenimiento los
pulsos generados
a la salida, cuan-
do aparece un
flanco positivo
en la entrada:

164
los alumnos el problema de
cmo es posible integrar en
un solo chip varios proyec-
tos realizados en forma se-
parada, comprobando hasta
dnde llega la flexibilidad
del diseo lgico cuando se
emplea este tipo de tec-
nologa, cmo se reduce
Se puede observar claramente cmo el pulso drsticamente el tiempo de diseo y cmo se
generado dura un solo ciclo de reloj, al ser supera la dificultad de tener que replantear
detectado el flanco ascendente en "X". todo de nuevo cada vez que es necesario
realizar un proyecto diferente.
Para este caso -como se trata de una simu-
lacin-, los alumnos cambian el reloj a En este caso, los alumnos crean un nuevo
200 ns de perodo, en lugar de los 250 ns proyecto "tresproyectos.gdf", en el que co-
que corresponderan al reloj de 4 MHz. Para pian los esquemticos de los tres proyectos
esto, se posicionan sobre el smbolo de la anteriores tal como estn definidos -es decir,
seal reloj y presionan el botn derecho del manteniendo sus asignaciones-.
mouse para seleccionar las opciones
overwrite clock. La manera ms fcil de realizarlo es partir del
proyecto del contador con divisor incorpora-
do, al que agregan los circuitos del sumador
Sus alumnos pueden modificar el cir- y del monoestable mediante Copy y Paste.
cuito para que se dispare con el flanco
negativo (lo que se logra, simplemente, Luego, realizan las asignaciones a los pines
negando la entrada "X".
faltantes, compilan el proyecto y programan
Una segunda propuesta es que modifiquen el el chip.
circuito para que, adems, el pulso de salida
al detectarse dicho flanco, sea "negativo", es
decir, est normalmente en "1" y cambie a "0", Si prevemos que no haya superposi-
slo durante un ciclo de reloj (Para esto, cin de uso en los pines de entrada y
necesitaran incluir otro negador ms a salida, podemos mantener las mismas asigna-
la salida del circuito anterior). ciones.
Para otros casos que implementemos, verifi-
camos que no haya dobles asignaciones. De
todas maneras, si existe alguna incompatibili-
Proyecto 7: Combinacin de los dad, el compilador va a darnos un mensaje de
proyectos 4, 5 y 6 en un mismo error.
diseo Pero, lo que el compilador no puede saber es
qu es lo que le conectamos a cada
uno de los pines del chip.
Este proyecto tiene como finalidad plantear a

165
Proyecto 8: Uso del entrenador
como herramienta de anlisis de
circuitos
Hasta aqu hemos planteado el empleo del
equipo para realizar diseos de circuitos di-
gitales.

Existe, adems, una variante que es la de usar


el software de simulacin temporal del
MAX+PLUS II como una herramienta de
entrenamiento para el anlisis de circuitos; es
decir, para conocer el funcionamiento de un
circuito mediante su simulacin temporal a
travs de la excitacin de las entradas y del
posterior anlisis de la evolucin de las sali-
das.

Esta posibilidad es vlida tanto para analizar


cmo funciona algo tan elemental como una
compuerta and o algo un poco ms comple-
jo como un flip-flop tipo "D", como para
indagar en circuitos ms complejos como
contadores, registro de desplazamiento e,
inclusive, microprocesadores.

Veamos cmo hacerlo, por ejemplo, con un


circuito secuencial formado por dos flip-flop
tipo "D" y 3 compuertas; las entradas son
"X", "Y", "CLK" y las salidas "W" y "Z".

Mediante la simulacin vamos a determinar


su funcionamiento y, por lo tanto, a saber
qu es o, lo que es lo mismo, qu hace.

El proyecto se llama "anlisis.gdf".

El esquema del circuito:

166
167
Dibujamos el circuito empleando la librera Antes de simular, consideramos las variables
de smbolos prim; en ella: en juego. En este caso, son "X", "Y", "CLK"
como entradas, y "W" y "Z" como salidas.
el flip-flop corresponde al smbolo dffe,
Por comodidad, cambiamos el tiempo final
el inversor a not,
de simulacin de 1.0 s -que es en defecto- a
las compuertas or-exclusiva a xor. 10.0 s.

Para realizar el anlisis, corresponde hacer A partir del esquema, inferimos que se trata
una compilacin funcional; en ella no es de un circuito secuencial sincrnico, ya que
importante asignar un dispositivo o pines al las entradas de reloj de los flip-flop estn
proyecto, ya que no lo vamos a sintetizar sino unidas entre s y provienen de una entrada
slo a analizar para saber cmo funciona. comn. Por otra parte, la entrada "Y" est
conectada a las entradas de habili-
tacin de reloj de cada flip-flop.

Desde el men que se despliega al


presionar el botn derecho del
mouse sobre el nombre CLK,
generamos el reloj con 200 ns de
perodo.

Elegimos Overwrite clock. Al hacer


clic, aparece una ventana que nos
permite concretar el cambio de
perodo.

Luego de esto, presionamos start en la ven-


tana de compilacin. Al terminar, aparece un
cartel que informa el proceso desarrollado;
aqu, los mensajes de warning slo indican
que el usuario no especific el dispositivo a Ponemos a "Y" siempre en "1", para habilitar
utilizar y, por lo tanto, lo hizo el compilador a los flip-flop a que cuenten.
eligiendo el EPM7032 -que es el ms chico
de la serie MAX7000S-. La entrada que queda es "X", una incgnita.
Podemos empezar a probar, dejndola la
Ya estamos listos para realizar la simulacin. mitad del tiempo en"0" y el resto en "1".
Creamos un nuevo archivo con extensin
"scf" y lo salvamos como "anlisis.scf". Con todos los elementos definidos, corremos
la simulacin.

168
botn derecho del mouse, se despliega un
men donde aparece la opcin Enter group.
En la ventana que aparece, damos el nombre
de salidas al nuevo grfico y seleccionamos la
opcin Hex -formato hexadecimal-.

Y obtenemos un grfico:

Aparece,
entonces,
el grfico
de "sali-
das" en
lugar de
"W" y "Z".
Observamos
que la salida
"W" es una
onda cuadra-
da de mitad
de frecuencia
que el reloj
de entrada y
que "Z" es,
tambin, una
onda cuadra-
da pero de mitad de frecuencia que "W". Como no se ve ninguna numeracin, hace-
mos un zoom y nos centramos alrededor del
Podemos agrupar las dos salidas en una sola cambio de "X" cuando pasa de "0" a "1", para
grfica. Haciendo clic sobre "Z" y arrastrando analizar los grficos.
hasta "W",
quedan
ambos gr-
ficos en
"negro".
Haciendo
clic con el

169
En este grfico podemos apreciar que las sa- Verifique que la fuente de alimentacin
lidas desde el inicio de la simulacin hasta de 12 V de continua tenga el positivo en
que "X" cambia, van tomando valores "0", el centro del conector. Porque, si no es
"1", "2" y "3", que se repiten cclicamente. as, se aplica tensin inversa a la plaque-
ta principal; y, si bien est incluido el
Al cambiar "X" a "1", las salidas toman va- diodo 1N4007 como proteccin ante una
lores en sentido contrario, es decir desde "3", inversin de polaridad, es recomendable
"2", "1" y "0", volviendo a repetir este patrn. que no deje de efectuar esta prueba.
Alimente el equipo con una batera de
Como conclusin:
9 V de continua. Aplquela respetando la
polaridad que corresponda -consideran-
El circuito analizado es un contador bina-
do la misma advertencia que antes-.
rio de 2 bits, que tiene una entrada de Considere que, si los proyectos incluyen
control "X" que, cuando es "0", hace que el diodos led y displays, stos consumen
contador cuente en forma progresiva; y, alrededor de 10 mA por cada segmento,
cuando "X" es "1", cambia a conteo regre-
con lo cual puede disminuir rpidamente
sivo.
el tiempo de vida til de la batera.
Respete la posicin relativa entre el chip
y el zcalo PLCC, al realizar el montaje.
La superacin de dificultades
En la etapa de instalacin del software:
En estos ltimos prrafos es nuestra inten-
cin plantearle algunas recomendaciones, Recuerde que es necesario pedir la licen-
que se agregan a las indicaciones que le cia a la empresa proveedora y que
hemos acercado para cada etapa de diseo y recibir por e-mail un archivo de licencia
desarrollo del entrenador. a ser insertado en el programa. Como
esta licencia sirve slo para el programa
En la etapa de armado de las placas: que sea instalado en el disco rgido cuyo
nmero de serie coincide con la licencia,
Verifique que todas las conexiones de ali- va a tener que repetir este procedimiento
mentacin sean las correctas, antes de para usar el programa en otra PC.
insertar el chip.
Verifique que todas las vas (interco- En la etapa de desarrollo de los proyectos:
nexiones entre pistas de ambos lados)
estn bien soldadas. Es extremadamente importante que veri-
Realice la prueba de alimentacin antes fique que las asignaciones de pines en el
de colocar el EPM7128 y el oscilador a EPM7128 sean las correctas. Si un pin
cristal. asignado como salida se conecta a la sa-

170
lida de otro componente, el chip puede sentado aquellas bsicas para poder
daarse permanentemente. realizar los proyectos propuestos.
Considere que la gran flexibilidad de
estos dispositivos permite configurar los
pines como entrada-salida; pero, tenga
en cuenta que el software no puede pre-
ver qu es lo que el usuario va a conectar
ni dnde lo har.
No aplique cargas mayores a 1 mA (un
miliampere) a los pines del chip configu-
rados como salida. Por esta razn, en los
ejemplos de control de diodos led se
emplean los integrados ULN2803, ya que
stos s estn preparados para manejar
grandes cargas.
Tenga en cuenta que el programa
MAX+PLUS II tiene un archivo de ayuda
-Help- muy poderoso, que presta gran
ayuda en cuanto a las dudas que puedan
surgir en el momento del diseo.
Prevea que el
programa con- Sus alumnos pueden
tiene todas las utilizar la opcin de
herramientas ayuda -Help, en el
de desarrollo men principal-, si
estn interesados en
que ofrece la ahondar ms sobre la
empresa para lgica programada.
su lnea de pro-
ductos de lgica programada, pero que la
licencia gratuita da posibilidad de editar,
simular y programar slo ciertos disposi-
tivos. La lista de stos y las autorizaciones
correspondientes a qu operaciones se
pueden realizar, estn visibles en el men
Option License Setup. El programa
empleado para el diseo del chip
EPM7128 de nuestro equipo contiene
muchas ms herramientas de las que
hemos detallado aqu; slo hemos pre-

171
5. LA PUESTA EN PRCTICA

Esta parte final de nuestro mdulo de capa- gantes o dificultades especficas con relacin
citacin contiene un cuadernillo para la eva- a la construccin del recurso didctico, a las
luacin del recurso didctico que le presen- actividades de aula, a los contenidos cient-
tamos y, de las experiencias didcticas y con- ficos y tecnolgicos, a la metodologa de
tenidos propuestos a partir de l: enseanza, a los procedimientos incluidos, a
la informacin sobre materiales y a otros
Esta evaluacin tiene dos finalidades: aspectos.

Brindarle a usted, como docente que uti- Dada la importancia que esta informacin de
liza este material, la oportunidad de do- retorno tiene para nuestro trabajo de
cumentar el seguimiento de las activi- seguimiento, mejora y actualizacin, le
dades que realice con sus alumnos, a par- agradecemos que nos remita el cuadernillo
tir de nuestras propuestas y, en funcin con todas las observaciones, comentarios o
de esta memoria de acciones, propiciar sugerencias adicionales que nos quiera hacer
una reflexin acerca de los cambios, llegar. Para ello puede remitirnos una copia,
mejoras o enriquecimiento de su propia a travs de correo postal, a
tarea de enseanza.
rea de Monitoreo y Evaluacin CeNET
Obtener de su parte, como usuario de Oficina 112
este material, informacin sobre todos Saavedra 789. C1229ACE.
los aspectos en torno a los cuales gira la Ciudad Autnoma de Buenos Aires.
propuesta. Repblica Argentina.

Para este relevamiento de informacin, usted O, si lo prefiere, solicitarnos el archivo elec-


encontrar, a continuacin, una serie de trnico de las pginas que siguen a
cuestionarios organizados bsicamente en evcenet@inet.edu.ar, envindonos la versin
tablas o matrices para completar. Con los digitalizada de sus respuestas a travs del
datos que usted exprese en ellos esperamos mismo correo electrnico.
tener una realimentacin que nos permita
mejorar todos los componentes de la serie de
publicaciones Recursos didcticos y
enriquecerla con propuestas o docu-
mentacin complementaria para aquellos
docentes que planteen iniciativas, interro- Desde ya, muchas gracias.

172
Identificacin del material:
Las dimensiones que se consideran para la evaluacin del mdulo de capacitacin y del
recurso didctico son:

1. Nivel educativo 5. Documentacin


2. Contenidos cientficos y tecnolgicos 6. Otras caractersticas del recurso didctico
3. Componentes didcticos 7. Otras caractersticas del material terico
4. Recurso didctico 8. Propuestas o nuevas ideas

1. Nivel educativo en el que trabaj el material:

Nivel educativo EGB EGB Polimodal Escuela tcnica (*) Trayecto tcnico- Formacin Otra (*)
2 3 (*) profesional (*) profesional (*)
1 2 3 1 2 3 4 5 6
Nivel en el que
usted lo utiliz

Asignatura/espacio curricular en el que usted lo utiliz:

(*) Por favor, indique la modalidad, la orientacin, la especialidad, etc.

2. Contenidos cientficos y tecnolgicos trabajados:

La puesta en prctica I
3. Componentes didcticos:

3.1. Testimonios (situaciones problemticas) presentados en el material


S No Otro1
a. Le resultaron motivadores para iniciar las actividades propuestas?
b. Le facilitaron el desarrollo de contenidos curriculares que usted
tena previstos?
c. A su criterio, estn vinculados con el recurso didctico que se le
propone desarrollar?
d. Le facilitan la organizacin de situaciones didcticas para el tra-
bajo de los contenidos cientficos y tecnolgicos propuestos?
e. El nivel de las situaciones problemticas que se plantean, es el
adecuado al nivel educativo para el que est previsto?
f. En caso negativo, permiten adecuaciones para ser trabajados en
el nivel educativo de sus alumnos o en otro nivel educativo?
g. Los testimonios iniciales, permiten generar diferentes soluciones
(soluciones tecnolgicas o didcticas)?
En caso que su respuesta sea negativa (en cualquier tem), le pedimos que nos indique por
qu (seale el nmero del tem a que corresponde su comentario)

Otro (indique el tem al que corresponde el comentario):

1 Utilice esta opcin para indicar que agregar comentarios al final de este sector de la matriz.

II La puesta en prctica
3.2. Estrategias

A partir de la utilizacin de las propuestas de trabajo en el aula contenidas en el material y


del recurso didctico con el que se asocian, le solicitamos que nos indique (tomando como
referencia su forma de trabajo anterior a disponer del material), cmo resolvi las activida-
des consignadas en la tabla siguiente:

Incorporado3
No aplicado2
3.2.1. Contextualizacin de la estrategia didctica

Mejor
Igual
Con respecto a su forma habitual de trabajo, usted logr:

a. Determinar las capacidades, habilidades, conocimientos previos


necesarios para iniciar las actividades propuestas.
b. Organizar, asociar, relacionar los conocimientos cientficos y tec-
nolgicos para resolver un problema tecnolgico.
c. Recortar (identificar) los contenidos cientficos y tecnolgicos a
trabajar con sus alumnos para el desarrollo de un sistema/produc-
to tecnolgico como el propuesto por el material.
d. Vincular estos conocimientos con los saberes previos de los alum-
nos.
e. Establecer la secuencia adecuada de los contenidos cientficos y
tecnolgicos, y de los procedimientos para generar una solucin
tecnolgica (la propuesta por el material u otra diferente).
f. Organizar una experiencia didctica integrando conocimientos
cientficos y tecnolgicos, metodologa de resolucin de problemas
y procedimientos propios del trabajo tecnolgico.
g. Otras (que haya incorporado o hecho mejor con el recurso).

2 No aplicado: No lo hizo antes ni ahora con este recurso didctico.


3 Incorporado: Integr la estrategia a sus clases a partir de la utilizacin del recurso didctico propuesto.

La puesta en prctica III


Incorporado
No aplicado
3.2.2. Desarrollo de la estrategia didctica

Mejor
Igual
Con respecto a su forma habitual de trabajo, usted logr:

h. Encuadrar la tarea a partir de la formulacin de uno (o varios)


problemas.
i. Explicitar consignas de trabajo que plantean una situacin pro-
blemtica.
j. Organizar las actividades de aprendizaje atendiendo a las etapas
propias de la resolucin de problemas.
k. Utilizar tcnicas de trabajo grupal.
l. Promover el trabajo colaborativo y cooperativo.
m. Otras (que haya incorporado o hecho mejor con el recurso).

Incorporado
No aplicado
3.2.3. Aspectos cognitivos (proceso de aprendizaje de sus alumnos)

Mejor
Igual
Con respecto a su forma habitual de trabajo, usted logr:

n. Estimular a sus alumnos en la bsqueda de informacin e investi-


gacin en torno al problema eje del material.
o. Promover la consulta a variadas fuentes de informacin.
p. Rescatar, incorporar los aportes del grupo para identificar aspectos
o variables crticas del problema.
q. Evaluar los conflictos cognitivos propios del proceso de aprendizaje.
r. Detectar, evaluar, la comprensin asociativa.
s. Promover la reflexin sobre las actividades realizadas y las estrate-
gias utilizadas en cada parte del proceso.
t. Otras (que haya incorporado o hecho mejor con el recurso).

IV La puesta en prctica
4. Recurso didctico:

4.1. Construccin del recurso didctico

Tomando en cuenta la finalidad prevista en el material para el recurso didctico (equipamien-


to o software), le pedimos que nos indique si, a partir de la propuesta contenida en el mate-
rial:

4.1.1. Utiliz:

a. Un equipo ya construido, segn la b. Un software.


propuesta del material.

c. Otro que ya tena disponible d. Ninguno.


(de caractersticas similares).

Si su respuesta fue d. indquenos la razn, por favor:

La puesta en prctica V
4.1.2. Realiz todo el proceso de construccin del recurso didctico con sus
S No
alumnos? (Conteste este apartado en caso de que haya construido un equipo
igual al propuesto. En caso contrario, pase al apartado 5 Documentacin)

4.1.3. En caso de que su respuesta sea afirmativa, le pedimos que nos indique:
S No
a. Pudo seguir sin dificultades los procedimientos indicados en el Manual de
construccin?
b. La secuencia indicada, fue la adecuada para la construccin?
c. El grado de complejidad, fue el apropiado para el nivel educativo a que se
dirige el recurso?
d. Los contenidos cientficos asociados, son pertinentes para el desarrollo del
recurso propuesto?
e. Los contenidos tecnolgicos asociados, son pertinentes para el desarrollo
del recurso propuesto?
f. Con sus alumnos, construy el recurso didctico siguiendo el proceso y la
metodologa de resolucin de problemas?
g. Sigui todos los procedimientos propuestos para la construccin pero
incorpor sus propios contenidos cientficos y tecnolgicos?
h. Por el contrario, hizo adaptaciones en los procedimientos de construccin
pero mantuvo los mismos contenidos?
i. Realiz la construccin siguiendo las actividades de aula propuestas en el
material?
j. Dise sus propias experiencias en funcin de su grupo de alumnos?

S No
Complet todas las etapas del proceso de construccin propuesta?

En caso negativo, indquenos a qu fase lleg:

a. Planificacin. b. Diseo en dos dimensiones.

c. Construccin, armado. d. Ensayo y control.

e. Superacin de dificultades (evaluacin del funcionamiento, siguiendo las indica-


ciones y la lista de control que brinda el material).
f. Construccin de otro equipo que se adapta ms a sus necesidades curriculares
(Si marc esta alternativa, lo invitamos a responder, directamente, el apartado 4.1.5.).

VI La puesta en prctica
4.1.4. Complete este tem slo si realiz el proceso de construccin del equipo siguiendo los
procedimientos indicados en el Manual. Si no fue as, lo invitamos a responder el
apartado 4.1.5.

Acerca de los materiales, herramientas e instrumentos:


S No
a. La especificacin de los materiales para la construccin, fue suficiente para
conseguirlos?
b. Utiliz los mismos materiales (en calidad y tipificacin) indicados en la
documentacin?
c. Reemplaz materiales, instrumentos, componentes, piezas, etc., sin alterar
el resultado final previsto en el material?
d. La especificacin de las herramientas a utilizar, le result adecuada?
e. La cantidad de herramientas indicadas, fue la necesaria?
f. Los instrumentos, estuvieron bien especificados?
g. El tipo y cantidad de instrumentos, fueron los adecuados para armar el
recurso didctico?

4.1.5. En caso de que usted haya construido un recurso didctico diferente al propuesto por
el material de capacitacin, le pedimos que nos indique si la razn fue:

a. El propuesto no se ajustaba a sus b. No pudo conseguir los materi-


necesidades curriculares. ales o instrumentos indicados.

c. No pudo interpretar el manual de d. Otra (Por favor, especifquela).


construccin.

La puesta en prctica VII


4.1.6. Qu caractersticas especficas destacara en este recurso didctico diferente al pro-
puesto por el material, que sus alumnos han construido. (Marque todas las opciones
que considere necesarias):

a. Se ajusta mejor a los contenidos b. Es ms econmico.


curriculares que necesita trabajar.

c. Permite su reutilizacin d. Es ms adaptable


(mediante el desarme y armado, en (a diversos usos).
funcin de necesidades didcticas).

e. Otra (Por favor, especifique):

f. Descripcin del recurso didctico construido:

g. Indique las principales diferencias con el equipo propuesto


(estructurales, funcionales, didcticas):

VIII La puesta en prctica


4.2. Utilizacin del recurso didctico

4.2.1. Cmo utiliz el recurso didctico (hecho por usted o ya construido), en las experien-
cias didcticas que concret? (Puede marcar todas las opciones que crea necesarias)

a. Aprovechando todo el proceso y la b. Aplicndolo (como algo ya comple-


secuencia de construccin pro- to) a la solucin de problemas dife-
puestos en el material. rentes al propuesto en el material.

c. Utilizndolo como un sistema tecnolgico (ya construido) en las funciones para


las que est pensado (manejo de las variables, control de operaciones, etc.).

d. Otra (Por favor, especifique):

La puesta en prctica IX
4.2.2. Ya sea que haya desarrollado el recurso didctico con sus alumnos segn las especifi-
caciones del material, ya sea que haya construido otro diferente o que haya utilizado
un equipo ya construido, en relacin con las actividades que usted vena realizando,
la utilizacin del recurso didctico propuesto por el material le permiti (seleccione la
opcin que coincida con sus experiencias):

No aplicable4
Con respecto a su forma habitual de trabajo, este recurso didctico le

Mejor
Igual

Otro5
permiti a usted, como docente:

a. Integrar contenidos cientficos y tecnolgicos en la solucin de situa-


ciones problemticas de carcter tecnolgico.
b. Disear situaciones de enseanza y de aprendizaje centradas en la
resolucin de problemas tecnolgicos.
c. Planificar y promover en sus alumnos la organizacin del trabajo
(planificacin y secuenciacin de tareas), segn el proceso tecnolgico.
d. Favorecer la identificacin de aspectos o variables crticas de una
situacin problemtica.
e. Organizar las actividades de manera que facilite la toma de decisiones
por parte de los alumnos (determinacin y seleccin de alternativas,
opciones de diseo, materiales, etc.).
f. Organizar la actividad de sus alumnos en funcin de soluciones
diversas a los problemas planteados.
g. Agregue otras que usted considere haber logrado de una mejor manera con este recurso
didctico

4 NA: No aplicable; es una actividad que no realiz antes ni ahora.


5 Otro: Recuerde utilizar esta opcin para indicar que agregar comentarios al final de este sector de la tabla.

X La puesta en prctica
No aplicable
Con respecto a su forma habitual de trabajo, este recurso le permiti a

Mejor
Igual

Otro
los alumnos (habilidades intelectuales):

Capacidad de planificar
h. Identificar variables o aspectos fundamentales de un problema tec-
nolgico.
i. Organizar su trabajo en etapas (identificar y seguir la secuencia de
operaciones de un proceso).
j. Ejecutar las actividades en los plazos o etapas previstas.
k. Seleccionar materiales, herramientas y piezas, de acuerdo con las
necesidades del diseo.
l. Anticipar y resolver dificultades que podran surgir en el proceso.
m. Prever puntos crticos de todo el proceso.
n. Agregue otras que considere que sus alumnos alcanzaron mejor con este recurso didctico

La puesta en prctica XI
No aplicable
Capacidad para tomar decisiones

Mejor
Igual

Otro
o. Analizar alternativas en funcin de un problema.
p. Seleccionar alternativas en funcin de las restricciones planteadas
en el problema, o en el contexto de enseanza y de aprendizaje.
q. Adecuar la propuesta para la solucin del problema planteado.
r. Agregue otras que considere que sus alumnos alcanzaron mejor con este recurso didctico

XII La puesta en prctica


No aplicable
Capacidad de aplicar y transferir

Mejor
Igual

Otro
s. Interrelacionar los datos, tcnicas y procedimientos en el diseo de
la solucin.
t. Utilizar tcnicas de representacin adecuadas al equipo que se
construye o en el ya construido que se utiliza.
u. Integrar los conocimientos cientficos y tecnolgicos en los
momentos pertinentes para el diseo de la solucin.
v. Relacionar, ensamblar componentes en la secuencia adecuada.
w. Utilizar de manera correcta la simbologa y los lenguajes propios de
la tecnologa (representacin grfica, simblica, etc.).
x. Transferir conocimientos cientficos y tecnolgicos en otras activi-
dades similares.
y. Agregue otras que considere que sus alumnos alcanzaron mejor con este recurso didctico

Otro (Por favor, exprese aqu los comentarios que tenga, identificando el tem con la letra que
corresponda):

La puesta en prctica XIII


5. Documentacin (Material terico, manual de procedimientos y propuestas didcticas):

5.1. Cmo calificara los aportes del material recibido (encuadre y desarrollo terico, y expe-
riencias propuestas para el aula)?
6
MV V PV
a. Por su potencialidad didctica (sugerencias, propuestas de trabajo en el
aula, papel motivador, etc.).
b. Para sus necesidades curriculares (desarrollo de los contenidos y experien-
cias previstas en su planificacin).
c. Para organizar, planificar, concretar experiencias didcticas relacionadas
con problemas de Educacin Tecnolgica.
d. Para renovar, actualizar, ampliar (subraye el que se ajusta ms a su expe-
riencia) los contenidos que desarrolla en su rea/ disciplina.
e. Para trabajar conocimientos cientficos y tecnolgicos de manera asociada
a un problema tecnolgico.
f. Para organizar experiencias de aprendizaje en torno a la utilizacin de
recursos didcticos.
g. Para utilizar un recurso didctico en el marco de experiencias didcticas
organizadas en funcin de la resolucin de problemas.
h. Para integrar mejor contenidos cientficos y tecnolgicos en la solucin
de problemas de carcter tecnolgico.
i. Para estimular la generacin creativa de otros recursos didcticos.
Otras (Especifquelas, por favor)

6 Escala= MV: Muy valioso / V: Valioso / PV: Poco valioso

XIV La puesta en prctica


5.2. Manual de procedimientos para la construccin y el funcionamiento
del recurso didctico

En caso de que haya seguido los procedimientos contenidos en el Manual (ya sea para hacer
un equipo igual o uno diferente al propuesto), le pedimos nos indique si:
S No Otro
a. Pudo seguir todos los procedimientos descriptos, sin dificultad?
b. La secuencia descripta le result la adecuada?
c. La secuencia establecida le plante alternativas segn algn crite-
rio (disponibilidad de los materiales, trabajo de contenidos espec-
ficos, etc.)?
d. La finalidad (para qu sirve) del equipo est indicada con clari-
dad?
e. Se establecen cules son los contenidos (cientficos o tecnolgicos)
que se asocian al equipo a construir?
f. Se determina la relacin entre conocimientos implicados, proce-
dimientos a seguir, materiales a utilizar y experiencias posibles de
realizar?
g. Considera que la relacin anterior es pertinente (es la que corres-
ponde) para la construccin que se propone?
h. La descripcin de los procedimientos le facilitaron la organizacin
de las experiencias de trabajo con sus alumnos?
i. Pudo seguir las indicaciones para la puesta en funcionamiento?
j. Todas las indicaciones para el uso son claras?
Por favor, fundamente sus respuestas negativas o agregue los comentarios que crea pertinentes
(identifique el tem a que se refiere):

Otro (identifique con la letra que corresponda el tem sobre el que hace observaciones)

La puesta en prctica XV
6. Otras caractersticas del recurso didctico:

6.1. Constructivas (Por favor, conteste slo si realiz el proceso de construccin). Indique si
el proceso de construccin rene las siguientes caractersticas:
S No
a. Simplicidad.. Es sencillo de construir por parte de los alumnos.
b. Economa. Es posible hacerlo con materiales de bajo costo.
c. Compatibilidad. Todos los componentes, bloques y sistemas permiten ser
integrados entre s.
d. Acoplabilidad. Puede ser unido o combinado con otros recursos didcticos.
e. Sencillez. Permite combinar diferentes tipos de materiales (madera, cartn,
plstico, otros similares).
f. Facilidad de armado y desarmado. Permite, sencillamente, realizar pruebas,
correcciones, incorporacin de nuevas funciones, etc.

Si su respuesta es negativa en alguna de ellas, indique por qu (Por favor, identifique su


comentario con la letra del rasgo aludido):

XVI La puesta en prctica


6.2. Tcnicas (Por favor, complete tanto si construy el equipo como si utiliz uno ya cons-
truido)

S No
a. Portabilidad. Puede ser utilizado en el taller, aula, laboratorio.
b. Modularidad. Puede ser adaptado a diversos usos; para trabajar diversos con-
tenidos curriculares o para realizar diferentes experiencias didcticas; para
aprendizaje, demostraciones, anlisis, etc.
c. Reutilizacin. Posee partes, componentes, bloques o subsistemas que pueden
ser desmontados para volver a su estado original, y usados en s mismos o en
forma independiente.
d. Incrementabilidad. Puede complejizarse agregando piezas o completando el
sistema para mejorar su funcionalidad, rendimiento, precisin o calidad.
e. Aplicabilidad mltiple. Como sistema tecnolgico, permite que usted selec-
cione las variables con las que desea trabajar (algunas de las que maneja el sis-
tema, todas las previstas o agregar otras).

Si su respuesta es negativa en alguna de ellas, indique por qu, identificando su comentario


con la letra correspondiente:

La puesta en prctica XVII


6.3. Didcticas (Por favor, complete tanto si construy el equipo como si utiliz uno ya
construido)

S No
a. Congruencia. Tiene relacin con los testimonios de realidad incluidos en el
mdulo de capacitacin.
b. Pertinencia. Los componentes, bloques funcionales y sistemas son adecuados
para el trabajo con los contenidos curriculares de la educacin tcnico-profe-
sional.
c. Integracin. Posibilita el tratamiento asociado de los conocimientos cientficos
y tecnolgicos propuestos en el material.
d. Escalabilidad. Es posible utilizarlo con proyectos o problemas con diferentes
niveles de complejidad.
e. Complejidad creciente. Las soluciones alcanzadas para una parte del proble-
ma, sirven de base para las siguientes o permite que, agregando componentes,
sea utilizado como solucin a problemas ms complejos.
f. Adaptabilidad. Permite su adaptacin a soluciones diversas en torno a las
problemticas planteadas.

Si su respuesta es negativa en alguna de ellas, indique por qu, identificndola con la letra
correspondiente:

XVIII La puesta en prctica


7. Otras caractersticas del material terico:

Cmo calificara el diseo del mdulo escrito (desarrollo de contenidos cientficos y tec-
nolgicos, y propuestas de experiencias didcticas)?
7
MB B R M
a. Formato grfico del material (distribucin del contenido, mrgenes, dis-
tribucin de texto e imgenes, insercin de grficos, diseo grfico glo-
bal, etc.).
b. Lenguaje utilizado (claridad, adecuacin al destinatario).
c. Organizacin (secuencia entre cada parte).
d. Adecuacin al destinatario (evidencia que se toma en cuenta que es un
material para ser trabajado en un mbito escolar).
e. Pertinencia de los conocimientos cientficos con las problemticas
planteadas.
f. Pertinencia de los conocimientos tecnolgicos con las problemticas
planteadas.
g. Vinculacin (pertinencia) del recurso didctico que propone con las
situaciones didcticas planteadas.
h. Congruencia (vinculacin) de los contenidos propuestos con el recurso
didctico.
i. Aporte metodolgico para enriquecer sus estrategias didcticas.
j. Aporte terico (en general) para su trabajo docente.
k. Valor motivador para el trabajo con sus alumnos.
l. Valor orientador para generar sus propios recursos didcticos.
m. Concepcin innovadora para el trabajo didctico en la educacin tcni-
co-profesional.

Si marc la opcin Malo, le pedimos que nos explique por qu:

7 Escala= MB: Muy bueno / B: Bueno / R: Regular / M: Malo

La puesta en prctica XIX


8. Propuestas o nuevas ideas:

Tanto para los autores de este material, como para el CeNET como institucin responsable
de su elaboracin y distribucin, una de las finalidades ms importantes es suscitar en los
educadores nuevas ideas, aplicaciones o propuestas creativas a partir de la lectura o el traba-
jo con el mdulo.

En funcin de ello, le solicitamos que nos indique:

Si a partir del mdulo (contenido terico y recurso didctico) usted, en su calidad de


(marque todas las opciones que correspondan):

a. docente a cargo de un grupo de alumnos b. directivo

c. responsable de la asignatura: d. lector del material

e. otro (especifique):

ha generado nuevas ideas o propuestas:

Respecto de los contenidos (independientemente del recurso didctico):


S No
a. Organizacin de su asignatura.
b. Contenidos cientficos y tecnolgicos (formas de asociarlos, ampliarlos,
desarrollarlos, etc.)
c. Planificacin de las experiencias didcticas.
d. Trabajo con resolucin de problemas.

XX La puesta en prctica
Otras (Por favor, especifique en qu mbitos ligados con los contenidos ha generado estas
nuevas ideas o propuestas):

Si su respuesta fue afirmativa le pedimos que la ample:

La puesta en prctica XXI


En relacin con el recurso didctico. Le pedimos que nos relate (libremente) las nuevas ideas
o propuestas que el trabajo con este material le ha suscitado:

XXII La puesta en prctica


S No
Puso en prctica alguna de estas ideas o propuestas?

Cul/es?

En caso negativo, por favor, indquenos por qu:

La puesta en prctica XXIII


Ttulos en preparacin de la serie Desarrollo de contenidos.

Coleccin: Tecnologa qumica en industrias de procesos


El aire como materia prima
El azufre como materia prima
Los minerales como materia prima bauxita y minerales de hierro

Coleccin: Construcciones
Construccin de edificios. Cmo ensearla a travs de la resolucin
de problemas
Construcciones en hormign armado: tecnologa, diseo estructural
y dimensionamiento

Coleccin: Telecomunicaciones
Tcnicas de transmisin banda base aplicadas a redes LAN y WAN
Clculo de enlaces almbricos

Coleccin: Materiales
Fundamentos y ensayos en materiales metlicos

Coleccin: Tecnologa en herramientas


Historial de las herramientas de corte
Diseo y fabricacin de herramientas de corte

Coleccin: Electricidad, electrnica y sistemas de control


Instalaciones elctricas
Familia TTL (Lgica transistor-transistor)
Familia lgica CMOS

You might also like