You are on page 1of 6

VLSI Basics And Interview Questions

Ce site utilise des cookies provenant de Google afin de fournir ses services, personnaliser les annonces et
This Blog is created for Basic VLSI Interview Questions. This content is purely VLSI Basics.
analyser le trafic. Les informations relatives à votre utilisation du site sont partagées avec Google. En acceptant
ce site, vous acceptez l'utilisation des cookies.
  PLUS
EN SAVOIR  
OK !

IR Drop Analysis

What is IR Drop Analysis? How it effects the timing?

The power supply in the chip is distributed uniformly through metal layers (Vdd and
Vss) across the design. These metal layers have nite amount of resistance. When
voltage is applied to this metal wires current start owing through the metal layers
and some voltage is dropped due to that resistance of metal wires and current. This
Drop is called as IR Drop. For example, a design needs to operate at 2 volts and has a
tolerance of 0.4 volts on either side, we need to ensure that the voltage across its
power pin (Vdd) and ground pin (Vss) in that design does not fall short of 1.6 Volts.The
acceptable IR drop in this context is 0.4 volts. That means the design in this context
can allow upto 0.4 volts drop which does not effect the timing and functionality of
design.

How it effects the timing?


IR Drop is Signal Integrity(SI) effect caused by wire resistance and current drawn off
from Power (Vdd) and Ground (Vss) grids. According to Ohms law, V = IR. If wire
resistance is too high or the current passing through the metal layers is larger than the
predicted, an unacceptable Voltage drop may occur. Due to this un acceptable voltage
drop, The power supply voltage decreases. That means the required power across the
design is not reaching to the cells. This results in increased noise susceptibility and
poor performance.

The design may have different types of gates with different voltage levels. As the
voltage at gates decreased due to unacceptable voltage drop in the supply voltage, the
gate delays are increased non-linearly. This may lead to setup time and hold time
violations depending on which path these gates are residing in the design. As
technology node shrinking, there is decrease in the geometries of the metal layers and
the resistance of this wires increased which lead to decrease in power supply voltage.
During Clock Tree Synthesis, the buffers and inverters are added along the clock path
to balance the skew. The voltage drop on the buffers and inverters of clock path will
cause the delay in arrival of clock signal, resulting hold violation.

What are the tools used for IR Drop Analysis? In which stage IR Drop Analysis
performed ?
Various tools are available for IR Drop Analysis. Voltagestorm from Cadence, Redhawk
from Apache are mainly used to show IR Drop on chip. Here we are going to discuss
about IR Drop using Redhawk. IR Drop Analysis using Redhawk is possible at different
stages of the design ow. When changes are in expensive and they don't effect
project's schedule, It is better to use Redhawk for IR drop analysis from start of the
design cycle. It can identify and x power grid problems in the design. This also
reduces changes required in sign-off stage where nal static and dynamic voltage (IR)
drops performed. So Redhawk can be used anywhere in the design starting from the
floorplanning stage through initial and final cell placement stages.

 12:16
 KHADAR BASHA
 2 COMMENTS
Related Posts:

IR Drop Analysis using Redhawk - Overview


IR Drop Analysis using Redhawk: Redhawk performs several types of power analysis
on a circuit. Static Voltage (IR) drop with average cycle currents Dynamic Voltage
drop with worst-case switching currents Electromigration … Read More

Physical Design Flow – Practical Approach with IC Compiler (Synopsys)


Physical Design Flow – Practical Approach with IC Compiler (Synopsys) The general
ICC flow is as shown in figure 1. The first step in ICC Flow is Data Setup. In this step,
we create “Container” which is known as “Design … Read More

Physical Design (PD) Interview Questions - Floorplanning


1. What is floorplaning? A. Floor planing is the process of placing Blocks/Macros
in the chip/core area, thereby determining the routing areas between them.
Floorplan determines the size of die and creates wire trac… Read More

Static Timing Analysis (STA) Interview Questions


Static Timing Analysis Interview Questions Static Timing Analysis plays major role
in physical design(PD) flow. It checks the design whether it is working properly at
specified operating frequency by checking the Timi… Read More

Different cells used for Low Power Design - Power management Techniques
Level Shifters, Isolation Cells, Retention Registers, Power Switches, Always on Cells
Level Shifters: Level Shifters are used in multi voltage design in which more than one
voltage supply used. Consider In your design … Read More

← Newer Post Home Older Post →

2 comments:

badri 20 June 2016 at 05:07


Excellent

Reply

srini gopal 22 November 2016 at 22:46


pretty good.
Reply

Enter your comment...

Comment as: Select profile...

Publish Preview

Download Android App

Physical Design Tutorials

Popular Posts

IR Drop Analysis
What is IR Drop Analysis? How it effects the timing? The power supply in the chip is distributed
uniformly through metal layers (Vdd a...

Physical Design (PD) Interview Questions - Floorplanning


1. What is floorplaning? A. Floor planing is the process of placing Blocks/Macros in the chip/core
area, thereby determining ...

Static Timing Analysis (STA) Interview Questions


Static Timing Analysis Interview Questions Static Timing Analysis plays major role in
physical design(PD) flow. It checks the design...

Clock Tree Synthesis (CTS) - Overview


Clock Tree Synthesis Clock Tree Synthesis (CTS) is the process of inserting
buffers/inverters along the clock paths of the ASIC design to...

Congestion in VLSI Physical Design FLow


Congestion in VLSI Physical Design Flow Here let us discuss about congestion. What is
Congestion? What are the reasons for Congestion? H...

IR Drop Analysis Interview Questions


IR Drop Analysis Interview Questions 1. What is IR Drop Analysis? A. The power supply in the chip is
distributed uniformly through met...

Blockages and Halos


BLOCKAGES: Blockages are specific locations where placing of cells are prevented or blocked. These act
as guidelines for placing std cel...

Sanity Checks in Physical Design Flow


Sanity Checks in Physical Design Flow check_library check_timing report_constraint report_timing
report_qor check_design c...

Low Power Design


Power Planning: Power is limiting factor affection performance and features in most
important products. When you decided to buy a mobile,...

Power Planning - Power Network Synthesis (PNS)


Power Planning - Power Network Synthesis (PNS) In ICC Design Planning flow, Power Network
Synthesis creates macro power rings, creates th...

Blog Archive
► 2016 (2)
► 2014 (5)

▼ 2013 (21)
► December (2)

► October (6)
► September (2)
▼ August (4)

IR Drop Analysis
Basic Terminology in Physical Design
Low Power Design

Blockages and Halos


► July (6)

► March (1)

Recent Posts

Definition List

Pages
Home
Site Index - Content
VLSI Interview Questions
VLSI Video Tutorials
VLSI Books
About Us - Contact Us

Total Pageviews
Copyright @ VLSI Basics Team . Powered by Blogger.

Download mobile app on Play Store

Physical Design Tutorials

Follow by Email

Email address...
SUB
MIT

Contributors
VLSI Basics Team
khadar basha
vlsi.projectguru

+1

Follow us on Twitter Now


Follow @VLSIBasics

Search This Blog

SEARCH

Subscribe To

Posts

Comments

COPYRIGHT © 2018 VLSI BASICS AND INTERVIEW QUESTIONS | POWERED BY BLOGGER


DESIGN BY AUTOMATTIC | BLOGGER THEME BY NEWBLOGGERTHEMES.COM

You might also like