You are on page 1of 972

EDI System Error Message Reference

Product Version 14.20


October 2014
© 2013-2015 Cadence Design Systems, Inc. All rights reserved.
Printed in the United States of America.

Cadence Design Systems, Inc. (Cadence), 2655 Seely Ave., San Jose, CA 95134, USA.

Open SystemC, Open SystemC Initiative, OSCI, SystemC, and SystemC Initiative are trademarks or registered
trademarks of Open SystemC Initiative, Inc. in the United States and other countries and are used with permission.

Trademarks: Trademarks and service marks of Cadence Design Systems, Inc. (Cadence) contained in this document
are attributed to Cadence with the appropriate symbol. For queries regarding Cadence's trademarks, contact the
corporate legal department at the address shown above or call 800.862.4522.

All other trademarks are the property of their respective holders.

Restricted Permission: This publication is protected by copyright law and international treaties and contains trade
secrets and proprietary information owned by Cadence. Unauthorized reproduction or distribution of this publication, or
any portion of it, may result in civil and criminal penalties. Except as specified in this permission statement, this
publication may not be copied, reproduced, modified, published, uploaded, posted, transmitted, or distributed in any way,
without prior written permission from Cadence. Unless otherwise agreed to by Cadence in writing, this statement grants
Cadence customers permission to print one (1) hard copy of this publication subject to the following conditions:

1. The publication may be used only in accordance with a written agreement between Cadence and its customer.
2. The publication may not be modified in any way.
3. Any authorized copy of the publication or portion thereof must include all original copyright, trademark, and other
proprietary notices and this permission statement.
4. The information contained in this document cannot be used in the development of like products or software,
whether for internal or external use, and shall not be used for the benefit of any other party, whether or not for
consideration.

Disclaimer: Information in this publication is subject to change without notice and does not represent a commitment on
the part of Cadence. Except as may be explicitly set forth in such agreement, Cadence does not make, and expressly
disclaims, any representations or warranties as to the completeness, accuracy or usefulness of the information
contained in this document. Cadence does not warrant that use of such information will not infringe any third party rights,
nor does Cadence assume any liability for damages or costs of any kind that may result from use of such information.

Restricted Rights: Use, duplication, or disclosure by the Government is subject to restrictions as set forth in
FAR52.227-14 and DFAR252.227-7013 et seq. or its successor.
EDI System Error Message Reference
Table of Contents

Contents
1 71
ENCAFP-1873 71
2 72
ENCAFP-1909 72
3 73
ENCAFP-2031 73
4 74
ENCAFP-3353 74
5 75
ENCAFP-3928 75
6 76
ENCAFP-3952 76
7 77
ENCAFP-4031 77
8 78
ENCAFP-4409 78
9 79
ENCAFP-5000 79
10 80
ENCAFP-5002 80
11 81
ENCAFP-5029 81
12 82

October 2014 3 Product Version 14.20


EDI System Error Message Reference
Table of Contents

ENCAFP-9003 82
13 83
ENCAFP-9021 83
14 84
ENCAFP-9108 84
15 85
ENCAFP-9117 85
16 86
ENCAFP-9641 86
17 87
ENCAFP-9642 87
18 88
ENCAFP-9643 88
19 89
ENCAFP-9644 89
20 90
ENCAFP-9645 90
21 91
ENCAFP-9649 91
22 92
ENCAFP-9654 92
23 93
ENCAFPU-3817 93
24 94
ENCAFPU-3819 94
25 95

October 2014 4 Product Version 14.20


EDI System Error Message Reference
Table of Contents

ENCAFPU-9005 95
26 96
ENCAFPU-9201 96
27 97
ENCAFPU-9202 97
28 98
ENCCCE-6 98
29 99
ENCCCOPT-1007 99
30 100
ENCCCOPT-1013 100
31 101
ENCCCOPT-1014 101
32 102
ENCCCOPT-1021 102
33 103
ENCCCOPT-1023 103
34 104
ENCCCOPT-1026 104
35 105
ENCCCOPT-1033 105
36 106
ENCCCOPT-1038 106
37 107
ENCCCOPT-1041 107
38 108

October 2014 5 Product Version 14.20


EDI System Error Message Reference
Table of Contents

ENCCCOPT-1053 108
39 109
ENCCCOPT-1062 109
40 110
ENCCCOPT-1066 110
41 111
ENCCCOPT-1068 111
42 112
ENCCCOPT-1069 112
43 113
ENCCCOPT-1070 113
44 114
ENCCCOPT-1071 114
45 115
ENCCCOPT-1072 115
46 116
ENCCCOPT-1073 116
47 117
ENCCCOPT-1074 117
48 118
ENCCCOPT-1075 118
49 119
ENCCCOPT-1078 119
50 120
ENCCCOPT-1080 120
51 121

October 2014 6 Product Version 14.20


EDI System Error Message Reference
Table of Contents

ENCCCOPT-1081 121
52 122
ENCCCOPT-1088 122
53 123
ENCCCOPT-1092 123
54 124
ENCCCOPT-1093 124
55 125
ENCCCOPT-1094 125
56 126
ENCCCOPT-1095 126
57 127
ENCCCOPT-1096 127
58 128
ENCCCOPT-1097 128
59 129
ENCCCOPT-1098 129
60 130
ENCCCOPT-1099 130
61 131
ENCCCOPT-1100 131
62 132
ENCCCOPT-1101 132
63 133
ENCCCOPT-1102 133
64 134

October 2014 7 Product Version 14.20


EDI System Error Message Reference
Table of Contents

ENCCCOPT-1103 134
65 135
ENCCCOPT-1106 135
66 136
ENCCCOPT-1107 136
67 137
ENCCCOPT-1109 137
68 138
ENCCCOPT-2001 138
69 139
ENCCCOPT-3011 139
70 140
ENCCCOPT-3055 140
71 141
ENCCCOPT-3057 141
72 142
ENCCCOPT-3061 142
73 143
ENCCCOPT-3063 143
74 144
ENCCCOPT-3065 144
75 145
ENCCCOPT-3067 145
76 146
ENCCCOPT-4144 146
77 147

October 2014 8 Product Version 14.20


EDI System Error Message Reference
Table of Contents

ENCCCOPT-4160 147
78 148
ENCCCOPT-4167 148
79 150
ENCCCOPT-4195 150
80 151
ENCCCOPT-4196 151
81 152
ENCCCOPT-4205 152
82 153
ENCCCOPT-4206 153
83 154
ENCCCOPT-4207 154
84 155
ENCCCOPT-4232 155
85 156
ENCCCOPT-4245 156
86 157
ENCCCOPT-4246 157
87 158
ENCCCOPT-4318 158
88 159
ENCCCOPT-4319 159
89 160
ENCCCOPT-4320 160
90 161

October 2014 9 Product Version 14.20


EDI System Error Message Reference
Table of Contents

ENCCCOPT-4321 161
91 162
ENCCCOPT-4322 162
92 163
ENCCCOPT-4323 163
93 164
ENCCCOPT-4324 164
94 165
ENCCCOPT-4325 165
95 166
ENCCCOPT-4327 166
96 167
ENCCCOPT-5025 167
97 168
ENCCCOPT-5026 168
98 169
ENCCCOPT-5027 169
99 170
ENCCCOPT-5028 170
100 171
ENCCCOPT-5032 171
101 172
ENCCCOPT-5033 172
102 173
ENCCK-114 173
103 174

October 2014 10 Product Version 14.20


EDI System Error Message Reference
Table of Contents

ENCCK-157 174
104 175
ENCCK-164 175
105 176
ENCCK-180 176
106 177
ENCCK-209 177
107 178
ENCCK-211 178
108 179
ENCCK-361 179
109 180
ENCCK-404 180
110 181
ENCCK-427 181
111 182
ENCCK-657 182
112 183
ENCCK-661 183
113 184
ENCCK-766 184
114 185
ENCCK-767 185
115 186
ENCCK-815 186
116 187

October 2014 11 Product Version 14.20


EDI System Error Message Reference
Table of Contents

ENCCK-984 187
117 188
ENCCK-1999 188
118 189
ENCCK-2104 189
119 190
ENCCK-3148 190
120 191
ENCCK-3179 191
121 192
ENCCK-3198 192
122 193
ENCCK-6001 193
123 194
ENCCK-6003 194
124 195
ENCCK-6010 195
125 196
ENCCK-6317 196
126 197
ENCCK-6325 197
127 198
ENCCK-6335 198
128 199
ENCCK-6336 199
129 200

October 2014 12 Product Version 14.20


EDI System Error Message Reference
Table of Contents

ENCCK-6337 200
130 201
ENCCK-6338 201
131 202
ENCCK-6500 202
132 203
ENCCM-164 203
133 204
ENCCM-187 204
134 205
ENCCM-279 205
135 206
ENCCM-558 206
136 207
ENCCPF-190 207
137 208
ENCCPF-201 208
138 209
ENCCPF-209 209
139 210
ENCCPF-235 210
140 211
ENCCPF-237 211
141 212
ENCCPF-238 212
142 213

October 2014 13 Product Version 14.20


EDI System Error Message Reference
Table of Contents

ENCCPF-239 213
143 214
ENCCPF-243 214
144 215
ENCCPF-246 215
145 216
ENCCPF-247 216
146 217
ENCCPF-249 217
147 218
ENCCPF-251 218
148 220
ENCCPF-253 220
149 221
ENCCPF-289 221
150 222
ENCCPF-310 222
151 223
ENCCPF-311 223
152 224
ENCCPF-312 224
153 225
ENCCPF-350 225
154 226
ENCCPF-390 226
155 227

October 2014 14 Product Version 14.20


EDI System Error Message Reference
Table of Contents

ENCCPF-402 227
156 228
ENCCPF-403 228
157 229
ENCCPF-980 229
158 230
ENCCPF-2011 230
159 231
ENCCPF-2104 231
160 232
ENCCPF-2204 232
161 233
ENCCPF-2210 233
162 234
ENCCPF-2303 234
163 235
ENCCPF-2500 235
164 236
ENCCPF-2510 236
165 237
ENCCPF-2602 237
166 238
ENCCTE-104 238
167 239
ENCCTE-313 239
168 240

October 2014 15 Product Version 14.20


EDI System Error Message Reference
Table of Contents

ENCCTE-314 240
169 241
ENCCTE-317 241
170 242
ENCDB-1207 242
171 243
ENCDB-1212 243
172 244
ENCDB-1218 244
173 245
ENCDB-1220 245
174 246
ENCDB-1221 246
175 247
ENCDB-1231 247
176 248
ENCDB-1256 248
177 249
ENCDB-1257 249
178 250
ENCDB-1259 250
179 251
ENCDB-1266 251
180 252
ENCDB-1278 252
181 253

October 2014 16 Product Version 14.20


EDI System Error Message Reference
Table of Contents

ENCDB-1601 253
182 254
ENCDB-2059 254
183 255
ENCDB-2078 255
184 256
ENCDB-2125 256
185 257
ENCDB-2136 257
186 258
ENCDB-2139 258
187 259
ENCDB-2148 259
188 260
ENCDB-2504 260
189 261
ENCDB-5000 261
190 262
ENCDB-5029 262
191 263
ENCDBTCL-204 263
192 264
ENCDBTCL-205 264
193 265
ENCDBTCL-3001 265
194 266

October 2014 17 Product Version 14.20


EDI System Error Message Reference
Table of Contents

ENCDC-228 266
195 267
ENCDC-348 267
196 268
ENCDC-580 268
197 269
ENCDC-634 269
198 270
ENCDC-1159 270
199 271
ENCDC-1629 271
200 272
ENCDC-1662 272
201 273
ENCDF-15 273
202 274
ENCDF-30 274
203 275
ENCDF-32 275
204 276
ENCDF-84 276
205 277
ENCDF-117 277
206 278
ENCDF-127 278
207 279

October 2014 18 Product Version 14.20


EDI System Error Message Reference
Table of Contents

ENCDF-147 279
208 280
ENCDF-200 280
209 281
ENCDF-280 281
210 282
ENCDF-348 282
211 283
ENCDF-1026 283
212 284
ENCECO-154 284
213 285
ENCECO-166 285
214 286
ENCECO-259 286
215 287
ENCECO-560 287
216 288
ENCESI-350 288
217 289
ENCESI-3014 289
218 290
ENCESO-301 290
219 291
ENCESO-306 291
220 292

October 2014 19 Product Version 14.20


EDI System Error Message Reference
Table of Contents

ENCESO-309 292
221 293
ENCESO-314 293
222 294
ENCESO-317 294
223 295
ENCESO-320 295
224 296
ENCESO-358 296
225 297
ENCEXT-1023 297
226 298
ENCEXT-1024 298
227 299
ENCEXT-1029 299
228 300
ENCEXT-1081 300
229 301
ENCEXT-1197 301
230 302
ENCEXT-1206 302
231 303
ENCEXT-1211 303
232 304
ENCEXT-1220 304
233 305

October 2014 20 Product Version 14.20


EDI System Error Message Reference
Table of Contents

ENCEXT-1221 305
234 306
ENCEXT-1222 306
235 307
ENCEXT-1241 307
236 308
ENCEXT-1245 308
237 309
ENCEXT-1246 309
238 310
ENCEXT-1285 310
239 311
ENCEXT-1286 311
240 312
ENCEXT-1396 312
241 313
ENCEXT-1452 313
242 314
ENCEXT-1462 314
243 315
ENCEXT-2509 315
244 316
ENCEXT-2677 316
245 317
ENCEXT-2713 317
246 318

October 2014 21 Product Version 14.20


EDI System Error Message Reference
Table of Contents

ENCEXT-2769 318
247 319
ENCEXT-2773 319
248 320
ENCEXT-2777 320
249 321
ENCEXT-2884 321
250 322
ENCEXT-2940 322
251 323
ENCEXT-2953 323
252 324
ENCEXT-2955 324
253 325
ENCEXT-2989 325
254 326
ENCEXT-3442 326
255 327
ENCEXT-3466 327
256 328
ENCEXT-3491 328
257 329
ENCEXT-3493 329
258 330
ENCEXT-5016 330
259 331

October 2014 22 Product Version 14.20


EDI System Error Message Reference
Table of Contents

ENCEXT-5072 331
260 332
ENCEXT-6166 332
261 333
ENCEXT-6198 333
262 334
ENCEXT-6202 334
263 335
ENCFM-205 335
264 336
ENCFM-206 336
265 337
ENCFM-226 337
266 339
ENCFM-235 339
267 340
ENCFM-318 340
268 341
ENCFM-333 341
269 342
ENCFM-334 342
270 343
ENCFM-353 343
271 344
ENCFM-706 344
272 345

October 2014 23 Product Version 14.20


EDI System Error Message Reference
Table of Contents

ENCFM-709 345
273 346
ENCFM-725 346
274 347
ENCFM-730 347
275 348
ENCFM-735 348
276 349
ENCFM-759 349
277 350
ENCFM-761 350
278 351
ENCFM-1206 351
279 352
ENCFP-40 352
280 353
ENCFP-104 353
281 354
ENCFP-172 354
282 355
ENCFP-175 355
283 356
ENCFP-247 356
284 357
ENCFP-298 357
285 358

October 2014 24 Product Version 14.20


EDI System Error Message Reference
Table of Contents

ENCFP-320 358
286 359
ENCFP-325 359
287 360
ENCFP-701 360
288 361
ENCFP-704 361
289 362
ENCFP-735 362
290 363
ENCFP-788 363
291 364
ENCFP-793 364
292 365
ENCFP-903 365
293 366
ENCFP-2101 366
294 367
ENCFP-3101 367
295 368
ENCFP-3302 368
296 369
ENCFP-3356 369
297 371
ENCFP-3361 371
298 372

October 2014 25 Product Version 14.20


EDI System Error Message Reference
Table of Contents

ENCFP-3761 372
299 373
ENCFP-3803 373
300 374
ENCFP-3823 374
301 375
ENCFP-3824 375
302 376
ENCFP-3825 376
303 377
ENCFP-3941 377
304 378
ENCFP-3960 378
305 379
ENCFP-3961 379
306 380
ENCFP-3966 380
307 381
ENCFP-3967 381
308 382
ENCFP-3968 382
309 383
ENCFP-3969 383
310 384
ENCFP-3970 384
311 385

October 2014 26 Product Version 14.20


EDI System Error Message Reference
Table of Contents

ENCFP-3971 385
312 386
ENCFP-3972 386
313 387
ENCFP-3973 387
314 388
ENCFP-3974 388
315 389
ENCFP-3975 389
316 390
ENCFP-3976 390
317 391
ENCFP-3977 391
318 392
ENCFP-3978 392
319 393
ENCFP-3980 393
320 394
ENCFP-3981 394
321 395
ENCHP-1215 395
322 396
ENCHP-1216 396
323 397
ENCHP-1217 397
324 398

October 2014 27 Product Version 14.20


EDI System Error Message Reference
Table of Contents

ENCHP-1218 398
325 399
ENCHP-1219 399
326 400
ENCHP-1220 400
327 401
ENCHP-1221 401
328 402
ENCHP-1223 402
329 403
ENCHP-1224 403
330 404
ENCHP-2105 404
331 405
ENCILM-194 405
332 406
ENCILM-203 406
333 407
ENCILM-296 407
334 408
ENCILM-298 408
335 409
ENCILM-334 409
336 410
ENCILM-349 410
337 411

October 2014 28 Product Version 14.20


EDI System Error Message Reference
Table of Contents

ENCILM-350 411
338 412
ENCILM-365 412
339 413
ENCILM-380 413
340 414
ENCILM-402 414
341 416
ENCILM-406 416
342 417
ENCILM-537 417
343 418
ENCILM-548 418
344 419
ENCIMEX-1 419
345 420
ENCLF-27 420
346 421
ENCLF-40 421
347 422
ENCLF-53 422
348 423
ENCLF-58 423
349 424
ENCLF-82 424
350 425

October 2014 29 Product Version 14.20


EDI System Error Message Reference
Table of Contents

ENCLF-119 425
351 426
ENCLF-200 426
352 428
ENCLF-201 428
353 430
ENCLF-248 430
354 431
ENCLF-274 431
355 432
ENCLIC-90 432
356 433
ENCLN-141 433
357 434
ENCMSC-358 434
358 435
ENCMSMV-1006 435
359 436
ENCMSMV-1007 436
360 437
ENCMSMV-1015 437
361 438
ENCMSMV-1116 438
362 439
ENCMSMV-1211 439
363 440

October 2014 30 Product Version 14.20


EDI System Error Message Reference
Table of Contents

ENCMSMV-1212 440
364 441
ENCMSMV-1213 441
365 442
ENCMSMV-1215 442
366 443
ENCMSMV-1226 443
367 444
ENCMSMV-1243 444
368 445
ENCMSMV-1245 445
369 446
ENCMSMV-1246 446
370 447
ENCMSMV-1247 447
371 448
ENCMSMV-1248 448
372 449
ENCMSMV-1249 449
373 450
ENCMSMV-1314 450
374 451
ENCMSMV-1315 451
375 452
ENCMSMV-1501 452
376 453

October 2014 31 Product Version 14.20


EDI System Error Message Reference
Table of Contents

ENCMSMV-1531 453
377 454
ENCMSMV-1592 454
378 455
ENCMSMV-3101 455
379 456
ENCMSMV-3303 456
380 457
ENCMSMV-3502 457
381 458
ENCMSMV-3700 458
382 459
ENCMSMV-3701 459
383 460
ENCMSMV-4001 460
384 461
ENCMSMV-4002 461
385 462
ENCMSMV-7101 462
386 463
ENCMSMV-8003 463
387 464
ENCMSMV-8304 464
388 466
ENCMSMV-8401 466
389 467

October 2014 32 Product Version 14.20


EDI System Error Message Reference
Table of Contents

ENCOAX-124 467
390 468
ENCOAX-148 468
391 469
ENCOAX-156 469
392 470
ENCOAX-170 470
393 471
ENCOAX-252 471
394 473
ENCOAX-332 473
395 474
ENCOAX-392 474
396 475
ENCOAX-448 475
397 476
ENCOAX-503 476
398 477
ENCOAX-571 477
399 478
ENCOAX-591 478
400 479
ENCOAX-618 479
401 481
ENCOAX-683 481
402 482

October 2014 33 Product Version 14.20


EDI System Error Message Reference
Table of Contents

ENCOAX-684 482
403 484
ENCOAX-718 484
404 485
ENCOAX-720 485
405 486
ENCOAX-745 486
406 487
ENCOAX-750 487
407 489
ENCOAX-755 489
408 490
ENCOAX-757 490
409 492
ENCOAX-773 492
410 494
ENCOAX-774 494
411 495
ENCOAX-793 495
412 496
ENCOAX-815 496
413 497
ENCOAX-923 497
414 498
ENCOAX-931 498
415 500

October 2014 34 Product Version 14.20


EDI System Error Message Reference
Table of Contents

ENCOAX-956 500
416 501
ENCOAX-986 501
417 503
ENCOAX-1017 503
418 504
ENCOAX-1034 504
419 505
ENCOAX-1049 505
420 506
ENCOAX-1087 506
421 507
ENCOAX-1092 507
422 508
ENCOAX-1210 508
423 509
ENCOAX-1253 509
424 510
ENCOAX-1254 510
425 511
ENCOAX-1255 511
426 513
ENCOAX-1257 513
427 514
ENCOAX-1258 514
428 515

October 2014 35 Product Version 14.20


EDI System Error Message Reference
Table of Contents

ENCOAX-1265 515
429 516
ENCOAX-1266 516
430 517
ENCOAX-1274 517
431 519
ENCOAX-1307 519
432 520
ENCOAX-1313 520
433 521
ENCOAX-1625 521
434 523
ENCOAX-5110 523
435 525
ENCOAX-6022 525
436 526
ENCOGDS-238 526
437 527
ENCOGDS-399 527
438 528
ENCOGDS-1712 528
439 529
ENCOPT-136 529
440 530
ENCOPT-173 530
441 531

October 2014 36 Product Version 14.20


EDI System Error Message Reference
Table of Contents

ENCOPT-306 531
442 532
ENCOPT-310 532
443 533
ENCOPT-393 533
444 534
ENCOPT-519 534
445 535
ENCOPT-569 535
446 536
ENCOPT-576 536
447 537
ENCOPT-600 537
448 539
ENCOPT-616 539
449 540
ENCOPT-624 540
450 541
ENCOPT-628 541
451 542
ENCOPT-629 542
452 543
ENCOPT-655 543
453 544
ENCOPT-661 544
454 545

October 2014 37 Product Version 14.20


EDI System Error Message Reference
Table of Contents

ENCOPT-664 545
455 546
ENCOPT-665 546
456 547
ENCOPT-3000 547
457 548
ENCOPT-3001 548
458 549
ENCOPT-3025 549
459 550
ENCOPT-3034 550
460 551
ENCOPT-3035 551
461 552
ENCOPT-3040 552
462 553
ENCOPT-3050 553
463 554
ENCOPT-3058 554
464 555
ENCOPT-3080 555
465 556
ENCOPT-3115 556
466 557
ENCOPT-3121 557
467 558

October 2014 38 Product Version 14.20


EDI System Error Message Reference
Table of Contents

ENCOPT-3129 558
468 559
ENCOPT-3135 559
469 560
ENCOPT-3137 560
470 561
ENCOPT-3142 561
471 562
ENCOPT-3144 562
472 563
ENCOPT-3180 563
473 564
ENCOPT-3186 564
474 565
ENCOPT-3194 565
475 566
ENCOPT-3195 566
476 567
ENCOPT-3213 567
477 568
ENCOPT-3225 568
478 569
ENCOPT-3313 569
479 570
ENCOPT-3314 570
480 571

October 2014 39 Product Version 14.20


EDI System Error Message Reference
Table of Contents

ENCOPT-3315 571
481 572
ENCOPT-3318 572
482 573
ENCOPT-3319 573
483 574
ENCOPT-3326 574
484 575
ENCOPT-3327 575
485 576
ENCOPT-3328 576
486 577
ENCOPT-3396 577
487 578
ENCOPT-3465 578
488 579
ENCOPT-3466 579
489 580
ENCOPT-3467 580
490 581
ENCOPT-3468 581
491 582
ENCOPT-3481 582
492 583
ENCOPT-3531 583
493 584

October 2014 40 Product Version 14.20


EDI System Error Message Reference
Table of Contents

ENCOPT-3532 584
494 585
ENCOPT-3535 585
495 586
ENCOPT-3543 586
496 587
ENCOPT-3547 587
497 588
ENCOPT-3560 588
498 589
ENCOPT-3563 589
499 590
ENCOPT-3579 590
500 591
ENCOPT-3580 591
501 592
ENCOPT-3581 592
502 593
ENCOPT-3585 593
503 594
ENCOPT-3588 594
504 595
ENCOPT-3593 595
505 596
ENCOPT-3594 596
506 597

October 2014 41 Product Version 14.20


EDI System Error Message Reference
Table of Contents

ENCOPT-3596 597
507 598
ENCOPT-3600 598
508 599
ENCOPT-3602 599
509 600
ENCOPT-3610 600
510 601
ENCOPT-3611 601
511 602
ENCOPT-3626 602
512 603
ENCOPT-3629 603
513 604
ENCOPT-3631 604
514 605
ENCOPT-3634 605
515 606
ENCOPT-3638 606
516 607
ENCOPT-3657 607
517 608
ENCOPT-6022 608
518 609
ENCOPT-6055 609
519 610

October 2014 42 Product Version 14.20


EDI System Error Message Reference
Table of Contents

ENCOPT-6056 610
520 612
ENCOPT-6064 612
521 613
ENCOPT-6072 613
522 614
ENCOPT-6080 614
523 615
ENCOPT-6089 615
524 616
ENCOPT-6095 616
525 617
ENCOPT-6108 617
526 618
ENCOPT-6111 618
527 619
ENCOPT-6116 619
528 620
ENCOPT-6206 620
529 621
ENCOPT-7063 621
530 622
ENCOPT-7071 622
531 623
ENCOPT-7075 623
532 624

October 2014 43 Product Version 14.20


EDI System Error Message Reference
Table of Contents

ENCOPT-7077 624
533 625
ENCPP-170 625
534 626
ENCPP-193 626
535 627
ENCPP-220 627
536 628
ENCPP-333 628
537 629
ENCPP-354 629
538 630
ENCPP-358 630
539 631
ENCPP-362 631
540 632
ENCPP-527 632
541 633
ENCPP-543 633
542 634
ENCPP-557 634
543 635
ENCPP-613 635
544 636
ENCPP-4033 636
545 637

October 2014 44 Product Version 14.20


EDI System Error Message Reference
Table of Contents

ENCPPR-638 637
546 638
ENCPPR-641 638
547 639
ENCPSO-109 639
548 640
ENCPSO-123 640
549 641
ENCPSO-133 641
550 642
ENCPSO-134 642
551 643
ENCPSO-136 643
552 644
ENCPSO-155 644
553 645
ENCPSO-170 645
554 646
ENCPSO-186 646
555 647
ENCPSO-187 647
556 648
ENCPSO-188 648
557 649
ENCPSO-192 649
558 650

October 2014 45 Product Version 14.20


EDI System Error Message Reference
Table of Contents

ENCPSO-206 650
559 651
ENCPSO-306 651
560 652
ENCPSO-627 652
561 653
ENCPSO-800 653
562 654
ENCPSO-806 654
563 655
ENCPSO-808 655
564 656
ENCPSO-809 656
565 657
ENCPSO-906 657
566 658
ENCPSO-907 658
567 659
ENCPTN-90 659
568 660
ENCPTN-100 660
569 661
ENCPTN-426 661
570 662
ENCPTN-427 662
571 663

October 2014 46 Product Version 14.20


EDI System Error Message Reference
Table of Contents

ENCPTN-428 663
572 664
ENCPTN-555 664
573 665
ENCPTN-646 665
574 666
ENCPTN-647 666
575 667
ENCPTN-652 667
576 668
ENCPTN-780 668
577 669
ENCPTN-882 669
578 670
ENCPTN-946 670
579 671
ENCPTN-1250 671
580 672
ENCPTN-1520 672
581 673
ENCPTN-1605 673
582 674
ENCPTN-1669 674
583 675
ENCPTN-1699 675
584 676

October 2014 47 Product Version 14.20


EDI System Error Message Reference
Table of Contents

ENCPTN-1704 676
585 677
ENCPTN-1708 677
586 678
ENCPTN-1717 678
587 679
ENCQTF-4005 679
588 680
ENCQTF-4044 680
589 681
ENCREPO-102 681
590 682
ENCRM-128 682
591 683
ENCRM-143 683
592 685
ENCRM-148 685
593 686
ENCSC-1001 686
594 687
ENCSC-1010 687
595 688
ENCSC-1020 688
596 689
ENCSC-1116 689
597 690

October 2014 48 Product Version 14.20


EDI System Error Message Reference
Table of Contents

ENCSC-1135 690
598 691
ENCSC-1138 691
599 692
ENCSC-1151 692
600 693
ENCSE-31 693
601 694
ENCSE-32 694
602 695
ENCSIP-3210 695
603 696
ENCSIP-6072 696
604 697
ENCSP-101 697
605 698
ENCSP-105 698
606 699
ENCSP-159 699
607 700
ENCSP-182 700
608 701
ENCSP-183 701
609 702
ENCSP-259 702
610 703

October 2014 49 Product Version 14.20


EDI System Error Message Reference
Table of Contents

ENCSP-263 703
611 704
ENCSP-270 704
612 705
ENCSP-281 705
613 706
ENCSP-288 706
614 707
ENCSP-300 707
615 708
ENCSP-307 708
616 709
ENCSP-308 709
617 710
ENCSP-309 710
618 711
ENCSP-311 711
619 712
ENCSP-352 712
620 713
ENCSP-357 713
621 714
ENCSP-362 714
622 715
ENCSP-364 715
623 716

October 2014 50 Product Version 14.20


EDI System Error Message Reference
Table of Contents

ENCSP-365 716
624 717
ENCSP-368 717
625 718
ENCSP-374 718
626 719
ENCSP-433 719
627 720
ENCSP-452 720
628 721
ENCSP-1760 721
629 722
ENCSP-2002 722
630 723
ENCSP-2020 723
631 725
ENCSP-2021 725
632 726
ENCSP-2702 726
633 727
ENCSP-2704 727
634 728
ENCSP-2707 728
635 729
ENCSP-2901 729
636 730

October 2014 51 Product Version 14.20


EDI System Error Message Reference
Table of Contents

ENCSP-2902 730
637 731
ENCSP-2903 731
638 732
ENCSP-5101 732
639 733
ENCSP-5106 733
640 734
ENCSP-5113 734
641 735
ENCSP-5119 735
642 736
ENCSP-5123 736
643 737
ENCSP-5125 737
644 739
ENCSP-5130 739
645 740
ENCSP-5140 740
646 741
ENCSP-5144 741
647 742
ENCSP-5157 742
648 743
ENCSP-5169 743
649 744

October 2014 52 Product Version 14.20


EDI System Error Message Reference
Table of Contents

ENCSP-5178 744
650 745
ENCSP-6014 745
651 746
ENCSP-7201 746
652 747
ENCSP-7207 747
653 748
ENCSP-7208 748
654 749
ENCSP-9025 749
655 750
ENCSP-9042 750
656 751
ENCSP-9514 751
657 752
ENCSP-9516 752
658 753
ENCSPR-17 753
659 754
ENCSPR-19 754
660 755
ENCSPR-20 755
661 756
ENCSPR-25 756
662 757

October 2014 53 Product Version 14.20


EDI System Error Message Reference
Table of Contents

ENCSPR-26 757
663 758
ENCSPR-31 758
664 759
ENCSPR-33 759
665 760
ENCSPR-59 760
666 761
ENCSPR-65 761
667 762
ENCSPR-122 762
668 763
ENCSPR-154 763
669 764
ENCSPR-160 764
670 765
ENCSR-172 765
671 766
ENCSR-187 766
672 767
ENCSR-211 767
673 768
ENCSR-474 768
674 769
ENCSR-486 769
675 770

October 2014 54 Product Version 14.20


EDI System Error Message Reference
Table of Contents

ENCSR-562 770
676 771
ENCSR-563 771
677 772
ENCSR-663 772
678 773
ENCSR-794 773
679 774
ENCSR-1253 774
680 775
ENCSR-1254 775
681 776
ENCSR-1255 776
682 777
ENCSR-1256 777
683 778
ENCSR-1972 778
684 779
ENCSR-1974 779
685 780
ENCSR-2031 780
686 781
ENCSR-2617 781
687 782
ENCSR-4006 782
688 783

October 2014 55 Product Version 14.20


EDI System Error Message Reference
Table of Contents

ENCSR-4072 783
689 784
ENCSR-4073 784
690 785
ENCSR-4301 785
691 786
ENCSYC-2 786
692 787
ENCSYC-179 787
693 788
ENCSYC-194 788
694 789
ENCSYC-274 789
695 790
ENCSYC-288 790
696 791
ENCSYC-289 791
697 792
ENCSYC-557 792
698 793
ENCSYC-993 793
699 794
ENCSYC-1592 794
700 795
ENCSYC-1594 795
701 796

October 2014 56 Product Version 14.20


EDI System Error Message Reference
Table of Contents

ENCSYC-1615 796
702 797
ENCSYC-1636 797
703 799
ENCSYC-1797 799
704 800
ENCSYC-1814 800
705 801
ENCSYC-1816 801
706 802
ENCSYC-1863 802
707 803
ENCSYC-1871 803
708 804
ENCSYC-3161 804
709 805
ENCSYC-6114 805
710 806
ENCSYC-6118 806
711 807
ENCSYC-6152 807
712 808
ENCSYT-901 808
713 809
ENCSYT-4001 809
714 810

October 2014 57 Product Version 14.20


EDI System Error Message Reference
Table of Contents

ENCSYT-6003 810
715 811
ENCSYT-6260 811
716 812
ENCSYT-6692 812
717 813
ENCSYT-6693 813
718 814
ENCSYT-7099 814
719 815
ENCSYT-7221 815
720 816
ENCSYT-7307 816
721 817
ENCSYT-7327 817
722 818
ENCSYT-16006 818
723 819
ENCSYT-16013 819
724 820
ENCSYT-16029 820
725 821
ENCSYT-16038 821
726 822
ENCSYT-20004 822
727 823

October 2014 58 Product Version 14.20


EDI System Error Message Reference
Table of Contents

ENCSYT-40503 823
728 824
ENCSYUTIL-5 824
729 825
ENCSYUTIL-15 825
730 826
ENCSYUTIL-96 826
731 827
ENCSYUTIL-106 827
732 828
ENCTB-2 828
733 829
ENCTB-110 829
734 830
ENCTB-125 830
735 831
ENCTCM-4 831
736 832
ENCTCM-19 832
737 833
ENCTCM-42 833
738 834
ENCTCM-48 834
739 835
ENCTCM-75 835
740 836

October 2014 59 Product Version 14.20


EDI System Error Message Reference
Table of Contents

ENCTCM-113 836
741 837
ENCTR-1107 837
742 838
ENCTR-1109 838
743 839
ENCTR-2106 839
744 840
ENCTR-2108 840
745 841
ENCTR-2322 841
746 842
ENCTR-2325 842
747 843
ENCTR-3823 843
748 844
ENCTR-6402 844
749 845
ENCTR-7102 845
750 846
ENCTR-7111 846
751 847
ENCTR-7122 847
752 848
ENCTR-8007 848
753 849

October 2014 60 Product Version 14.20


EDI System Error Message Reference
Table of Contents

ENCTR-8010 849
754 850
ENCTR-8015 850
755 851
ENCTRN-1101 851
756 852
ENCTS-16 852
757 853
ENCTS-17 853
758 854
ENCTS-282 854
759 855
ENCTS-302 855
760 856
ENCTS-403 856
761 857
ENCTS-413 857
762 858
ENCVAC-0 858
763 859
ENCVAC-96 859
764 860
ENCVFC-3 860
765 861
ENCVFC-16 861
766 862

October 2014 61 Product Version 14.20


EDI System Error Message Reference
Table of Contents

ENCVFC-200 862
767 863
ENCVFG-47 863
768 864
ENCVFG-103 864
769 865
ENCVFG-129 865
770 866
ENCVFG-173 866
771 867
ENCVFG-185 867
772 868
ENCVFG-198 868
773 869
ENCVFG-206 869
774 870
ENCVFG-207 870
775 871
ENCVFG-218 871
776 872
ENCVFG-251 872
777 873
ENCVFG-252 873
778 874
ENCVFG-1198 874
779 875

October 2014 62 Product Version 14.20


EDI System Error Message Reference
Table of Contents

ENCVL-209 875
780 876
ENCVL-300 876
781 877
ENCVL-315 877
782 878
ENCVL-319 878
783 879
ENCVL-346 879
784 880
ENCVL-355 880
785 881
ENCVL-356 881
786 882
ENCVL-366 882
787 883
ENCVL-900 883
788 884
ENCVL-902 884
789 885
ENCVPA-55 885
790 886
NRDB-18 886
791 887
NRDB-37 887
792 888

October 2014 63 Product Version 14.20


EDI System Error Message Reference
Table of Contents

NRDB-51 888
793 889
NRDB-87 889
794 890
NRDB-158 890
795 891
NRDB-164 891
796 892
NRDB-166 892
797 893
NRDB-319 893
798 894
NRDB-331 894
799 895
NRDB-605 895
800 896
NRDB-608 896
801 897
NRDB-609 897
802 898
NRDB-628 898
803 899
NRDB-629 899
804 900
NRDB-631 900
805 901

October 2014 64 Product Version 14.20


EDI System Error Message Reference
Table of Contents

NRDB-676 901
806 902
NRDB-682 902
807 903
NRDB-726 903
808 904
NRDB-728 904
809 905
NRDB-733 905
810 906
NRDB-741 906
811 907
NRDB-778 907
812 908
NRDB-855 908
813 909
NRDB-874 909
814 910
NRDB-912 910
815 911
NRDB-944 911
816 912
NRDB-954 912
817 913
NRDB-955 913
818 914

October 2014 65 Product Version 14.20


EDI System Error Message Reference
Table of Contents

NRDB-976 914
819 915
NRDB-1005 915
820 916
NRDB-1007 916
821 917
NRDB-2005 917
822 918
NRDB-2016 918
823 919
NRDB-2026 919
824 920
NRDB-2040 920
825 921
NRDB-2062 921
826 922
NRDB-2081 922
827 923
NRDB-2085 923
828 924
NRDB-2111 924
829 925
NRDR-4 925
830 926
NRDR-12 926
831 927

October 2014 66 Product Version 14.20


EDI System Error Message Reference
Table of Contents

NRDR-13 927
832 928
NRDR-30 928
833 929
NRDR-122 929
834 930
NRDR-126 930
835 931
NRDR-129 931
836 932
NRDR-175 932
837 933
NRDR-240 933
838 934
NREX-32 934
839 935
NRFL-215 935
840 936
NRFL-217 936
841 937
NRFL-374 937
842 938
NRGR-21 938
843 939
NRGR-59 939
844 940

October 2014 67 Product Version 14.20


EDI System Error Message Reference
Table of Contents

NRGR-145 940
845 941
NRGR-164 941
846 942
NRGR-190 942
847 943
NRGR-228 943
848 944
NRIG-34 944
849 945
NRIG-43 945
850 946
NRIG-74 946
851 947
NRIG-77 947
852 948
NRIG-96 948
853 949
NRTM-24 949
854 950
PRL-0037 950
855 951
PRL-0038 951
856 952
PRL-0389 952
857 953

October 2014 68 Product Version 14.20


EDI System Error Message Reference
Table of Contents

PRL-0390 953
858 954
SI-2245 954
859 955
SI-2250 955
860 956
SOCCK-723 956
861 957
TA-146 957
862 958
TA-152 958
863 959
TA-523 959
864 960
TA-531 960
865 961
TA-976 961
866 962
TAMODEL-302 962
867 963
TCLCMD-513 963
868 964
TCLCMD-917 964
869 965
TCLCMD-1004 965
870 966

October 2014 69 Product Version 14.20


EDI System Error Message Reference
Table of Contents

TCLCMD-1032 966
871 967
TCLCMD-1048 967
872 968
TCLCMD-1065 968
873 969
TCLCMD-1083 969
874 970
TECHLIB-924 970
875 971
WHATIF-2 971
876 972
WHATIF-3 972

October 2014 70 Product Version 14.20


EDI System Error Message Reference
ENCAFP-1873

1
ENCAFP-1873

NAME
ENCAFP-1873

SYNOPSIS
Old constraint file format for planDesign.

DESCRIPTION
This message occurs because the constraint file format you have speci- fied for planDesign is not
up-to-date. Please use 'planDesign -genTem- plateOnly <file>'to get a template file or use
'mp::dumpConstraint <outFile>' to convert your constraint to new format.
Example: For more detail, please run 'man planDesign'.
ENCAFP-1873(14.20)

October 2014 71 Product Version 14.20


EDI System Error Message Reference
ENCAFP-1909

2
ENCAFP-1909

NAME
ENCAFP-1909

SYNOPSIS
Same seed name %s specified twice in constraint file.

DESCRIPTION
If constraint file has same seed name defined under BEGIN SEED state- ment then the commands
"planDesign -constraint <file_name>" and "multi- PlanDesign-constraint <file_name> on " will
output this warning.
Example: Say a constraint file 'seed.txt' has the below content :- BEGIN SEED name=
DTMF_INST/SPI_INST util=0.6 createFence=true name= DTMF_INST/SPI_INST util=0.75
createFence=true minFenceToFenceS- pace=60 END SEED Then, 'planDesign -constraint
seed.txt' or 'multiPlanDesign -constraint seed.txt on' will give the warning. " **WARN: (ENCAFP-
1909): Same seed name DTMF_INST/SPI_INST specified twice in constraint file. "
ENCAFP-1909(14.20)

October 2014 72 Product Version 14.20


EDI System Error Message Reference
ENCAFP-2031

3
ENCAFP-2031

NAME
ENCAFP-2031

SYNOPSIS
Module %s has a createFence=true constraint on it, but it already has a fence in the database.
Check constraint file and remove this constraint to avoid this message.

DESCRIPTION
When parsing the constraints file planDesign verifies modules with cre- ateFence=true are not
already defined as fences in the floorplan. If they are, this error message is issued. To avoid this
error remove this constraint from the planDesign constraint file or edit the attributes of the module
and change its Constraint Type to None.
Example: BEGIN SEED name=%s createFence=true
ENCAFP-2031(14.20)

October 2014 73 Product Version 14.20


EDI System Error Message Reference
ENCAFP-3353

4
ENCAFP-3353

NAME
ENCAFP-3353

SYNOPSIS
Failed to honor at least one MPGroup Fence|Hard or Power Domain con- straint.

DESCRIPTION
Check planDesign constraint file whether the seed names are provided correctly."name" in the
constraint file should be a hierarchy or inst group.
Example: Example: BEGIN SEED name=A1/B2 util=0.75 name=C1/D3/M5 createFence=true
minFenceToFenceSpace=60 name=E1 minWHRatio=0.25 maxWHRatio=4.0 name=F2 master=E1
cloneOrient={R0|MX} name=PDGp1 util=0.6 createFence=true minFenceToInsideMacroSpace=10
# H1 and H2 can be existing fences. name=H1 minFenceToInsideMacroSpace=10
minFenceToOutsideMacroSpace=10 name=H2 minInsideFenceMacroToMacroSpace=15 END
SEED
ENCAFP-3353(14.20)

October 2014 74 Product Version 14.20


EDI System Error Message Reference
ENCAFP-3928

5
ENCAFP-3928

NAME
ENCAFP-3928

SYNOPSIS
Something wrong in parsing your seed file %s.

DESCRIPTION
The message is issued due to syntax error that is parsed as constraint file for planDesign. User to
look and correct the syntax.
Example: Follow below example for correct syntax For example: BEGIN SEED name=A1/B2
util=0.75 name=C1/D3/M5 createFence=true minFenceToFenceSpace=60 name=E1
minWHRatio=0.25 maxWHRatio=4.0 name=F2 master=E1 cloneOrient={R0|MX} name=PDGp1
util=0.6 createFence=true minFenceToInsideMacroSpace=10 # H1 and H2 can be existing fences.
name=H1 minFenceToInsideMacroSpace=10 minFenceToOutsideMacroSpace=10 name=H2
minInsideFenceMacroToMacroSpace=15 END SEED
ENCAFP-3928(14.20)

October 2014 75 Product Version 14.20


EDI System Error Message Reference
ENCAFP-3952

6
ENCAFP-3952

NAME
ENCAFP-3952

SYNOPSIS
It is not recommended to run planDesign with too many instances (%d) already pre-placed.

DESCRIPTION
Too many preplaced std. cells can affect planDesign quality as well as slow down run. So we will
issue this ERROR message when pre-placed instances is over 5000 for non-IO & non-Hardmacro.
Example: [DEV]encounter 4> placeJtag -nrRowLeft 8 -nrRowRight 8 -nrRowTop 8 -nrRowBottom
[DEV]encounter 4> planDesign Design Statistics : Fixed StdCells: 9113, Fixed HMs: 0, IO Cells:
982 **ERROR: (SOCAFP-3952): It is not recommended to run planDesign with too many instances
(9113) already pre-placed. The limit is 5000 non-IO non-Hardmacro pre-placed standard cells.
Please use setPlanDesignMode -freePreplaced or setPlanDesignMode -ignorePreplaced to
continue
ENCAFP-3952(14.20)

October 2014 76 Product Version 14.20


EDI System Error Message Reference
ENCAFP-4031

7
ENCAFP-4031

NAME
ENCAFP-4031

SYNOPSIS
Placer cannot change the specified hierarchical instance %s to have the same shape and size as
their clone members due to congested surrounding or other reason(s). Manually re-adjust this
hierarchical instance boundary once the placement is done.

DESCRIPTION
ENCAFP-4031(14.20)

October 2014 77 Product Version 14.20


EDI System Error Message Reference
ENCAFP-4409

8
ENCAFP-4409

NAME
ENCAFP-4409

SYNOPSIS
The instance group %s does not have any member instances. Instances can be added to the group
using the command addInstToInstGroup. Addition- ally, groups can be defined as part of the
floorplan file and/or the DEF file. Make sure the group is properly defined in these files and re-load,
assign the relevant instances to the group using addInstToIn- stGroup, or delete the instance group.

DESCRIPTION
There are no further details for this message
ENCAFP-4409(14.20)

October 2014 78 Product Version 14.20


EDI System Error Message Reference
ENCAFP-5000

9
ENCAFP-5000

NAME
ENCAFP-5000

SYNOPSIS
Seed constraint %s at line %d is not a fence in db. Ignore this con- straint.

DESCRIPTION
checkFPlanSpace will check fences inside Masterplan constraint file. If it is not fence, it will issue
this message to tell user checkF- PlanSpace will not check it.
Example: (1) Seed file VERSION 1.0 BEGIN SEED name=U_IOP/U_IOP_MPI
name=U_IOP/U_IOP_MPI END SEED (2) <CMD> checkFPlanSpace -reportWarning -constraint
tn_seed **WARN: (ENCAFP-5000): Seed constraint U_IOP/U_IOP_MPI at line 3 is not a fence in
db. Ignore this constraint.
ENCAFP-5000(14.20)

October 2014 79 Product Version 14.20


EDI System Error Message Reference
ENCAFP-5002

10
ENCAFP-5002

NAME
ENCAFP-5002

SYNOPSIS
Macro constraint %s at line %d is not placed in db (macro %s). Ignore this macro.

DESCRIPTION
This warning comes during planDesign -constraint <> or multiPlanDesign- constraint <> when there
is Macro defined in Macro Section BEGIN MACRO ... END MACRO in constraint file without
isCell=true/false defined for the macro.
ENCAFP-5002(14.20)

October 2014 80 Product Version 14.20


EDI System Error Message Reference
ENCAFP-5029

11
ENCAFP-5029

NAME
ENCAFP-5029

SYNOPSIS
Found Fence to fence violation on area %.3f %.3f %.3f %.3f for fence %s and %s.

DESCRIPTION
We could add this line along with the existing WARN message.There is not enough area for fence
to adjust its location inside core area hence there is overlap between fences.
Example: (1) Seed file VERSION 1.0 BEGIN SEED
name=U_IOP/INSTANCE_IOP_CHANNEL_AND_CLK_GATING[9].U_IOP_CHANNEL
createfence=true util=0.75 minFenceToFenceSpace=50
name=U_IOP/INSTANCE_IOP_CHANNEL_AND_CLK_GATING[10].U_IOP_CHANNEL
createfence=true util=0.75 minFenceToFenceSpace=50
name=U_IOP/INSTANCE_IOP_CHANNEL_AND_CLK_GATING[11].U_IOP_CHANNEL
createfence=true util=0.75 minFenceToFenceSpace=50 END SEED (2) <CMD> planDesign -
constraints tn_seed.11 **WARN: There is not enough area for fence to adjust its location inside
core area hence there is overlap between fences. **WARN: (ENCAFP-5029): Found Fence to
fence violation on area 995.150 335.650 1203.670 335.750 for fence
U_IOP/INSTANCE_IOP_CHANNEL_AND_CLK_GATING[9].U_IOP_CHANNEL and
U_IOP/INSTANCE_IOP_CHANNEL_AND_CLK_GATING[11].U_IOP_CHANNEL.
ENCAFP-5029(14.20)

October 2014 81 Product Version 14.20


EDI System Error Message Reference
ENCAFP-9003

12
ENCAFP-9003

NAME
ENCAFP-9003

SYNOPSIS
No license for %s In EDI 11.1 and prior .

DESCRIPTION
The error is reported because Encounter does not have the proper license available to run these
features.%s requires one of the follow- ing licenses. The command in parenthesis shows what
options to use when invoking the tool to check out the proper license:For more information on
Encounter Digital Implementation (EDI) System licensing and packag- ing please see the chapter
titled "Product and Licensing Information"in the Encounter User Guide.
Example: First Encounter XL or GXL (encounter -fexl or -fegxl) SOC Encounter XL or GXL
(encounter -socexl or -socegxl) Encounter Digital Implementation System L or XL (velocity -edsl or -
edsxl)
ENCAFP-9003(14.20)

October 2014 82 Product Version 14.20


EDI System Error Message Reference
ENCAFP-9021

13
ENCAFP-9021

NAME
ENCAFP-9021

SYNOPSIS
Failed to read in timing data, check timing constraint or library, set timingDriven false.

DESCRIPTION
planDesign command in EDI generates a quick, initial floorplan that can be used as a starting point
for making the final floorplan. Use the planDesign command to create multiple alternative
floorplans. User can then test the floorplans to find the one that gives the best placement and
routing results. PlanDesign can be run in timingDrivenMode. By default, Automatic Floorplan
Synthesis takes timing constraints into account during floorplan generation, if timing libraries (.lib)
and SDC constraint files are loaded in the design. It will not perform timing aware floorplanning if
either the timing library or constraint file is not loaded, and if either liberty or constraint file is missing
plan- Design would issue the warning message : "Failed to read in timing data, check timing
constraint or library, set timingDriven false." This warning message is added to tell user the reason
is that the timing data is lacking or not colmplete.
ENCAFP-9021(14.20)

October 2014 83 Product Version 14.20


EDI System Error Message Reference
ENCAFP-9108

14
ENCAFP-9108

NAME
ENCAFP-9108

SYNOPSIS
Cannot create directory %s due to no write permission.

DESCRIPTION
There are no further details for this message
ENCAFP-9108(14.20)

October 2014 84 Product Version 14.20


EDI System Error Message Reference
ENCAFP-9117

15
ENCAFP-9117

NAME
ENCAFP-9117

SYNOPSIS
Set option %s to on, it is invalid to use -autoTrial -%s on_off.

DESCRIPTION
If you specify the -autoTrials parameter, you can specify only the on value for boolean parameters.
You cannot specify on_off. For example, you can specify -boundaryPlace on, but you cannot
specify -boundary- Place on_off.
Example: <CMD> multiPlanDesign -autoTrials 2 -congAware on_off -effort medium # string,
default=medium **WARN: (ENCAFP-9117): Set option congAware to on, it is invalid to use -
autoTrial -congAware on_off.
ENCAFP-9117(14.20)

October 2014 85 Product Version 14.20


EDI System Error Message Reference
ENCAFP-9641

16
ENCAFP-9641

NAME
ENCAFP-9641

SYNOPSIS
Constraint file %s does not exist. Check input.

DESCRIPTION
The message is issued due to syntax error that is parsed as constraint file for planDesign. User to
look and correct the syntax.
Example: Follow below example for correct syntax
For example: BEGIN SEED name=A1/B2 util=0.75 name=C1/D3/M5 createFence=true
minFenceToFenceSpace=60 name=E1 minWHRatio=0.25 maxWHRatio=4.0 name=F2 master=E1
cloneOrient={R0|MX} name=PDGp1 util=0.6 createFence=true minFenceToInsideMacroSpace=10
# H1 and H2 can be existing fences. name=H1 minFenceToInsideMacroSpace=10
minFenceToOutsideMacroSpace=10 name=H2 minInsideFenceMacroToMacroSpace=15 END
SEED
ENCAFP-9641(14.20)

October 2014 86 Product Version 14.20


EDI System Error Message Reference
ENCAFP-9642

17
ENCAFP-9642

NAME
ENCAFP-9642

SYNOPSIS
The utilization of seed %s is greater than %100.

DESCRIPTION
Density over 100% is likely caused by PlanDesign shrinking its con- straint to avoid an overlap.
One solution for this case is to setPlan- Design -refineSeed{-rectilinearGuides}. This will enable the
refineSeed to create the rectilinear guides to avoid overlaps. In default, plan- Design only create
rectangle guides.
Example: setPlanDesign -refineSeed {-rectilinearGuides}
ENCAFP-9642(14.20)

October 2014 87 Product Version 14.20


EDI System Error Message Reference
ENCAFP-9643

18
ENCAFP-9643

NAME
ENCAFP-9643

SYNOPSIS
The needed area is greater than the available place area in fence %s with current utilization %f,
adjust the fence utilization to %f.

DESCRIPTION
This Warning message is generated when total cell area of the mod- ule/modules assigned to this
fence is greater than available placa- ble area in the fence
Example: In order to fix this issue the user needs to change the fence utiliza- tion, thereby
increasing placement area in the Fence. This can be done using Move/Resize/Reshape button in
the floorplan GUI or by re-running "cre- ateFence" command with the increased box size
ENCAFP-9643(14.20)

October 2014 88 Product Version 14.20


EDI System Error Message Reference
ENCAFP-9644

19
ENCAFP-9644

NAME
ENCAFP-9644

SYNOPSIS
Adjust the seed %s utilization from %f to %f.

DESCRIPTION
When defining utilization for fence is too small in Masterplan con- straint file,total fence area is
possible too large and over core area. In this condition,tool will issue this message.We could add
this line along with the existing WARN message being specified.
Example: (1) Seed file: VERSION 1.0 BEGIN SEED name=U_IOP/U_IOP_MPI createfence=true
util=0.3 name=U_IOP/INSTANCE_IOP_CHANNEL_AND_CLK_GATING[0].U_IOP_CHANNEL
createfence=true util=0.3
name=U_IOP/INSTANCE_IOP_CHANNEL_AND_CLK_GATING[1].U_IOP_CHANNEL
createfence=true util=0.3 END SEED (2) planDesign -constraints tn_seed.11 **WARN: (ENCAFP-
9644): Adjust the seed U_IOP/U_IOP_MPI utilization from 0.300000 to 0.727028. **WARN:
(ENCAFP-9644): Adjust the seed
U_IOP/INSTANCE_IOP_CHANNEL_AND_CLK_GATING[0].U_IOP_CHANNEL utilization from
0.300000 to 0.727028. **WARN: (ENCAFP-9644): Adjust the seed
U_IOP/INSTANCE_IOP_CHANNEL_AND_CLK_GATING[1].U_IOP_CHANNEL utilization from
0.300000 to 0.727028.
ENCAFP-9644(14.20)

October 2014 89 Product Version 14.20


EDI System Error Message Reference
ENCAFP-9645

20
ENCAFP-9645

NAME
ENCAFP-9645

SYNOPSIS
The needed area is greater than the available place area in top level, adjust the fence utilization to
%f.

DESCRIPTION
When fence utilization in the Masterplan constraint file is too small, the fence area is possible
greater than the available place area in top level. In this scenario, it will issue this message.
Example: (1) Seed file of tn_seed.11 VERSION 1.0 BEGIN SEED name=U_IOP/U_IOP_MPI
createfence=true util=0.3
name=U_IOP/INSTANCE_IOP_CHANNEL_AND_CLK_GATING[0].U_IOP_CHANNEL
createfence=true util=0.3
name=U_IOP/INSTANCE_IOP_CHANNEL_AND_CLK_GATING[1].U_IOP_CHANNEL
createfence=true util=0.3 END SEED (2) planDesign -constraints tn_seed.11 **WARN: (ENCAFP-
9645): The needed area is greater than the available place area in top level, adjust the fence
utilization to 0.727028. **WARN: (ENCAFP-9644): Adjust the seed U_IOP/U_IOP_MPI utilization
from 0.300000 to 0.727028. **WARN: (ENCAFP-9644): Adjust the seed
U_IOP/INSTANCE_IOP_CHANNEL_AND_CLK_GATING[0].U_IOP_CHANNEL utilization from
0.300000 to 0.727028. **WARN: (ENCAFP-9644): Adjust the seed
U_IOP/INSTANCE_IOP_CHANNEL_AND_CLK_GATING[1].U_IOP_CHANNEL utilization from
0.300000 to 0.727028.
ENCAFP-9645(14.20)

October 2014 90 Product Version 14.20


EDI System Error Message Reference
ENCAFP-9649

21
ENCAFP-9649

NAME
ENCAFP-9649

SYNOPSIS
The option %s is not supported in high effort; it is a medium effort option

DESCRIPTION
setPlanDesignMode -keepGuide is only supported when Automatic FloorPlan Synthesis is run in
medium effort.Module guides created as part of Automatic FloorPlan Synthesis can be either
retained or discarded. This can be controlled by option:
Example: The following script will result in this error when using EDI version 13.1 and older
setPlanDesignMode -effort high setPlanDesignMode -keepGuide false planDesign
To avoid this error, change effort from -high to -medium
setPlanDesignMode -effort medium setPlanDesignMode -keepGuide false planDesign
ENCAFP-9649(14.20)

October 2014 91 Product Version 14.20


EDI System Error Message Reference
ENCAFP-9654

22
ENCAFP-9654

NAME
ENCAFP-9654

SYNOPSIS
The -virtualFence option of the setPlanDesignMode command will be obso- lete in the future. The -
useGuideBoundary option with fence value should be used instead to treat guides as virtual fences
when placing hard macros.

DESCRIPTION
There are no further details for this message
ENCAFP-9654(14.20)

October 2014 92 Product Version 14.20


EDI System Error Message Reference
ENCAFPU-3817

23
ENCAFPU-3817

NAME
ENCAFPU-3817

SYNOPSIS
-timing is ignored because .lib or sdc is not available.

DESCRIPTION
The option -timing is ignored because timing information is not avail- able. Check to make sure both
timing libraries and SDC constraints have been loaded properly. Timing libraries are defined using
cre- ate_library_set and SDC constraints are defined using create_con- straint_mode. These
commands are either part of a user initialization (TCL) script or in the viewDefinition.tcl file that is
part of the design database. Verify that this information is being defined properly and that the
relevant files exist and are readable.
ENCAFPU-3817(14.20)

October 2014 93 Product Version 14.20


EDI System Error Message Reference
ENCAFPU-3819

24
ENCAFPU-3819

NAME
ENCAFPU-3819

SYNOPSIS
-timing is ignored in low effort.

DESCRIPTION
Command analyzeFloorplan with low effort provides cluster mode place- ment (non-timing driven).
This results in low analysis accuracy, but a fast run time. Option '-timing' is ignored in low effort
because place- ment is in cluster mode and without timing driven. Option '-timing' can be specified
in medium or high effort analyzeFloorplan.
ENCAFPU-3819(14.20)

October 2014 94 Product Version 14.20


EDI System Error Message Reference
ENCAFPU-9005

25
ENCAFPU-9005

NAME
ENCAFPU-9005

SYNOPSIS
In Flex-model flow, 'analyzeFloorplan -cong' should be run before 'ana- lyzeFloorplan -timing' to
ensure the design is routable before checking timing.

DESCRIPTION
In Flex-model flow, analyzeFloorplan -timing is running by 4 iterations of timing-driven trialroute by
default. During the flex model flow the first call to analyzeFloorplan is recommended to use
analyzeFloorplan -cong (instead of -timing) to run trialroute only once and make sure quickly that
your design is routable.
ENCAFPU-9005(14.20)

October 2014 95 Product Version 14.20


EDI System Error Message Reference
ENCAFPU-9201

26
ENCAFPU-9201

NAME
ENCAFPU-9201

SYNOPSIS
The created fence of %s overlaps with HInst %s.

DESCRIPTION
Fence has a boundary shape that overlaps with the bounding box for another hierarchical instance.
Remove the overlap by resizing one of the objects. To do this, select the object in the GUI and use
the resize button or use setObjFPlanBox and setObjFPlanBoxList commands to redefine the
boundary.
ENCAFPU-9201(14.20)

October 2014 96 Product Version 14.20


EDI System Error Message Reference
ENCAFPU-9202

27
ENCAFPU-9202

NAME
ENCAFPU-9202

SYNOPSIS
The created fence of %s overlaps with Inst %s.

DESCRIPTION
Fence has a bounding box that overlaps with the placement of instance. If the instance should be
placed inside the fence, then add it to the instance group using 'addInstToInstGroup <group>
<instance>'. If not, then remove the overlap by resizing the fence or by moving the instance.
ENCAFPU-9202(14.20)

October 2014 97 Product Version 14.20


EDI System Error Message Reference
ENCCCE-6

28
ENCCCE-6

NAME
ENCCCE-6

SYNOPSIS
Cannot guarantee pg pin color without conflict

DESCRIPTION
There are no further details for this message
ENCCCE-6(14.20)

October 2014 98 Product Version 14.20


EDI System Error Message Reference
ENCCCOPT-1007

29
ENCCCOPT-1007

NAME
ENCCCOPT-1007

SYNOPSIS
Did not meet the max transition constraint. %s

DESCRIPTION
Failure to meet a maximum transition time constraint in the clock tree network usually occurs
because:
- Specified transition time is too low. Use 'get_ccopt_property tar- get_max_trans' to check the
global setting, and 'get_ccopt_property target_max_trans -clock_tree' to check the setting on each
clock tree. Use 'report_ccopt_clock_trees' to get a list of violating pins, then check the isDontTouch
property on the attached net.
- A net cannot be buffered because it has been marked dont_touch. Use 'report_ccopt_clock_trees'
to get a list of violating pins, then check the isDontTouch property on the attached net.
- A net cannot be buffered because some aspect of the floorplan (power domains, blockages,
regions, macros or other fixed instances), prevent placement of buffers.
- Detailed routing of the clock tree has produced routing detours, or excessive numbers of small
jogs with vias.
For floorplan and/or routing issues, find the offending net(s) with 'report_ccopt_clock_trees' as
above, and observe the floorplan where the net has been routed.
ENCCCOPT-1007(14.20)

October 2014 99 Product Version 14.20


EDI System Error Message Reference
ENCCCOPT-1013

30
ENCCCOPT-1013

NAME
ENCCCOPT-1013

SYNOPSIS
%s slew time target of %s is far too low. It needs to be increased to at least %s (and preferably
several times that). Terminating CTS...

DESCRIPTION
This usually occurs because:
- The transition time specified has no units specified. For example a user may specify a transition
time target of 0.1, not realizing that the library units are ps. In this case, specify the units explicitly
(0.1ns instead of 0.1).
- The specified list of clock tree cells (buffers, inverters, clock gates) is very weak. Use
'ccopt_check_prerequisites' to obtain a a list of clock tree cells used, and check their drive strength.
ENCCCOPT-1013(14.20)

October 2014 100 Product Version 14.20


EDI System Error Message Reference
ENCCCOPT-1014

31
ENCCCOPT-1014

NAME
ENCCCOPT-1014

SYNOPSIS
In power domain %s, CTS has found that %s can drive %lfcm of wire. This will effectively result in
CTS ignoring placement. %s

DESCRIPTION
CTS timing code has found that a single buffer can drive a huge amount of wire in a given power
domain. This could be caused by one or more of the following:
1) The layer technology information in the LEF file(s) might be missing or incorrect.
2) An operating condition might be incorrectly configured or using incorrect library data.
3) A capacitance or resistance multiplier may be set incorrectly.
4) The QRC technology file (or captables if no QRC technology file is present) is incorrect.
5) A buffer cell may be poorly characterized.
6) The SDC file and .lib files may be in different time or capacitance units.
ENCCCOPT-1014(14.20)

October 2014 101 Product Version 14.20


EDI System Error Message Reference
ENCCCOPT-1021

32
ENCCCOPT-1021

NAME
ENCCCOPT-1021

SYNOPSIS
The lib cell '%s' specified in %s property is not a valid %s. Either remove this cell from the list, or
check the .lib model description.

DESCRIPTION
The user has specified a lib cell in a list of cells to be used by CTS (buffers, inverters, clock gates,
delay cells), but the cell specified does not have the requisite function. Either remove the cell from
the list defined by CCOpt properties (buffer_cells, inverter_cells, clock_gating_cells, logic_cells,
delay_cells), or look closely at the .lib model description.
ENCCCOPT-1021(14.20)

October 2014 102 Product Version 14.20


EDI System Error Message Reference
ENCCCOPT-1023

33
ENCCCOPT-1023

NAME
ENCCCOPT-1023

SYNOPSIS
Did not meet the skew target of %s

DESCRIPTION
The user can specify the skew limit on specific skew groups (explore set_ccopt_property
target_skew). Failure to meet this constraint could occur because the skew limit target (set by the
CCOpt property tar- get_skew) is too tight for CTS to achieve. If that target is reason- able, then
check the result of 'report_ccopt_skew_groups' for the fol- lowing items:
1. One or more nets in the clock tree are unbufferable.
2. Poor availability of clock tree buffer/inverter cells.
3. A skew target had to be slackened off due to conflicts with other skew groups. Check the log file
for messages containing the phrase 'slackened off'.
ENCCCOPT-1023(14.20)

October 2014 103 Product Version 14.20


EDI System Error Message Reference
ENCCCOPT-1026

34
ENCCCOPT-1026

NAME
ENCCCOPT-1026

SYNOPSIS
Did not meet the insertion delay target of %s

DESCRIPTION
The user can specify the insertion delay limit on specific skew groups (explore set_ccopt_property
target_insertion_delay). Failure to meet this constraint could occur because the insertion delay limit
target (set by the CCOpt property target_insertion_delay) is too tight for CTS to achieve. If that target
is reasonable, then check the result of 'report_ccopt_skew_groups' for the following items:
1. One or more nets in the clock tree are unbufferable.
2. Poor availability of clock tree buffer/inverter cells.
3. An insertion delay target had to be slackened off due to conflicts with other skew groups. Check
the log file for messages containing the phrase 'slackened off'.
ENCCCOPT-1026(14.20)

October 2014 104 Product Version 14.20


EDI System Error Message Reference
ENCCCOPT-1033

35
ENCCCOPT-1033

NAME
ENCCCOPT-1033

SYNOPSIS
Did not meet the max_capacitance constraint of %s

DESCRIPTION
This warning at the end of ccopt run indicates that the max_capacitance constraint is not met on
certain clock tree cell in a given clock tree.
This could happen due to the following reasons:
1. Inappropriate max_capacitance constraint on clock tree cells (too tight to be achieved).
2. One or more of the nets in the clock tree are unbufferable, due to power_domain, blockages,
region constraints or dont_touch settings.
3. Congestion has yielded detoured nets during detailed routing of the clock nets.
4. The clock tree has a very large load ( such as memory, hard blocks) that exceeds the
max_capacitance limit on its own.
ENCCCOPT-1033(14.20)

October 2014 105 Product Version 14.20


EDI System Error Message Reference
ENCCCOPT-1038

36
ENCCCOPT-1038

NAME
ENCCCOPT-1038

SYNOPSIS
CTS cannot be performed on this design currently because no area is available in which to place
clock tree cells in the entire floorplan. Check that you have some rows defined which are not
covered by block- ages.

DESCRIPTION
CTS cannot be performed on this design currently because no area is available in which to place
clock tree cells in the entire floorplan. Check that you have some rows defined which are not
covered by block- ages. You can avoid this error check by setting the option cts_over-
ride_zero_placeable_area, which tells CTS to run despite having zero placeable area.
ENCCCOPT-1038(14.20)

October 2014 106 Product Version 14.20


EDI System Error Message Reference
ENCCCOPT-1041

37
ENCCCOPT-1041

NAME
ENCCCOPT-1041

SYNOPSIS
The source_output_max_trans is set for %s, but source_max_capacitance is not. %s will assume a
maximum driven capacitance of %s.

DESCRIPTION
At the root pin of the clock tree, the user has set a target for the transition time at the output pin, but
has not a max capacitance con- straint at the pin. CTS will compute and use a reasonable value for
the maximum capacitance. If you are satisfied with this setting, you need not do anything. If not, then
set source_max_capacitance explicitly.
ENCCCOPT-1041(14.20)

October 2014 107 Product Version 14.20


EDI System Error Message Reference
ENCCCOPT-1053

38
ENCCCOPT-1053

NAME
ENCCCOPT-1053

SYNOPSIS
CTS has set the opt_ignore property on clock tree %s. This clock tree cannot be synthesized. It's
most likely that there are no buffers or inverters available for this clock tree, or this clock tree is in a
dont_touch module or all nets in this clock tree are dont_touch or this clock tree is in an
unbufferable or fully blocked power-domain.

DESCRIPTION
CTS has set the opt_ignore property on the clock_tree. This clock tree cannot be synthesized.
This may have occurred for any/all of the following reasons:
1) All nets in the clock tree are dont_touch.
2) The clock tree is in a dont_touch module.
3) The clock tree is in an unbufferable or fully-blocked power-domain.
4) There are no buffers or inverters available to use for the clock tree. You may be able to fix this
issue by specifying lib_cells for CTS to use, with the buffer_cells and inverter_cells properties on
this clock tree.
ENCCCOPT-1053(14.20)

October 2014 108 Product Version 14.20


EDI System Error Message Reference
ENCCCOPT-1062

39
ENCCCOPT-1062

NAME
ENCCCOPT-1062

SYNOPSIS
The following skew groups have invalid sink and/or ignore_pin assign- ments: %s

DESCRIPTION
This occurs when one or more has been specified as either active sink pins or ignore pins of a skew
group, but the pin does not reside in the clock tree network. All pins on skew groups must reside in
the clock tree network. This usually occurs because of incorrect user edits made to the skew groups
and/or clock trees after automatic creation of the clock tree specification with
create_ccopt_clock_tree_spec.
ENCCCOPT-1062(14.20)

October 2014 109 Product Version 14.20


EDI System Error Message Reference
ENCCCOPT-1066

40
ENCCCOPT-1066

NAME
ENCCCOPT-1066

SYNOPSIS
%s

DESCRIPTION
This message is issued when CTS clustering has ignored some small blockages for performance
reasons. This can provide improvements in clustering run-time on designs with large numbers of
very small block- ages. By default, blockages smaller than the minimum row height squared will be
ignored during clustering.
This behavior can be disabled by setting the cts_cluster- ing_ignore_tiny_blockages option to false.
Alternatively, the area threshold below which blockages are ignored by clustering can be set with
the cts_clustering_ignore_tiny_blockages_area option.
CTS steps after clustering will still respect all blockages regardless of size. The legality of the clock
trees will not be affected by using these options in a full CTS run.
ENCCCOPT-1066(14.20)

October 2014 110 Product Version 14.20


EDI System Error Message Reference
ENCCCOPT-1068

41
ENCCCOPT-1068

NAME
ENCCCOPT-1068

SYNOPSIS
clock_root:%s has no single skew group in cts.skew_groups.source prop- erty for this clock_tree
property: %s

DESCRIPTION
This message is issued when CCOpt cannot access a skew group property via a clock tree, due to
the clock_tree not having a single skew_group listed in its cts.skew_groups.source property.
The following properties have moved from clock_tree design objects to skew_group design objects:
cts.target.skew_group
cts.target.skew
cts.target.skew.<corner>.<path>
cts.target.skew
cts.target.insertion_delay
cts.last_target.insertion_delay
cts.last_target.skew.<corner>.<path>
Accessing these properties on clock_tree design objects is obsolete. Scripts which loop through
clock trees accessing these properties should be updated to access these properties on the
appropriate skew group design objects directly.
ENCCCOPT-1068(14.20)

October 2014 111 Product Version 14.20


EDI System Error Message Reference
ENCCCOPT-1069

42
ENCCCOPT-1069

NAME
ENCCCOPT-1069

SYNOPSIS
%s

DESCRIPTION
The flop indicated has been marked dont_gate as there are no usable clock gates for its power
domain that have the correct power management configuration.
ENCCCOPT-1069(14.20)

October 2014 112 Product Version 14.20


EDI System Error Message Reference
ENCCCOPT-1070

43
ENCCCOPT-1070

NAME
ENCCCOPT-1070

SYNOPSIS
Found no matching paths from %s.

DESCRIPTION
The source indicated was specified as an argument to -from in the report_skew_groups command,
however no paths matched begin at this pin.
ENCCCOPT-1070(14.20)

October 2014 113 Product Version 14.20


EDI System Error Message Reference
ENCCCOPT-1071

44
ENCCCOPT-1071

NAME
ENCCCOPT-1071

SYNOPSIS
Found no matching paths through %s.

DESCRIPTION
The pin indicated was specified as an argument to -through in the report_skew_groups command,
however no paths matched run through this pin.
ENCCCOPT-1071(14.20)

October 2014 114 Product Version 14.20


EDI System Error Message Reference
ENCCCOPT-1072

45
ENCCCOPT-1072

NAME
ENCCCOPT-1072

SYNOPSIS
Found no matching paths to %s.

DESCRIPTION
The sink indicated was specified as an argument to -to in the report_skew_groups command,
however no paths matched terminate at this pin.
ENCCCOPT-1072(14.20)

October 2014 115 Product Version 14.20


EDI System Error Message Reference
ENCCCOPT-1073

46
ENCCCOPT-1073

NAME
ENCCCOPT-1073

SYNOPSIS
Found no matching paths in any skew groups.

DESCRIPTION
The arguments supplied define no paths through any skew group.
ENCCCOPT-1073(14.20)

October 2014 116 Product Version 14.20


EDI System Error Message Reference
ENCCCOPT-1074

47
ENCCCOPT-1074

NAME
ENCCCOPT-1074

SYNOPSIS
Created clock sink for %s, which was an enable pin of a clock gate.

DESCRIPTION
A clock gate enable pin was found in the clock tree and a clock sink was created. This means the
clock gate will not be subject to some optimizations, and will be treated as if it were a piece of
combina- tional logic. It will appear in the clock tree visualizations with a question-mark symbol.
ENCCCOPT-1074(14.20)

October 2014 117 Product Version 14.20


EDI System Error Message Reference
ENCCCOPT-1075

48
ENCCCOPT-1075

NAME
ENCCCOPT-1075

SYNOPSIS
In %s, CTS timing code has found that %s is unable to drive any amount of wire when buffering
another instance of itself. %s

DESCRIPTION
CTS timing code has found that a single buffer is unable to drive any amount of wire when buffering
another instance of itself. This could be caused by one or more of the following:
1) The wire data in the loaded LEF file(s) might be missing or broken.
2) An operating condition might be incorrectly configured or using incorrect library data.
3) A capacitance multiplier may be set incorrectly.
4) A resistance multiplier may be set incorrectly.
5) A buffer cell may be poorly characterized.
6) The SDC file and .lib files may be in different time or capacitance units.
ENCCCOPT-1075(14.20)

October 2014 118 Product Version 14.20


EDI System Error Message Reference
ENCCCOPT-1078

49
ENCCCOPT-1078

NAME
ENCCCOPT-1078

SYNOPSIS
%s

DESCRIPTION
Placement region constraints are not applied to CTS cells.
ENCCCOPT-1078(14.20)

October 2014 119 Product Version 14.20


EDI System Error Message Reference
ENCCCOPT-1080

50
ENCCCOPT-1080

NAME
ENCCCOPT-1080

SYNOPSIS
Unable to find a %d signal from %s to %s.

DESCRIPTION
Either a rise or fall (or both) output signal from one cell to the pin of another could not be
determined.
ENCCCOPT-1080(14.20)

October 2014 120 Product Version 14.20


EDI System Error Message Reference
ENCCCOPT-1081

51
ENCCCOPT-1081

NAME
ENCCCOPT-1081

SYNOPSIS
Could not determine if the library cell %s has at least one valid %s.

DESCRIPTION
During balancing, a library cell can be rejected if at least one input and one output could not be
found.
ENCCCOPT-1081(14.20)

October 2014 121 Product Version 14.20


EDI System Error Message Reference
ENCCCOPT-1088

52
ENCCCOPT-1088

NAME
ENCCCOPT-1088

SYNOPSIS
The clustering attempt breaks insertion delay targets. Trying again.

DESCRIPTION
A clustering attempt breaks insertion delay constraints. After CCOpt issues this message, an
additional clustering will be attempted to try and meet the constraints.
ENCCCOPT-1088(14.20)

October 2014 122 Product Version 14.20


EDI System Error Message Reference
ENCCCOPT-1092

53
ENCCCOPT-1092

NAME
ENCCCOPT-1092

SYNOPSIS
A target has been set on skew group %s where the constrains property is set to none. The target
will not be optimized for.

DESCRIPTION
A skew or insertion delay target has been set on an unconstrained skew group. The target will not
be optimized for unless the constrains prop- erty for the skew group is changed.
ENCCCOPT-1092(14.20)

October 2014 123 Product Version 14.20


EDI System Error Message Reference
ENCCCOPT-1093

54
ENCCCOPT-1093

NAME
ENCCCOPT-1093

SYNOPSIS
The constrains property has been set to none for skew group %s which also has skew or insertion
delay targets set. The targets will not be optimized for.

DESCRIPTION
A skew group with insertion delay or skew target has the constrains property set to none. The target
will not be optimized for.
ENCCCOPT-1093(14.20)

October 2014 124 Product Version 14.20


EDI System Error Message Reference
ENCCCOPT-1094

55
ENCCCOPT-1094

NAME
ENCCCOPT-1094

SYNOPSIS
%s

DESCRIPTION
It has not been possible to respect all the dft_observability_use_* options that have been set, while
inserting low impact observability. More than one of the options dft_observability_use_xors,
dft_observ- ability_use_ors and dft_observability_use_ors_after have been set to true. The type of
observability inserted will be determined by the fol- lowing order of precedence:
dft_observability_use_xors, dft_observabil- ity_use_ors, dft_observability_use_ors_after
ENCCCOPT-1094(14.20)

October 2014 125 Product Version 14.20


EDI System Error Message Reference
ENCCCOPT-1095

56
ENCCCOPT-1095

NAME
ENCCCOPT-1095

SYNOPSIS
%s

DESCRIPTION
No library buffers were suitable to insert at the clock enable tap off point.
ENCCCOPT-1095(14.20)

October 2014 126 Product Version 14.20


EDI System Error Message Reference
ENCCCOPT-1096

57
ENCCCOPT-1096

NAME
ENCCCOPT-1096

SYNOPSIS
The cell restriction properties for the set of skew groups %s are incompatible with the cell family of
%s for the operating configuration %s and power domain %s.

DESCRIPTION
A set of skew groups exist where the combination of either their restricted_cells_buffers or
restricted_cells_inverters properties is incompatible with the cell type of a clock node in that set of
skew groups. This occurs when the intersection of the cells specified in either the
restricted_cells_buffers or restricted_cells_inverters prop- erties does not contain the cell type of the
clock node in question.
ENCCCOPT-1096(14.20)

October 2014 127 Product Version 14.20


EDI System Error Message Reference
ENCCCOPT-1097

58
ENCCCOPT-1097

NAME
ENCCCOPT-1097

SYNOPSIS
The intersection set of the restricted_cells_buffers properties for the following skew groups is empty
%s.

DESCRIPTION
A set of skew groups exist where the combination of their restricted_cells_buffers properties is
incompatible. This occurs when the intersection of the cells specified in the restricted_cells_buffers
properties is empty.
ENCCCOPT-1097(14.20)

October 2014 128 Product Version 14.20


EDI System Error Message Reference
ENCCCOPT-1098

59
ENCCCOPT-1098

NAME
ENCCCOPT-1098

SYNOPSIS
The intersection set of the restricted_cells_inverters properties for the following skew groups is
empty %s.

DESCRIPTION
A set of skew groups exist where the combination of their restricted_cells_inverters properties is
incompatible. This occurs when the intersection of the cells specified in the restricted_cells_invert-
ers properties is empty.
ENCCCOPT-1098(14.20)

October 2014 129 Product Version 14.20


EDI System Error Message Reference
ENCCCOPT-1099

60
ENCCCOPT-1099

NAME
ENCCCOPT-1099

SYNOPSIS
The set of the restricted_cells_buffers properties for the set of skew groups %s does not contain any
buffers that can be used by CTS in the power domain %s.

DESCRIPTION
A set of skew groups exist where the combination of their restricted_cells_buffers properties results
in a restricted set of cells that is incompatible with the buffers available for use by CTS. This occurs
when none of the CTS buffer cells are contained in the restricted set of buffer cells.
ENCCCOPT-1099(14.20)

October 2014 130 Product Version 14.20


EDI System Error Message Reference
ENCCCOPT-1100

61
ENCCCOPT-1100

NAME
ENCCCOPT-1100

SYNOPSIS
The set of the restricted_cells_inverters properties for the set of skew groups %s does not contain
any inverters that can be used by CTS in the power domain %s.

DESCRIPTION
A set of skew groups exist where the combination of their restricted_cells_inverters properties
results in a restricted set of cells that is incompatible with the inverters available for use by CTS.
This occurs when none of the CTS inverter cells are contained in the restricted set of inverter cells.
ENCCCOPT-1100(14.20)

October 2014 131 Product Version 14.20


EDI System Error Message Reference
ENCCCOPT-1101

62
ENCCCOPT-1101

NAME
ENCCCOPT-1101

SYNOPSIS
The set of the restricted_cells_buffers properties for the set of skew groups %s does not contain the
strongest drive strength buffer.

DESCRIPTION
A set of skew groups exist where the combination of their restricted_cells_buffers properties results
in a restricted set of cells that does not contain the strongest buffer.
ENCCCOPT-1101(14.20)

October 2014 132 Product Version 14.20


EDI System Error Message Reference
ENCCCOPT-1102

63
ENCCCOPT-1102

NAME
ENCCCOPT-1102

SYNOPSIS
The set of the restricted_cells_inverters properties for the set of skew groups %s does not contain
the strongest drive strength inverter.

DESCRIPTION
A set of skew groups exist where the combination of their restricted_cells_inverters properties
results in a restricted set of cells that does not contain the strongest inverter.
ENCCCOPT-1102(14.20)

October 2014 133 Product Version 14.20


EDI System Error Message Reference
ENCCCOPT-1103

64
ENCCCOPT-1103

NAME
ENCCCOPT-1103

SYNOPSIS
A large proportion of the clock tree cells are locked (%d of %d). This is not recommended as it may
adversely affect the quality of results.

DESCRIPTION
A large proportion of the clock tree cells (clock gates, clock drivers and clock logic) are locked;
either DEF locked or locked by the user. This is likely to be a configuration error, will almost
certainly adversely affect the clock tree QoR that can be achieved by CCOpt and is not
recommended. If this is a configuration error, the clock tree cells can be unlocked using the
following commands.
set_property placer.lock.def -forall [get_clock_tree_cells] 0
set_property placer.lock.user -forall [get_clock_tree_cells] 0
ENCCCOPT-1103(14.20)

October 2014 134 Product Version 14.20


EDI System Error Message Reference
ENCCCOPT-1106

65
ENCCCOPT-1106

NAME
ENCCCOPT-1106

SYNOPSIS
Skew fixing has degraded the global over skew metric. Global over skew before skew fixing: %s;
Global over skew after skew fixing: %s.

DESCRIPTION
The global over skew metric was degraded during skew fixing.
ENCCCOPT-1106(14.20)

October 2014 135 Product Version 14.20


EDI System Error Message Reference
ENCCCOPT-1107

66
ENCCCOPT-1107

NAME
ENCCCOPT-1107

SYNOPSIS
An over latency of %s is present at the start of skew fixing.

DESCRIPTION
Skew fixing expects no over latency to be present when it is run. This indicates a potential
mismatch in window constraints between modes.
ENCCCOPT-1107(14.20)

October 2014 136 Product Version 14.20


EDI System Error Message Reference
ENCCCOPT-1109

67
ENCCCOPT-1109

NAME
ENCCCOPT-1109

SYNOPSIS
CTS will not check logical power supply legality, as there is no default signal level.

DESCRIPTION
There are no further details for this message
ENCCCOPT-1109(14.20)

October 2014 137 Product Version 14.20


EDI System Error Message Reference
ENCCCOPT-2001

68
ENCCCOPT-2001

NAME
ENCCCOPT-2001

SYNOPSIS
Clock domain skew group creation didn't visit %s.

DESCRIPTION
Clock domain skew group creation did not visit every node in the clock DAG. The clock domain
skew groups may be incomplete which may create problems clustering the clock tree or
implementing the clock schedule.
ENCCCOPT-2001(14.20)

October 2014 138 Product Version 14.20


EDI System Error Message Reference
ENCCCOPT-3011

69
ENCCCOPT-3011

NAME
ENCCCOPT-3011

SYNOPSIS
%s

DESCRIPTION
Unable to create unique session ID.
CCOpt creates a unique session ID by reading data from the file /dev/urandom. Some older
operating systems may only allow root pro- cesses to read this file by default.
To fix this problem, modify the file permissions to allow read access:
chmod 444 /dev/urandom
ENCCCOPT-3011(14.20)

October 2014 139 Product Version 14.20


EDI System Error Message Reference
ENCCCOPT-3055

70
ENCCCOPT-3055

NAME
ENCCCOPT-3055

SYNOPSIS
%s

DESCRIPTION
Performance information sent from the GUI. Each message consists of a number of fields,
separated by the | character.
"Timing" messages begin with an identifier and a message, then list elapsed time, CPU time, heap
usage, and then one CPU time field per thread. After the first message, times are measured from the
previous message, with the total time in parentheses.
"Metrics" messages begin with an identifier, then the time elapsed since the last metric message for
this identifier, then one summary field for each type of event that has occurred since the last
message for this identifier. The summary fields consist of a description of the event, the number of
times it has occurred since the last message, and, for events with a duration, the mean and
standard deviation for the duration.
ENCCCOPT-3055(14.20)

October 2014 140 Product Version 14.20


EDI System Error Message Reference
ENCCCOPT-3057

71
ENCCCOPT-3057

NAME
ENCCCOPT-3057

SYNOPSIS
%s

DESCRIPTION
Record of the CCOpt core process's VMSize.
You can use the log_vmsize_interval option to control the frequency with which CCOpt outputs this
log message.
ENCCCOPT-3057(14.20)

October 2014 141 Product Version 14.20


EDI System Error Message Reference
ENCCCOPT-3061

72
ENCCCOPT-3061

NAME
ENCCCOPT-3061

SYNOPSIS
%s

DESCRIPTION
The argument -tcl was supplied to a report command which does not sup- port returning TCL
values. Please use the -filename argument if you wish to save the output of this command to a file.
ENCCCOPT-3061(14.20)

October 2014 142 Product Version 14.20


EDI System Error Message Reference
ENCCCOPT-3063

73
ENCCCOPT-3063

NAME
ENCCCOPT-3063

SYNOPSIS
%s

DESCRIPTION
For better performance, you can run the CCOpt GUI on your local machine. To download the GUI,
connect a web browser to the hostname and port given by the "about" command.
ENCCCOPT-3063(14.20)

October 2014 143 Product Version 14.20


EDI System Error Message Reference
ENCCCOPT-3065

74
ENCCCOPT-3065

NAME
ENCCCOPT-3065

SYNOPSIS
%s

DESCRIPTION
--- LIMITED AVAILABILITY ---
This feature is not supported for production use by Azuro.
The support and maintenance conditions of your license agreement do not apply to this feature and
Azuro makes no representations or warranties about the stability or readiness of this feature for any
purpose.
Please do not use this feature without prior consultation with your Azuro technical support
representative.
ENCCCOPT-3065(14.20)

October 2014 144 Product Version 14.20


EDI System Error Message Reference
ENCCCOPT-3067

75
ENCCCOPT-3067

NAME
ENCCCOPT-3067

SYNOPSIS
%s

DESCRIPTION
Information calculated for file, including MD5 digest, line count and file size.
ENCCCOPT-3067(14.20)

October 2014 145 Product Version 14.20


EDI System Error Message Reference
ENCCCOPT-4144

76
ENCCCOPT-4144

NAME
ENCCCOPT-4144

SYNOPSIS
The SDC clock %s has source pin %s, which is an input pin. Clock trees for this clock will be
defined under the corresponding output pins instead.

DESCRIPTION
An SDC clock has a source pin which is an input pin. There is no obvi- ous output pin on the same
cell which propagates the clock signal. No clock tree definition will be extracted for this clock.
ENCCCOPT-4144(14.20)

October 2014 146 Product Version 14.20


EDI System Error Message Reference
ENCCCOPT-4160

77
ENCCCOPT-4160

NAME
ENCCCOPT-4160

SYNOPSIS
%s

DESCRIPTION
Rounding has caused the width of a row to change.
When site spacing is modified, CCOpt recomputes the number of columns in each row based on
the new spacing. Because the number of columns is an integer, the total width of the row may vary
due to rounding. This message indicates that a row width has changed in this way.
ENCCCOPT-4160(14.20)

October 2014 147 Product Version 14.20


EDI System Error Message Reference
ENCCCOPT-4167

78
ENCCCOPT-4167

NAME
ENCCCOPT-4167

SYNOPSIS
%s

DESCRIPTION
PROBLEM: During library loading, library cells are marked as "cant_use" in the following
circumstances: 1) if the cell has LEF but neither Ver- ilog nor Liberty data ; 2) if no LEF data was
found ; 3) if there were conflicting cell descriptions.
IMPACT: CCOpt cannot load designs that contain instances of "cant_use" library cells.
SOLUTION: The most likely cause of this error is that you have not con- figured your library files
correctly. You may have configured CCOpt to use the wrong library files, or you may only have
provided a subset of required files. In this situation, you should update your configuration to use the
correct Liberty, Verilog, and LEF files.
In rare situations, this error could also be caused by a missing Ver- ilog model. In this you could
"black box" the offending library cell (see below).
MORE INFORMATION: As noted above, in most situations this error can be solved by supplying
the correct library files.
If you need to "black box" an offending library cell, you can supply a Verilog wrapper for an
offending cell. For example, create a file "blackbox.v" with the following contents:
module lib_cell_x (pinA); inout pinA; endmodule
Then update your library configuration to load this file by including "blackbox.v" in either the
"define_lib_group -verilog" arguments or the verilog_library option.
Note that the verilog_black_boxes option should not be used in this situation. The

October 2014 148 Product Version 14.20


EDI System Error Message Reference
ENCCCOPT-4167

verilog_black_boxes option is used to provide wrappers for black box instances in the design. We
want to provide a wrapper for a library cell rather than a cell instance.
ENCCCOPT-4167(14.20)

October 2014 149 Product Version 14.20


EDI System Error Message Reference
ENCCCOPT-4195

79
ENCCCOPT-4195

NAME
ENCCCOPT-4195

SYNOPSIS
%s

DESCRIPTION
A module that is the root of a sub-block is empty. No netlist compo- nents exist in the sub-block.
ENCCCOPT-4195(14.20)

October 2014 150 Product Version 14.20


EDI System Error Message Reference
ENCCCOPT-4196

80
ENCCCOPT-4196

NAME
ENCCCOPT-4196

SYNOPSIS
Found a cycle containing the following generated clock trees:%s%s

DESCRIPTION
Found a cycle caused by generated clock trees. Where possible, this is resolved by removing some
parents of generated clock trees
ENCCCOPT-4196(14.20)

October 2014 151 Product Version 14.20


EDI System Error Message Reference
ENCCCOPT-4205

81
ENCCCOPT-4205

NAME
ENCCCOPT-4205

SYNOPSIS
Differing SDC set_clock_transition constraints exist for the clocks present at %s across the timing
configs specified. The tightest found constraint of %f will be used.

DESCRIPTION
An SDC derived clock tree constraint differs between timing configs. The tightest applicable
constraint has been applied.
ENCCCOPT-4205(14.20)

October 2014 152 Product Version 14.20


EDI System Error Message Reference
ENCCCOPT-4206

82
ENCCCOPT-4206

NAME
ENCCCOPT-4206

SYNOPSIS
%s

DESCRIPTION
The root module power domain bounds cannot be changed.
ENCCCOPT-4206(14.20)

October 2014 153 Product Version 14.20


EDI System Error Message Reference
ENCCCOPT-4207

83
ENCCCOPT-4207

NAME
ENCCCOPT-4207

SYNOPSIS
%s

DESCRIPTION
The design contains instances of cells with zero row heights.
ENCCCOPT-4207(14.20)

October 2014 154 Product Version 14.20


EDI System Error Message Reference
ENCCCOPT-4232

84
ENCCCOPT-4232

NAME
ENCCCOPT-4232

SYNOPSIS
No usable inverter has been found. It could be that there are no inverters defined in the libraries or
all inverters are set as dont_use. Ensure that all required libraries have been loaded & check the
dont_use settings for inverter cells within your libraries.

DESCRIPTION
For example:
To get a list of all cells marked dont_use: reportDontUseCells
To disable a dont_use on a library cell: setDontUse <cell-name> false
ENCCCOPT-4232(14.20)

October 2014 155 Product Version 14.20


EDI System Error Message Reference
ENCCCOPT-4245

85
ENCCCOPT-4245

NAME
ENCCCOPT-4245

SYNOPSIS
The width of the vertical clock spine %s will implicitly be increased so its boundary is aligned on a
multiple of the placement site grid. It is now from %s

DESCRIPTION
Spine flow for CCOpt is currently not supported. Please consult support AE.
ENCCCOPT-4245(14.20)

October 2014 156 Product Version 14.20


EDI System Error Message Reference
ENCCCOPT-4246

86
ENCCCOPT-4246

NAME
ENCCCOPT-4246

SYNOPSIS
Found a cell which overlaps a cleared hard clock spine region: %s at %s.

DESCRIPTION
Spine flow for CCOpt is currently not supported. Please consult support AE.
ENCCCOPT-4246(14.20)

October 2014 157 Product Version 14.20


EDI System Error Message Reference
ENCCCOPT-4318

87
ENCCCOPT-4318

NAME
ENCCCOPT-4318

SYNOPSIS
No default buffer cell family identified.

DESCRIPTION
This message occurs because there is no buffer cell available for clock tree synthesis. Please make
sure the buffers you have specified with '-cts_buffer_cells' for 'set_ccopt_mode' are available in the
current Encounter session.
For example, the follow example returns the library cells with prefix 'CKBUF':
encounter> get_lib_cells CKBUF*
slowlib/CKBUFD1 slowlib/CKBUFD2 fastlib/CKBUFD1 fastlib/CKBUFD2
ENCCCOPT-4318(14.20)

October 2014 158 Product Version 14.20


EDI System Error Message Reference
ENCCCOPT-4319

88
ENCCCOPT-4319

NAME
ENCCCOPT-4319

SYNOPSIS
Default buffer cell family exemplar %s has all members marked dont_use.

DESCRIPTION
All the buffer cells specified by 'set_ccopt_mode -cts_buffer_cells' are marked as dont_use.
Please check the dont_use state of the given buffer cells.
For example, resetting dont_use attribute on cells with prefix 'CK*':
encounter> setDontUse CK* false
ENCCCOPT-4319(14.20)

October 2014 159 Product Version 14.20


EDI System Error Message Reference
ENCCCOPT-4320

89
ENCCCOPT-4320

NAME
ENCCCOPT-4320

SYNOPSIS
No default Inverter cell family identified.

DESCRIPTION
This message occurs because there is no inverter cell available for clock tree synthesis. Please
make sure the inverters you have specified with '-cts_inverter_cells' for 'set_ccopt_mode' are
available in the current Encounter session.
For example, The follow example returns the library cells with prefix 'CKINV':
encounter> get_lib_cells CKINV*
slowlib/CKINVD1 slowlib/CKINVD2 fastlib/CKINVD1 fastlib/CKINVD2
ENCCCOPT-4320(14.20)

October 2014 160 Product Version 14.20


EDI System Error Message Reference
ENCCCOPT-4321

90
ENCCCOPT-4321

NAME
ENCCCOPT-4321

SYNOPSIS
Default inverter cell family exemplar %s has all members marked dont use. It could be that all
inverters defined in set_ccopt_mode -cts_inverter_cells <list> cannot be used due to dont_use
setting. Check the dont_use settings for inverter cells within your libraries.

DESCRIPTION
For example:
To get a list of all cells marked dont_use: reportDontUseCells
To disable a dont_use on a library cell: setDontUse <cell-name> false
ENCCCOPT-4321(14.20)

October 2014 161 Product Version 14.20


EDI System Error Message Reference
ENCCCOPT-4322

91
ENCCCOPT-4322

NAME
ENCCCOPT-4322

SYNOPSIS
No default Or cell family identified.

DESCRIPTION
This message occurs because there is no OR cell available for clock tree synthesis. Please make
sure the OR cells you have specified with '-cts_logic_cells' for 'set_ccopt_mode' are available in the
current Encounter session.
For example, the follow example returns the library cells with prefix 'CKOR':
encounter> get_lib_cells CKOR*
slowlib/CKORD1 slowlib/CKORD2 fastlib/CKORD1 fastlib/CKORD2
ENCCCOPT-4322(14.20)

October 2014 162 Product Version 14.20


EDI System Error Message Reference
ENCCCOPT-4323

92
ENCCCOPT-4323

NAME
ENCCCOPT-4323

SYNOPSIS
Default OR2 family exemplar %s has all members marked dont_use. It could be that all the OR2
cells defined in set_ccopt_mode -cts_logic_cells are set dont_use. Check the dont_use settings for
OR gates within your libraries.

DESCRIPTION
For example:
To get a list of all cells marked dont_use: reportDontUseCells
To disable a dont_use on a library cell: setDontUse <cell-name> false
ENCCCOPT-4323(14.20)

October 2014 163 Product Version 14.20


EDI System Error Message Reference
ENCCCOPT-4324

93
ENCCCOPT-4324

NAME
ENCCCOPT-4324

SYNOPSIS
No default Nor cell family identified.

DESCRIPTION
This message occurs because there is no NOR cell available for clock tree synthesis. Please make
sure the NOR cells you have specified with '-cts_logic_cells' for 'set_ccopt_mode' are available in
the current Encounter session.
For example, the follow example returns the library cells with prefix 'CKNOR':
encounter> get_lib_cells CKNOR*
slowlib/CKNORD1 slowlib/CKNORD2 fastlib/CKNORD1 fastlib/CKNORD2
ENCCCOPT-4324(14.20)

October 2014 164 Product Version 14.20


EDI System Error Message Reference
ENCCCOPT-4325

94
ENCCCOPT-4325

NAME
ENCCCOPT-4325

SYNOPSIS
Default NOR2 family exemplar %s has all members marked dont_use. It could be that all the NOR2
cells defined in set_ccopt_mode -cts_logic_cells are set dont_use. Check the dont_use settings for
NOR gates within your libraries.

DESCRIPTION
For example:
To get a list of all cells marked dont_use: reportDontUseCells
To disable a dont_use on a library cell: setDontUse <cell-name> false
ENCCCOPT-4325(14.20)

October 2014 165 Product Version 14.20


EDI System Error Message Reference
ENCCCOPT-4327

95
ENCCCOPT-4327

NAME
ENCCCOPT-4327

SYNOPSIS
Cannot find a smallest inverter. It could be that there are no invert- ers defined in the libraries or all
inverters are set as dont_use. Ensure that all required libraries have been loaded & check the
dont_use settings for inverter cells.

DESCRIPTION
For example:
To get a list of all cells marked dont_use: reportDontUseCells
To disable a dont_use on a library cell: setDontUse <cell-name> false
ENCCCOPT-4327(14.20)

October 2014 166 Product Version 14.20


EDI System Error Message Reference
ENCCCOPT-5025

96
ENCCCOPT-5025

NAME
ENCCCOPT-5025

SYNOPSIS
%s

DESCRIPTION
Found a point in the clock tree where two clock tree specified non default routing rules converge.
The last defined clock tree rules will be applied below this point.
ENCCCOPT-5025(14.20)

October 2014 167 Product Version 14.20


EDI System Error Message Reference
ENCCCOPT-5026

97
ENCCCOPT-5026

NAME
ENCCCOPT-5026

SYNOPSIS
The obstruction grid has %ld cells. This is too large to consider blockages and LEF obstructions.
Current limit is %d.

DESCRIPTION
The obstruction is too large. Blockages and LEF obstructions are ignored for routing. You can
consider blockages and LEF obstructions by increasing the value of the option
routing_max_num_obstruc- tion_grid_cells.
ENCCCOPT-5026(14.20)

October 2014 168 Product Version 14.20


EDI System Error Message Reference
ENCCCOPT-5027

98
ENCCCOPT-5027

NAME
ENCCCOPT-5027

SYNOPSIS
%s

DESCRIPTION
A datapath net with routing rules specified in its driver uses non-pre- ferred layers because the
preferred layers are considered blocked. You can use the option
capacitance_cell_blocking_threshold to control if a layer in a partially blocked cell of the congestion
grid is considered blocked.
ENCCCOPT-5027(14.20)

October 2014 169 Product Version 14.20


EDI System Error Message Reference
ENCCCOPT-5028

99
ENCCCOPT-5028

NAME
ENCCCOPT-5028

SYNOPSIS
%s

DESCRIPTION
You have defined fast routing rules and set congestion bounding box distribution to global. This
configuration is not supported. Assuming the congestion bounding box distribution is equal.
ENCCCOPT-5028(14.20)

October 2014 170 Product Version 14.20


EDI System Error Message Reference
ENCCCOPT-5032

100
ENCCCOPT-5032

NAME
ENCCCOPT-5032

SYNOPSIS
%s

DESCRIPTION
Non-existent fast routing rules have been referenced by the rout- ing.datapath_fast_rule_priorities
property or the fast_routed property.
ENCCCOPT-5032(14.20)

October 2014 171 Product Version 14.20


EDI System Error Message Reference
ENCCCOPT-5033

101
ENCCCOPT-5033

NAME
ENCCCOPT-5033

SYNOPSIS
%s

DESCRIPTION
No SPEF parasitics have been loaded for a net in a LEF ILM. This is likely to result in incorrect
parasitics for that net.
ENCCCOPT-5033(14.20)

October 2014 172 Product Version 14.20


EDI System Error Message Reference
ENCCK-114

102
ENCCK-114

NAME
ENCCK-114

SYNOPSIS
CTS could not find valid clock tree root in design. This is most proba- bly caused by
AutoCTSRootPin is not correctly specified in the clock spec file that is read in, or, the specified root
pin is not valid. Please check the clock spec file before continuing.

DESCRIPTION
There are no further details for this message
ENCCK-114(14.20)

October 2014 173 Product Version 14.20


EDI System Error Message Reference
ENCCK-157

103
ENCCK-157

NAME
ENCCK-157

SYNOPSIS
Cell %s is set as dont_touch in the timing libraries. May have to use 'set_dont_touch [get_lib_cells
%s] false' in order to delete the buffers in clock tree.

DESCRIPTION
deleteClockTree does not delete the buffer whose dont_touch attribute is set.
But clockDesign will ignore the dont_touch attribute of buffers and inverters.
For details of deleteClockTree and clockDesign usage, see documents.
ENCCK-157(14.20)

October 2014 174 Product Version 14.20


EDI System Error Message Reference
ENCCK-164

104
ENCCK-164

NAME
ENCCK-164

SYNOPSIS
No synthesized clock tree is found from the given parameters and clock specification file. This may
be because of a) clock tree synthesis is not yet run, or b) clock specification file does not contain
AutoCTSRootPin statement, or c) clock specification file mis-spelled clock name Please correct
above error(s) before running CTS.

DESCRIPTION
There are no further details for this message
ENCCK-164(14.20)

October 2014 175 Product Version 14.20


EDI System Error Message Reference
ENCCK-180

105
ENCCK-180

NAME
ENCCK-180

SYNOPSIS
CTS has ignored the 'RouteClkNet = YES' statement for the clock tree %s.

DESCRIPTION
There are no further details for this message
ENCCK-180(14.20)

October 2014 176 Product Version 14.20


EDI System Error Message Reference
ENCCK-209

106
ENCCK-209

NAME
ENCCK-209

SYNOPSIS
Clock %s has been synthesized. Type 'man ENCCK-209' to see extended message about this
warning.

DESCRIPTION
This message indicates Clock Tree Synthesis (CTS) thinks this clock tree has already been built
and, therefore, will not run. The reason it thinks clock has been synthesized is explained in one of
the mes- sages that precede it. For example, if a clock buffer or excluded cell is found on the clock
net while tracing it, it will issue an ENCCK-766 or ENCCK-767 message and stop. In order to run
CTS you must delete the existing clock tree first.
When running clockDesign it will automatically call deleteClockTree at beginning to remove buffers
on the clock. However deleteClockTree cannot remove fixed instances. You should run following
command to unfix existing buffers on the clock so deleteClockTree can remove them:
changeClockStatus -clk clockName -noFixedNetWires -noFixedBuffers
This will set status to Placed for all buffers and wires in the speci- fied clock tree. You can use the -
all option in place of -clk <clockName> to perform this operation on all clocks defined in the CTS
specification file. Once you change the status to Placed, deleteClockTree will be able to remove all
existing buffers in the clock tree.
ENCCK-209(14.20)

October 2014 177 Product Version 14.20


EDI System Error Message Reference
ENCCK-211

107
ENCCK-211

NAME
ENCCK-211

SYNOPSIS
CTS failed to check buffer list for clock tree %s with respect to power domain. This is possibly
because there is no default power domain defined, or, there are instances that do not belong to any
power domain. Please check your design and correct errors before running CTS.

DESCRIPTION
There are no further details for this message
ENCCK-211(14.20)

October 2014 178 Product Version 14.20


EDI System Error Message Reference
ENCCK-361

108
ENCCK-361

NAME
ENCCK-361

SYNOPSIS
The parameter '-localSkew' is not supported in Multi-Corner CTS. To run ckECO -localSkew on a
single corner, specify the same analysis view for both setup and hold. For example, if the desired
analysis view is func_worst, then do "set_analysis_view -setup func_worst -hold func_worst" and
reload the clock spec file.

DESCRIPTION
The above error is generated in EDI when trying to run command "ckECO -localSkew" in MMMC
mode.
What is the recommended way to run "ckECO -localSkew" in MMMC mode?
By default, CTS attempts to optimize across all active setup and hold views. The -localSkew
parameter of ckECO does not support this, and hence the above error is generated.
The workaround is to run "ckECO -localSkew" for a single setup view. Select the setup view which
you want to target and specify this view for both setup and hold.
Example: set_analysis_view -setup setup_func -hold setup_func
ENCCK-361(14.20)

October 2014 179 Product Version 14.20


EDI System Error Message Reference
ENCCK-404

109
ENCCK-404

NAME
ENCCK-404

SYNOPSIS
Your netlist is not unique. CTS requires a unique netlist before it can run clock tree synthesis.
Please load a unique netlist into EDI before you run CTS.

DESCRIPTION
There are no further details for this message
ENCCK-404(14.20)

October 2014 180 Product Version 14.20


EDI System Error Message Reference
ENCCK-427

110
ENCCK-427

NAME
ENCCK-427

SYNOPSIS
%s %d: %s

DESCRIPTION
There are no further details for this message
ENCCK-427(14.20)

October 2014 181 Product Version 14.20


EDI System Error Message Reference
ENCCK-657

111
ENCCK-657

NAME
ENCCK-657

SYNOPSIS
No cell is specified for clock %s in the clock tree specification file. What CTS is complaining about
is the lack of a line specifying which buffers and/or inverters may be used for building the clock tree.
THAT'S the cell that is 'not specified'. If you add a line specifying which buffers from your
technology library CTS is allowed to use, CTS will run correctly.

DESCRIPTION
Why does tool report above error message on running clock tree synthe- sis?
User has defined a root pin as follows in his clock tree specification file: AutoCTSRootPin ck Period
10ns MaxDelay 0.01ns #sdc driven default MinDelay 0ns #sdc driven default MaxSkew 400ps #sdc
driven default SinkMaxTran 200ps #sdc driven default BufMaxTran 200ps #sdc driven default END
What CTS is complaining about is the lack of a line specifying which buffers and/or inverters may
be used for building the clock tree. THAT'S the cell that is 'not specified'. If you add a line specifying
which buffers from your technology library CTS is allowed to use, CTS will run correctly:
Current example: AutoCTSRootPin ck Period 10ns MaxDelay 0.01ns # sdc driven default MinDelay
0ns # sdc driven default MaxSkew 400ps # sdc driven default SinkMaxTran 200ps # sdc driven
default BufMaxTran 200ps # sdc driven default # Following line was added Buffer CLKBUFX1
CLKBUFX12 CLKINVX1 CLKINVX12 END
ENCCK-657(14.20)

October 2014 182 Product Version 14.20


EDI System Error Message Reference
ENCCK-661

112
ENCCK-661

NAME
ENCCK-661

SYNOPSIS
Clock %s has multiple definitions in the clock tree specification file.

DESCRIPTION
This warning is issued when there are multiple definitions of the clock in the database. This
typically occurs when you run specifyClockTree multiple times without running
cleanupSpecifyClockTree first. Before running specify- ClockTree run cleanupSpecifyClockTree to
clear any CTS specification currently in the database. Then run specifyClockTree. Note, if you are
running "clockDe- sign -spefFile specFileName", it automatically runs cleanupSpecifyClockTree
before specifyClockTree.
The other cause of this message is if you have multiple autoCTSRootPin definitions for the same
clock inside your CTS constraints file. If this is the case, remove the multiple definitions.
ENCCK-661(14.20)

October 2014 183 Product Version 14.20


EDI System Error Message Reference
ENCCK-766

113
ENCCK-766

NAME
ENCCK-766

SYNOPSIS
Find excluded cell %s in the clock tree.

DESCRIPTION
This message indicates an excluded cell was found downstream of from the clock root you are
trying to synthesize. Therefore, Clock Tree Synthesis (CTS) will not run. Avoid this warning by
deleting the clock tree prior to CTS using the deleteClockTree command. clockDesign
automatically runs deleteClockTree before tracing the clock but deleteClockTree cannot remove
fixed instances. Therefore, you should run the following to unfix the clock tree so deleteClockTree
can remove the existing buffers in the tree:
changeClockStatus -clk clockName -noFixedNetWires -noFixedBuffers
This will set the placement status to Placed for all buffers and wires in the specified clock tree. You
can use the -all option in place of -clk clockName to perform this on all clocks defined in the CTS
specification file. Once you set the status to Placed, deleteBufferTree will be able to remove these
existing buffers in the tree.
ENCCK-766(14.20)

October 2014 184 Product Version 14.20


EDI System Error Message Reference
ENCCK-767

114
ENCCK-767

NAME
ENCCK-767

SYNOPSIS
Find clock buffer %s in the clock tree.

DESCRIPTION
This message indicates a clock tree buffer was found downstream from the clock root you are trying
to synthesize. Therefore, Clock Tree Synthesis (CTS) will not run. Avoid this warning by deleting
the clock tree prior to CTS using the deleteClockTree command. clockDesign automatically runs
deleteClockTree before tracing the clock but deleteClockTree cannot remove fixed instances.
Therefore, you should run the following to unfix the clock tree so deleteClockTree can remove the
existing buffers in the tree:
changeClockStatus -clk clockName -noFixedNetWires -noFixedBuffers
This will set the placement status to Placed for all buffers and wires in the specified clock tree. You
can use the -all option in place of -clk clockName to perform this on all clocks defined in the CTS
specification file. Once you set the status to Placed, deleteBufferTree will be able to remove these
existing buffers in the tree.
Alternatively, if you prefer to preserve portions of the clock tree and synthesize the rest you can use
PreservePin. PreservePin preserves the netlist for the pin and pins below the pin in the clock tree.
However, CTS con- siders any synchronized pins after the pin when computing skew. For example:
PreservePin + CLK__L1_I0/A
ENCCK-767(14.20)

October 2014 185 Product Version 14.20


EDI System Error Message Reference
ENCCK-815

115
ENCCK-815

NAME
ENCCK-815

SYNOPSIS
CTS found a clock net %s that is routed by trialRoute. For correct result all clock nets must be
routed by Nano Router. Please correct this data error before proceed.

DESCRIPTION
There are no further details for this message
ENCCK-815(14.20)

October 2014 186 Product Version 14.20


EDI System Error Message Reference
ENCCK-984

116
ENCCK-984

NAME
ENCCK-984

SYNOPSIS
Wire structure in Net %s is not clean.

DESCRIPTION
There are no further details for this message
ENCCK-984(14.20)

October 2014 187 Product Version 14.20


EDI System Error Message Reference
ENCCK-1999

117
ENCCK-1999

NAME
ENCCK-1999

SYNOPSIS
Net %s cannot be routed with obstruction in %s, obstruction ignored

DESCRIPTION
There are no further details for this message
ENCCK-1999(14.20)

October 2014 188 Product Version 14.20


EDI System Error Message Reference
ENCCK-2104

118
ENCCK-2104

NAME
ENCCK-2104

SYNOPSIS
The parameter '-localSkew' is not supported in Ilm mode. ckECO with -localSkew adversely affects
the CTS ILM model in some cases and there- fore is currently not supported. If you require local
skew optimization we recommend representing the blocks with timing libraries (.lib) instead of ILMs
and use macro models to model the clock tree within the block. Then you can use "ckECO -
localSkew" to perform local skew opti- mization.

DESCRIPTION
There are no further details for this message
ENCCK-2104(14.20)

October 2014 189 Product Version 14.20


EDI System Error Message Reference
ENCCK-3148

119
ENCCK-3148

NAME
ENCCK-3148

SYNOPSIS
Cell '%s' does not have %s delay information from input pin '%s' to output pin '%s'. Please check
timing library '%s' if a required timing lookup table for this cell output is missing. Please correct any
library error before running CTS.

DESCRIPTION
There are no further details for this message
ENCCK-3148(14.20)

October 2014 190 Product Version 14.20


EDI System Error Message Reference
ENCCK-3179

120
ENCCK-3179

NAME
ENCCK-3179

SYNOPSIS
Clock %s does not have any sync pin based on clock tree spec file and CTS tracing algorithm.
Please check your spec file to see if AutoCTS- RootPin is correctly set, and, if any
LeafPin/ThroughPin is left unde- fined for the clock tree.

DESCRIPTION
There are no further details for this message
ENCCK-3179(14.20)

October 2014 191 Product Version 14.20


EDI System Error Message Reference
ENCCK-3198

121
ENCCK-3198

NAME
ENCCK-3198

SYNOPSIS
Tool finds bad skew (max_delay, min_delay) = %.0f (%.0f,%.0f)ps for subtree rooted at %s. This
may be caused by some gates on the subtree are marked FIXED, or, some nets are set Dont_touch,
or, blockages caused clock routing detour. Please check design before proceed with CTS.

DESCRIPTION
There are no further details for this message
ENCCK-3198(14.20)

October 2014 192 Product Version 14.20


EDI System Error Message Reference
ENCCK-6001

122
ENCCK-6001

NAME
ENCCK-6001

SYNOPSIS
Clock %s has a maximum of %d levels of logic before synthesis. Sample path: %s

DESCRIPTION
This will make ckSynthesis difficult to balance all sinks and achieve reasonable skew. Please
check those deeply gated clock paths to see if any tracing directive (for example, LeafPin,
ExcludedPin, SetDPinAsSync NO) is nec- essary, but missed from clock spec file.
ENCCK-6001(14.20)

October 2014 193 Product Version 14.20


EDI System Error Message Reference
ENCCK-6003

123
ENCCK-6003

NAME
ENCCK-6003

SYNOPSIS
The input capacitance of cell %s(%s) is %.3fpF, (timing library %s is %.3fpF, macro-model definition
is %.3fpF), which may make it difficult to meet max buf transition constraint. Number of gated
instances having this cell type = %d.

DESCRIPTION
There are no further details for this message
ENCCK-6003(14.20)

October 2014 194 Product Version 14.20


EDI System Error Message Reference
ENCCK-6010

124
ENCCK-6010

NAME
ENCCK-6010

SYNOPSIS
For clock %s, instance %s (%s) has simultaneous clock paths through input pins %s and %s. Check
if a set_case_analysis SDC constraint, or excluded pin setting is required.

DESCRIPTION
There are no further details for this message
ENCCK-6010(14.20)

October 2014 195 Product Version 14.20


EDI System Error Message Reference
ENCCK-6317

125
ENCCK-6317

NAME
ENCCK-6317

SYNOPSIS
The buffer cell choice %s (library %s clock %s) might not have suffi- cient drive strength to meet
maximum sink transition constraint set in spec file, or, tool cannot find reasonable legal location in
power domain %s to insert buffers. Please check if provided buffers for clock %s are appropriate,
and also, power domain %s has sufficient space.

DESCRIPTION
There are no further details for this message
ENCCK-6317(14.20)

October 2014 196 Product Version 14.20


EDI System Error Message Reference
ENCCK-6325

126
ENCCK-6325

NAME
ENCCK-6325

SYNOPSIS
Found an instance pin %s on clock %s that has no availble placement location near it. The nearest
available placement location to place a buffer is at (%g, %g), which is %g microns away from the
pin. It may be difficult to drive this pin with an acceptable transition time from this location. Please
check and make sure there are availble placement locations near this instance pin.

DESCRIPTION
There are no further details for this message
ENCCK-6325(14.20)

October 2014 197 Product Version 14.20


EDI System Error Message Reference
ENCCK-6335

127
ENCCK-6335

NAME
ENCCK-6335

SYNOPSIS
Instance pin %s of clock %s at location (%g, %g) is considered covered by blockage under the
current obstruction modeling in CTS. Check if placement of the instance is (a) covered by routing
blockage (b) in a narrow channel (c) in over-congested area Also check whether CellObstruction
and InstanceObstruction (if speci- fied) needs adjustment in clock spec file.

DESCRIPTION
There are no further details for this message
ENCCK-6335(14.20)

October 2014 198 Product Version 14.20


EDI System Error Message Reference
ENCCK-6336

128
ENCCK-6336

NAME
ENCCK-6336

SYNOPSIS
Clock %s contains equivalent gates that have bounding boxes of their downstream leaf pins
overlapped. This is most probably caused by cloning without adequate placement information. Use
one clock gate to drive all leaf pins, or, division of leaf pins based on physical loca- tions between
clock gates may help improve this situation.

DESCRIPTION
This warning is part of the new CTS diagnostic feature which is designed to highlight potential
issues or inefficiencies in the clock setup.0t is issued by clock gating instance location check at pre-
syn- thesis stage.0he message means that two (or more) cloned gates are driving registers in a
common area where the bounding boxes of down- stream sinks overlap each other physically. This
can lead to poor QoR.0 possible reason for that is previous clock gate cloning was not done
properly, therefore they could be good candidates for decloning/cloning by performing
ckDecloneGate followed by ckCloneGate on suggested gating instances.0ither one gate could
drive all the registers here or the division of the registers between the clock gates could be done
better.
ENCCK-6336(14.20)

October 2014 199 Product Version 14.20


EDI System Error Message Reference
ENCCK-6337

129
ENCCK-6337

NAME
ENCCK-6337

SYNOPSIS
The instance %s of clock %s is placed outside the bounding box of its downstream sinks and the
clock source by an offset of %gum. This will lead to detour of clock paths going through this
instance. Please check if the placement of this instance is reasonable.

DESCRIPTION
There are no further details for this message
ENCCK-6337(14.20)

October 2014 200 Product Version 14.20


EDI System Error Message Reference
ENCCK-6338

130
ENCCK-6338

NAME
ENCCK-6338

SYNOPSIS
The instance %s of clock %s is placed outside the bounding box of its directly connected sinks and
the clock source by an offset of %gum. This may lead to detour of clock paths going through this
instance. Please check if the placement of this instance is reasonable.

DESCRIPTION
There are no further details for this message
ENCCK-6338(14.20)

October 2014 201 Product Version 14.20


EDI System Error Message Reference
ENCCK-6500

131
ENCCK-6500

NAME
ENCCK-6500

SYNOPSIS
CTS has found a gated subtree in clock with %s = %d%s which exceeds default threshold = %d%s
set by the tool. This will make CTS to ignore MaxSkew constraint set in spec file thereafter, hence
affects QoR. To avoid this please adjust its threshold by setCTSMode -synthSub- Tree%sThreshold
integer%s before running CTS.

DESCRIPTION
There are no further details for this message
ENCCK-6500(14.20)

October 2014 202 Product Version 14.20


EDI System Error Message Reference
ENCCM-164

132
ENCCM-164

NAME
ENCCM-164

SYNOPSIS
Local subtree rooted at %s input %s does not solely drive leaves.

DESCRIPTION
Clock mesh currently can only synthesize local trees with a single level of logic. This means each
local tree root must drive only leaves and not other buffers or gates. If a subtree "does not drive
solely leaves" it means it is too deep for current local tree synthesis to handle.
Study the structure below the local subtree root identified in the message to determine whether
multi-level local tree synthesis is truly required. In some special cases it may be possible to reduce
the subtree depth by pruning some non-critical portion of the subtree. In this case, explicitly LeafPin
specifications might be used as a workaround.
ENCCM-164(14.20)

October 2014 203 Product Version 14.20


EDI System Error Message Reference
ENCCM-187

133
ENCCM-187

NAME
ENCCM-187

SYNOPSIS
Spacing for route type %s (%0.*f) is less than minimum %0.*f.

DESCRIPTION
You have defined a route type that has spacing requirement less than that was defined in the
technology LEF. Please check the spacing rules in the technology LEF file.
ENCCM-187(14.20)

October 2014 204 Product Version 14.20


EDI System Error Message Reference
ENCCM-279

134
ENCCM-279

NAME
ENCCM-279

SYNOPSIS
Cannot determine placement area without mesh driver sites. Check clock mesh specification.

DESCRIPTION
Clock mesh synthesis determines the available placeable area for a mesh by first identifying a set
of suitable rows and then subtracting placement obstructions from the row area.
To determine the "suitable rows" clock mesh synthesis first collects the sites associated with the set
specified drive cells choices, and then collects the rows associated with these sites. This process
can fail if there are no specified driver cells, or if none of the drivers cells have valid site information.
To avoid this problem, verify that the clock mesh specification includes DriveCell information and
the LEF MACRO definitions for these cells have SITE statements.
ENCCM-279(14.20)

October 2014 205 Product Version 14.20


EDI System Error Message Reference
ENCCM-558

135
ENCCM-558

NAME
ENCCM-558

SYNOPSIS
Found missed via for wire segments of net %s.

DESCRIPTION
If there is no via generated within the intersection area between trunk and branch wire segments, it
will output the following warning message.
ENCCM-558(14.20)

October 2014 206 Product Version 14.20


EDI System Error Message Reference
ENCCPF-190

136
ENCCPF-190

NAME
ENCCPF-190

SYNOPSIS
INFO: CPF file %s is a hierarchical Flattening hierarchical CPF file, the logfile is
clp_output/feclp.log ...

DESCRIPTION
The CPF file is hierarchical CPF format, will be flattened via CLP integrator by loadCPF when
'setMsvMode -supportHierCPF false'
Example: Before hierarchical CPF native support, Encounter needs to flatten it via CLP integrator
and load the flattened CPF file. With beta-quality hierarchical CPF native support feature
'setMsvMode -supportHierCPF true', Encounter can load this CPF without flattening
ENCCPF-190(14.20)

October 2014 207 Product Version 14.20


EDI System Error Message Reference
ENCCPF-201

137
ENCCPF-201

NAME
ENCCPF-201

SYNOPSIS
error parsing CPF file %s.

DESCRIPTION
This error is a general message issued when the parsing of CPF fails. This is typically due to
syntax errors in the CPF. When you receive this message, review the log file for warning and error
messages which precede the ENCCPF-201 message. You should see messages which report the
specific lines in CPF which EDI System is complaining about. Correct the CPF and then try loading
it in again. See the Common Power Format Language Reference for CPF syntax and examples.
ENCCPF-201(14.20)

October 2014 208 Product Version 14.20


EDI System Error Message Reference
ENCCPF-209

138
ENCCPF-209

NAME
ENCCPF-209

SYNOPSIS
line %s: %s %s not defined.

DESCRIPTION
This error message happens when a CPF object is used without defining or fails to define it. Check
the CPF file for the definition/creating of the object. And also look for any error/warn messages prior
to this error. For example, if 'create_power_domain' command errors out due to some reason, the
later 'update_power_domain' will give this error because the power domain it tries to update is not
defined. Please also pay attention to the command order in the CPF file. If some object is defined
after it's used, tool will also give this error message.
Example: -------- **ERROR: (ENCCPF-209): line 453: power_domain PD_off is not defined.
**ERROR: (ENCCPF-209): line 735: power_mode PMdvfs2 is not defined **ERROR: (ENCCPF-
209): line 740: global net VDDw is not defined
ENCCPF-209(14.20)

October 2014 209 Product Version 14.20


EDI System Error Message Reference
ENCCPF-235

139
ENCCPF-235

NAME
ENCCPF-235

SYNOPSIS
domain '%s' not specified in the -domain_conditions list in default power_mode%s.

DESCRIPTION
The message is reported when a power domain (Example: PD_C2) is given in the domain
conditions but the power domain is not defined by cre- ate_power_domain,means there is no power
domain PD_c2.
Example: In the given example only PD_C1 is defined but there is no PD_C2. The below sequence
issue the message.
create_power_domain -name PD_C1 create_power_mode -name M1 -default -domain_conditions
{PD_C1@off PD_C2@on}
ENCCPF-235(14.20)

October 2014 210 Product Version 14.20


EDI System Error Message Reference
ENCCPF-237

140
ENCCPF-237

NAME
ENCCPF-237

SYNOPSIS
library set not specified for nominal condition %s in default power_mode %s.Please use CPF
update_nominal_condition -library_set to specify its library set.

DESCRIPTION
EDI 13.1 release onwards, tool issues above error message if library sets are not defined in CPF.
The library sets can be defined either using viewdefinition.tcl file or using define_library_set
command in CPF. The library sets defined in CPF can be further associated to nominal condition as
shown below:
create_nominal_condition -name off -voltage 0 -ground_voltage 0.0 -state_off
update_nominal_condition -name off -library_set <lib set name >
But, if the library sets are not defined in CPF, then CPF will issue above error message while
executing create_power_mode command:
create_power_mode -name cpu_off -domain_conditions {pd_1@off pd_2@on}
To resolve this error message and use the library sets defined with viewdefinition.tcl, please set
below variable before loadCPF:
setMsvMode -useViewDefLibSet true
This makes CPF to recognize the libray sets defined in viewdefinition.tcl and do not require
update_nominal_condition command in CPF to have library sets defined.
ENCCPF-237(14.20)

October 2014 211 Product Version 14.20


EDI System Error Message Reference
ENCCPF-238

141
ENCCPF-238

NAME
ENCCPF-238

SYNOPSIS
lLibrary file %s of library_set %s used in the CPF file is not loaded from viewDefinition file. It's
caused by inconsistent library_set defi- nition in CPF and viewDefinition file.

DESCRIPTION
Library set in the CPF file needs to contain the same library files as in the viewDefinition file. This
message is reported when CPF file loads a library file that is not loaded into Encounter by
viewDefinition file during design initialization. To solve it, check the library set definitions in CPF
and viewDefini- tion files and make sure them consistent. A good practice is to define library file
paths in a separate file and use variable in CPF and viewDefinition file so that they will always be
consistent.
ENCCPF-238(14.20)

October 2014 212 Product Version 14.20


EDI System Error Message Reference
ENCCPF-239

142
ENCCPF-239

NAME
ENCCPF-239

SYNOPSIS
The default power mode is not defined. Please check if CPF has cre- ate_power_mode with -
default option.

DESCRIPTION
CPF needs a default power mode. Please define a CPF power mode as a default by
create_power_mode -default.
Example: -------- **ERROR: (ENCCPF-239): The default power mode is not defined.
ENCCPF-239(14.20)

October 2014 213 Product Version 14.20


EDI System Error Message Reference
ENCCPF-243

143
ENCCPF-243

NAME
ENCCPF-243

SYNOPSIS
Cannot bind power domain %s to a library_set.

DESCRIPTION
Power domain library binding is through either MMMC file(viewDefini- tion.tcl) or CPF power mode
and nominal condition as follows:
a. viewDefinition.tcl: update_delay_corner -name dc1 -power_domain PD1-library_set libSet1
b. CPF: create_power_mode -name PM1 -default -domain_conditions{PD_FOO- BAR@1.08v}
where nominal condition 1.08v is associated to a lib by update_nominal_condition -name nc1 -
library_set libSet1
ENCCPF-243(14.20)

October 2014 214 Product Version 14.20


EDI System Error Message Reference
ENCCPF-246

144
ENCCPF-246

NAME
ENCCPF-246

SYNOPSIS
Must specify sdc_files for power_mode %s to be used in analysis_view %s. Use
'update_power_mode -sdc_files' in the CPF file to specify.

DESCRIPTION
In the CPF file, power mode used in 'create_analysis_view' command must have SDC file
specified. Because commitCPF will convert the power mode into constraint mode and it needs to
know the SDC file. To specify, use the update_power_mode command.
Example: create_power_mode -name PM_HL_FUNC -domain_conditions \ {AO@high_ao
PLL@high_pll TDSPCore@low_tdsp TDSPCore_R@low_tdsp} update_power_mode -name
PM_HL_FUNC -sdc_files \ dtmf_recvr_core_gate_wc.sdc
Example: -------- **ERROR: (ENCCPF-246): Must specify sdc_files for power_mode PM_LO_FUNC
to be used in analysis_view AV_LO_FUNC_MAX_RC1. Use 'update_power_mode -sdc_files' in
the CPF file to specify.
ENCCPF-246(14.20)

October 2014 215 Product Version 14.20


EDI System Error Message Reference
ENCCPF-247

145
ENCCPF-247

NAME
ENCCPF-247

SYNOPSIS
The operating_corner %s %s not specified; the default value %g is used.

DESCRIPTION
The message is issued when operating corner is not defined in CPF.
Example: Add below command to CPF to avoid this warning
create_operating_corner -name qc_max_corner -process 3 -temperature 125 -voltage 0.99 -
library_set max_timing
ENCCPF-247(14.20)

October 2014 216 Product Version 14.20


EDI System Error Message Reference
ENCCPF-249

146
ENCCPF-249

NAME
ENCCPF-249

SYNOPSIS
The CPF file is incomplete.

DESCRIPTION
The CPF file is missing the definition of some key objects. Usually the error message shows up
along with some other error messages. Check those error and fix them before loading the CPF.
Example: -------- Checking CPF file ... **ERROR: (ENCCPF-243): Cannot bind power domain VDD1
to a library_set. **ERROR: (ENCCPF-243): Cannot bind power domain VDD2 to a library_set.
**ERROR: (ENCCPF-243): Cannot bind power domain VDD to a library_set. **ERROR: (ENCCPF-
239): The default power mode not defined. **ERROR: (ENCCPF-249): The CPF file is incomplete
ENCCPF-249(14.20)

October 2014 217 Product Version 14.20


EDI System Error Message Reference
ENCCPF-251

147
ENCCPF-251

NAME
ENCCPF-251

SYNOPSIS
line %s: create_isolation_rule -name %s: Can not find an isolation cell with a valid location domain
%s and with the isolation output state %s. The possible reasons are: 1) the specified location in
update_isola- tion_rule is different from the location in define_isolation_cell, or 2) the output state
for the valid isolation cell does not match to its output state specified in create_isolation_rule.

DESCRIPTION
The message shows that EDI cannot insert an isolation cell with a valid location and with required
isolation_output state. The reasons could be:
1. The rule-specified location is different from the valid location specified in define_isolation_cell.
For example:
define_isolation_cell -cells isoandlow_f2_pm -valid_location on create_isolation_rule -name
VDD2LSW1_iso_low_from \ -from PD_VDD2LSW1 update_isolation_rules -names
VDD2LSW1_iso_low_from \ -location from -cells {isoandlow_f*_pm}
The rule specifies "-location from" (PD_VDD2LSW1 is a switchable power domain) and "-cells
isoandlow_f*_pm". But all the isolation cells isoandlow_f*_pm are specified as "-valid_location on"
which means the isolation cells are to be placed in an unswitched domain. Therefore these
isoandlow_f*_pm cells do not fit this rule. If the rule specifies "-location to" in this case, then these
cells would fit the rule.
2. No valid cell can match the rule's output state requirement when the isolation_condition is
asserted. For example,
create_isolation_rule -name iso_low -isolation_output low update_isolation_rules -names iso_low -
cells {isonandhigh*_pm}

October 2014 218 Product Version 14.20


EDI System Error Message Reference
ENCCPF-251

There are no isolation cells matching the name isonandhigh*_pm with isolation_output low.
Therefore the message of CPF-251 is issued. Verify the cell name and confirm a cell exists which
matches the cell specified and also matches the required isolation_output state.
ENCCPF-251(14.20)

October 2014 219 Product Version 14.20


EDI System Error Message Reference
ENCCPF-253

148
ENCCPF-253

NAME
ENCCPF-253

SYNOPSIS
line %s: create_isolation_rule -name %s can not insert an inverter in power domain %s to generate
the inverted isolation enable signal %s. Most likely, the net is set to 'dont_touch' or the inverter is not
bound to this domain. Please remove the 'dont_touch' constraint or cor- rect the power domain
library binding.

DESCRIPTION
This error message was seen either because the net has dont_touch attribute or the inverter is not
available. For the unavailable inverter reason, starting from EDI14.1, we recommend users to use
viewDefinition.tcl to do the domain binding in a specific domain. check if the specific domain's
library binding has the available inverter.
ENCCPF-253(14.20)

October 2014 220 Product Version 14.20


EDI System Error Message Reference
ENCCPF-289

149
ENCCPF-289

NAME
ENCCPF-289

SYNOPSIS
library file %s in library set %s is not loaded, it will be skipped in determining temperature or
process of operating corner %s.

DESCRIPTION
The message is issued when the library set is not loaded properly. Check viewdefinition.tcl and
correct the library set
ENCCPF-289(14.20)

October 2014 221 Product Version 14.20


EDI System Error Message Reference
ENCCPF-310

150
ENCCPF-310

NAME
ENCCPF-310

SYNOPSIS
line %s: create_isolation_rule -name %s: location domain %s does not have hinst to insert isolation
in the location domain. check if the option in update_isolation_rules is specified correctly.

DESCRIPTION
commitCPF will insert the isolation cell based on the isolation rule. If a rule tells the tool to insert the
isolation in a particular domain, the users need to make sure that this particular domain has a
hierar- chical instance for the isolation to be inserted logically.
ENCCPF-310(14.20)

October 2014 222 Product Version 14.20


EDI System Error Message Reference
ENCCPF-311

151
ENCCPF-311

NAME
ENCCPF-311

SYNOPSIS
line %s: create_level_shifter_rule -name %s: location domain %s does not have hinst to insert
level_shifter in the location domain.check if the location option in update_level_shifter_rules is
specified cor- rectly.

DESCRIPTION
commitCPF will insert the level shifter cell based on the level shifter rule. If a rule tells the tool to
insert the level shifter in a particular domain, the users need to make sure that this particular domain
has a hierar- chical instance for the level shifter to be inserted logically
ENCCPF-311(14.20)

October 2014 223 Product Version 14.20


EDI System Error Message Reference
ENCCPF-312

152
ENCCPF-312

NAME
ENCCPF-312

SYNOPSIS
line %s: create_%s_rule -name %s: valid %s cells (%s) are not bound to location domain %s; or the
library defining those cells are not loaded while executing init_design. Please check this domain
library binding.

DESCRIPTION
This is caused by library which defining those cells are not loaded or binding to domain. you need
to check domain's library binding in view_definition.tcl file. In view_definition.tcl file, we use
command "update_delay_corner -power_domain -library_set" to specify domain's library.
Example: In view_definition.tcl: Only have : "create_delay_corner -name -library_set -rc_corner" No
"update_delay_corner -power_domain -library_set"
There are two solutions:
1. You need to modify this mmmc file by adding "update_delay_corner -power_domain -library_set"
to specify the domain's library binding.
or
2. In foundation flow,do NOT specify the mmmc file and let CPF to set up the MMMC. in the file
FF/init.tcl,specify the init_mmmc_file to an empty string "".
then commitCPF will setup the MMMC based on the CPF spec and the domain library binding.
ENCCPF-312(14.20)

October 2014 224 Product Version 14.20


EDI System Error Message Reference
ENCCPF-350

153
ENCCPF-350

NAME
ENCCPF-350

SYNOPSIS
Found undefined variable while restoring CPF db, this might be a prob- lem if CPF content is used
by certain commands.

DESCRIPTION
The design might be moved from other location which depends on some user defined variables to
complete its content. Problem might arise if users run some commands that will use CPF data in the
design, such as runCLP. In that case, users need to define those variables that are referenced by
this design,and start over. This message will only be reported once, but there may be more than
one undefined varaiable.
Example:
set cpf_isolation_rules set cpf_level_shifter_rules set cpf_pd_boundary_ports($name)
cpf_pd_boundary_ports(load)
ENCCPF-350(14.20)

October 2014 225 Product Version 14.20


EDI System Error Message Reference
ENCCPF-390

154
ENCCPF-390

NAME
ENCCPF-390

SYNOPSIS
define_always_on_cell: same %s and %s pins of always_on cell %s

DESCRIPTION
This error is due to wrong pin definition in CPF define_always_on. The options -power_switchalbe
and -power (-ground_switchable and -ground) in this command should not have the same pin name
if it is power switchable (ground_switchable) always on cell as follows:
define_always_on_cell -cells { AlwaysOnCell } -power_switchable VDD -power VDD -ground VSS
Please check the .lib for the correct pin names for -power_switchable and-power.
ENCCPF-390(14.20)

October 2014 226 Product Version 14.20


EDI System Error Message Reference
ENCCPF-402

155
ENCCPF-402

NAME
ENCCPF-402

SYNOPSIS
Cannot find any matching instance for domain %s -instances name %s.

DESCRIPTION
EDI issue warning during commitCPF when the instance provided in the CPF for a powerdomain is
not present in the netlist.
Example: ## Assume "DTMF/WAON/ping_mod/inst1" instance is not present in the netlist, but is
provided in the CPF, EDI will issue this warning during com- mitCPF. create_power_domain -name
PD_AON -instances {DTMF/WAON/ping_mod/inst1}
ENCCPF-402(14.20)

October 2014 227 Product Version 14.20


EDI System Error Message Reference
ENCCPF-403

156
ENCCPF-403

NAME
ENCCPF-403

SYNOPSIS
Cannot find any matching port for domain %s -boundary_ports name %s defined in
create_power_domain -boundary_ports. Check if this boundary port exists in the netlist.

DESCRIPTION
Sometimes, users use create_power_domain -boundary_ports <listOfports> to define the boundary
ports' power domain. Users need to make sure the specified list of ports exist in the netlist.
Otherwise, commitCPF will issue the above Error when it can not find the port.
ENCCPF-403(14.20)

October 2014 228 Product Version 14.20


EDI System Error Message Reference
ENCCPF-980

157
ENCCPF-980

NAME
ENCCPF-980

SYNOPSIS
Power domain %s is not bound to any library. Power domain library bind- ing is through
'update_delay_corner -power_domain' in the MMMC file viewDefinition.tcl. Please make sure that
'update_delay_corner -power_domain %s' is specified for each delay corner in the MMMC file.

DESCRIPTION
The WARN happens when CPF does not contain MMMC definition and power domain is not bound
to library through 'update_delay_corner -power_domain' in MMMC file viewDefinition.tcl. User need
to check the sanity of viewDefinition.tcl file and fix the problem by adding 'update_delay_corner -
power_domain %s'. The WARN on non-virtual power domain is critical for whole flow.
Example **WARN: (ENCCPF-980): Power domain PD3 is not bound to any library. Power domain
library binding is through 'update_delay_corner -power_domain' in the MMMC file
viewDefinition.tcl. Please make sure that 'update_delay_corner -power_domain PD3' is speci- fied
for each delay corner in the MMMC file.
ENCCPF-980(14.20)

October 2014 229 Product Version 14.20


EDI System Error Message Reference
ENCCPF-2011

158
ENCCPF-2011

NAME
ENCCPF-2011

SYNOPSIS
The switchable power domain %s shutoff condition is not specified in CPF.

DESCRIPTION
When dumping out cpf file using command saveCPF or write_power_intent, there is no "-
shutoff_condition" saved out for switchable power domain.
The case may be non-standard msv flow case, for example, the power domain in the DB are
defined but did not follow the "standard" msv flow which uses CPF.
This case need to be converted to CPF case in order for it to be offi- cially supported.
For example, the case is 1801 based case. After "saveCPF top.cpf" after init design:
<CMD> saveCPF top.cpf **WARN: (ENCCPF-2011): The switchable power domain PD2 shutoff
condi- tion is not specified. **INFO: constraint mode func_mode is specified in multiple analysis
view, the nominal condition for analysis view setup_func_m40 is used to create corresponding
power mode.
ENCCPF-2011(14.20)

October 2014 230 Product Version 14.20


EDI System Error Message Reference
ENCCPF-2104

159
ENCCPF-2104

NAME
ENCCPF-2104

SYNOPSIS
Cannot get enable function of power switch cell %s. Please check CPF file
define_power_switch_cell command or cell info in timing library.

DESCRIPTION
There are no further details for this message
ENCCPF-2104(14.20)

October 2014 231 Product Version 14.20


EDI System Error Message Reference
ENCCPF-2204

160
ENCCPF-2204

NAME
ENCCPF-2204

SYNOPSIS
Cannot get the primary power net for the power domain %s, using first power net %s specified in
connections as primary power net.

DESCRIPTION
This warning message comes when CPF does not define the primary power net for the %s domain.
Example: -------- Something similar to the following needs to be added:
update_power_mode -name PM1 -primary_power_net VDD1
ENCCPF-2204(14.20)

October 2014 232 Product Version 14.20


EDI System Error Message Reference
ENCCPF-2210

161
ENCCPF-2210

NAME
ENCCPF-2210

SYNOPSIS
The partition CPF %s references the toplevel SDC files. Modify the par- tition CPF to reference the
correct SDC files if necessary.

DESCRIPTION
The message is reported when the SDC's in CPF are not point to correct SDC
Example: Correct SDC path of below command in the CPF
update_power_mode -name PD1 -sdc_files ${libDir}/cpf/Block1.sdc
ENCCPF-2210(14.20)

October 2014 233 Product Version 14.20


EDI System Error Message Reference
ENCCPF-2303

162
ENCCPF-2303

NAME
ENCCPF-2303

SYNOPSIS
Using CPF is required to save the database. Please use "saveCPF <fil- Name>.cpf; loadCPF
<fileName>.cpf; commitCPF" to saveDesign again.For more information about CPF information or a
backward compatibility mode, refer to the documentation.

DESCRIPTION
This message is issued when the design has power domains, but CPF or IEEE1801 or LP DB is
not loaded. Please confirm the power domains are defined through CPF or IEEE1801 file.
ENCCPF-2303(14.20)

October 2014 234 Product Version 14.20


EDI System Error Message Reference
ENCCPF-2500

163
ENCCPF-2500

NAME
ENCCPF-2500

SYNOPSIS
Cannot support disjoint power domain with leaf instance(s)

DESCRIPTION
This message is reported while creating disjoint power domain with option-disjointHinstBoxList of a
PD which have a leaf instance given in CPF for"create_power_domain -instance <Hier_Instt
Leaf_Inst>" along with Hier instance which is not a support model .Explicitly Hier instance should
be part of create_power_domain PD -instances'of CPF file to create disjoint PD. Correct your CPF
by providing only Hier instances and avoid leaf instances in the CPF.
Example:
modifyPowerDomainAttr PD -disjointHInstBoxList { {A {ax0 ay0 ax1 ay1 ax2 ay2 ax3 ay3}} {{B C}
{bx0 by0 bx1 by1 bx2 by2 bx3 by3}} } modifyPowerDomainAttr PD -addBlockBox {block1}
Note: disjointHInstBoxList: Specify a disjoint region for the hinsts as a pair of hinsts and region.The
region is defined as box list.
ENCCPF-2500(14.20)

October 2014 235 Product Version 14.20


EDI System Error Message Reference
ENCCPF-2510

164
ENCCPF-2510

NAME
ENCCPF-2510

SYNOPSIS
The box list specified is not disjoint.

DESCRIPTION
In real designs, an RTL or logical-level power domain may have to be implemented into different
physical regions with unconnected local power supplies. Such implementation of a power domain
is called a Dis- joint Power Domain.
Example: 1. One box modifyPowerDomainAttr PD1 -disjointBoxList {46 479 319 543} **ERROR:
(ENCCPF-2510): the box list specified is not disjoint
2. Abutted modifyPowerDomainAttr PD1 -disjointBoxList {46 479 319 543 46 475 263 479}
**ERROR: (ENCCPF-2510): the box list specified is not disjoint
ENCCPF-2510(14.20)

October 2014 236 Product Version 14.20


EDI System Error Message Reference
ENCCPF-2602

165
ENCCPF-2602

NAME
ENCCPF-2602

SYNOPSIS
Loading floorplan after commitCPF may cause inconsistency in power domains. The recommended
low power flow is to load floorplan before loadCPF.

DESCRIPTION
This message pops-up when the user tries to load floor-plan file after CPF is loaded and committed
to the design
Example: In order to avoid this issue, the user shousld load floor-plan file in after design is imported,
then load and commit cpf file. This will ensure correct power intent information being applied to the
design
ENCCPF-2602(14.20)

October 2014 237 Product Version 14.20


EDI System Error Message Reference
ENCCTE-104

166
ENCCTE-104

NAME
ENCCTE-104

SYNOPSIS
The constraint mode of this inactive view '%s' is modified. Check if the view is still good to use.

DESCRIPTION
There are no further details for this message
ENCCTE-104(14.20)

October 2014 238 Product Version 14.20


EDI System Error Message Reference
ENCCTE-313

167
ENCCTE-313

NAME
ENCCTE-313

SYNOPSIS
Paths not in the in2out domain will be added 1000ns slack adjustment.

DESCRIPTION
This warning is issued when path groups are set in input to output mode only.0P0or all the other
paths that are not in the in2out domain, a positive slack of 1000ns is added so that they do not show
up as criti- cal paths during analysis.
ENCCTE-313(14.20)

October 2014 239 Product Version 14.20


EDI System Error Message Reference
ENCCTE-314

168
ENCCTE-314

NAME
ENCCTE-314

SYNOPSIS
Paths not in the in2reg domain will be added 1000ns slack adjustment.

DESCRIPTION
This warning is issued when path groups are set in input to register mode only.0P0or all the other
paths that are not in the in2reg domain, a positive slack of 1000ns is added so that they do not show
up as critical paths during analysis.
ENCCTE-314(14.20)

October 2014 240 Product Version 14.20


EDI System Error Message Reference
ENCCTE-317

169
ENCCTE-317

NAME
ENCCTE-317

SYNOPSIS
Paths not in the reg2out domain will be added 1000ns slack adjustment

DESCRIPTION
This warning is issued when path groups are set in register to output mode only.0P0or all the other
paths that are not in the reg2out domain, a positive slack of 1000ns is added so that they do not
show up as critical paths during analysis.
ENCCTE-317(14.20)

October 2014 241 Product Version 14.20


EDI System Error Message Reference
ENCDB-1207

170
ENCDB-1207

NAME
ENCDB-1207

SYNOPSIS
The global net '%s' specified in the given global net connection rule is not found in the design.
Check the global net name in the global net connection rule and make sure it is correct.

DESCRIPTION
There are no further details for this message
ENCDB-1207(14.20)

October 2014 242 Product Version 14.20


EDI System Error Message Reference
ENCDB-1212

171
ENCDB-1212

NAME
ENCDB-1212

SYNOPSIS
The instance '%s' specified in the given global net connection rule is not in the design. Check the
instance name and make sure it is correct.

DESCRIPTION
There are no further details for this message
ENCDB-1212(14.20)

October 2014 243 Product Version 14.20


EDI System Error Message Reference
ENCDB-1218

172
ENCDB-1218

NAME
ENCDB-1218

SYNOPSIS
A global net connection rule for connections under hierarchical instance '%s' was specified. But the
hierarchical instance does not exist in the design. Check the hierarchical instance name specified
in the global net connection rule and make sure it is correct.

DESCRIPTION
There are no further details for this message
ENCDB-1218(14.20)

October 2014 244 Product Version 14.20


EDI System Error Message Reference
ENCDB-1220

173
ENCDB-1220

NAME
ENCDB-1220

SYNOPSIS
A global net connection(GNC) rule for connecting pin '%s' of cell '%s' to global net '%s' was
specified. The connection cannot be made because the %s pin and the %s net are not of the same
polarity. Check the imported design and make sure the GNC rule is correctly specified or generated.

DESCRIPTION
There are no further details for this message
ENCDB-1220(14.20)

October 2014 245 Product Version 14.20


EDI System Error Message Reference
ENCDB-1221

174
ENCDB-1221

NAME
ENCDB-1221

SYNOPSIS
A global net connection rule was specified to connect %s pins with the name pattern '%s' to a global
net. But the connections cannot be made because there is no such %s pin with name matching the
pattern in any cell. Check the pin name pattern and make sure it is correct.

DESCRIPTION
There are no further details for this message
ENCDB-1221(14.20)

October 2014 246 Product Version 14.20


EDI System Error Message Reference
ENCDB-1231

175
ENCDB-1231

NAME
ENCDB-1231

SYNOPSIS
Failed to connect signal pin '%s' of instance '%s' to power/ground net '%s', because the pin is
neither tie-high/tie-low nor power/ground. To make it eligible for the connection, mark it as tie-
high/tie-low in the verilog file, or change its type to power/ground in the LEF library.

DESCRIPTION
There are no further details for this message
ENCDB-1231(14.20)

October 2014 247 Product Version 14.20


EDI System Error Message Reference
ENCDB-1256

176
ENCDB-1256

NAME
ENCDB-1256

SYNOPSIS
Pin %s of instance '%s' is a POWER type pin, but it is connected to a signal net '%s'. The type of
this net will be changed to POWER net.

DESCRIPTION
There are no further details for this message
ENCDB-1256(14.20)

October 2014 248 Product Version 14.20


EDI System Error Message Reference
ENCDB-1257

177
ENCDB-1257

NAME
ENCDB-1257

SYNOPSIS
Pin '%s' of instance '%s' is a GROUND type pin, but it is connected to a signal net '%s'. The type of
this net will be changed to GROUND net.

DESCRIPTION
There are no further details for this message
ENCDB-1257(14.20)

October 2014 249 Product Version 14.20


EDI System Error Message Reference
ENCDB-1259

178
ENCDB-1259

NAME
ENCDB-1259

SYNOPSIS
A global net connection rule was specified to connect pins of instances with basename '%s'%sto a
global net. But no connection can be made because there is no instance with basename matched
'%s' in the %s

DESCRIPTION
There are no further details for this message
ENCDB-1259(14.20)

October 2014 250 Product Version 14.20


EDI System Error Message Reference
ENCDB-1266

179
ENCDB-1266

NAME
ENCDB-1266

SYNOPSIS
Both given orientation and original orientation not legal, %s changes to the orientation R0.

DESCRIPTION
There are no further details for this message
ENCDB-1266(14.20)

October 2014 251 Product Version 14.20


EDI System Error Message Reference
ENCDB-1278

180
ENCDB-1278

NAME
ENCDB-1278

SYNOPSIS
Failed to connect pin %s pin '%s' of instance '%s' to global net '%s' for the given global net
connection (GNC/CPF) rule because there is mismatch between the polarity of the pin and global
net. Check the imported design data, and make sure the GNC/CPF rule is correctly spec- ified or
generated. If the polarity is allowed to mismatch, then spec- ify the pin in the
init_ignore_pgpin_polarity_check variable list.

DESCRIPTION
There are no further details for this message
ENCDB-1278(14.20)

October 2014 252 Product Version 14.20


EDI System Error Message Reference
ENCDB-1601

181
ENCDB-1601

NAME
ENCDB-1601

SYNOPSIS
Term '%s' of instance '%s' will be connected to global net '%s' due to a DEF-originated
specification. This connection will be lost if apply- GlobalNet is performed. To avoid the connection
loss, use an appropri- ate globalNetConnect command to make the connection.

DESCRIPTION
There are no further details for this message
ENCDB-1601(14.20)

October 2014 253 Product Version 14.20


EDI System Error Message Reference
ENCDB-2059

182
ENCDB-2059

NAME
ENCDB-2059

SYNOPSIS
lef/def name '%s' cannot be converted to a legal verilog name becuase there is non-digit character
inside bus bits. Fix the error in the lef/def name before converting to verilog name.

DESCRIPTION
There are no further details for this message
ENCDB-2059(14.20)

October 2014 254 Product Version 14.20


EDI System Error Message Reference
ENCDB-2078

183
ENCDB-2078

NAME
ENCDB-2078

SYNOPSIS
Output pin %s of instance %s is connected to %s net %s. Usually it is not right to connect an output
signal pin to a P/G net, unless the pin is meant to be the driver of the net. This can create a short
circuit if the output is %s. Check the connectivity in the netlist.

DESCRIPTION
There are no further details for this message
ENCDB-2078(14.20)

October 2014 255 Product Version 14.20


EDI System Error Message Reference
ENCDB-2125

184
ENCDB-2125

NAME
ENCDB-2125

SYNOPSIS
lef/def Name '%s' is not a legal verilog name because there are charac- ters after bus bits. The
lef/def name '%s' after mapping to verilog name becomes '%s'. Check if '%s' is what you expected.

DESCRIPTION
There are no further details for this message
ENCDB-2125(14.20)

October 2014 256 Product Version 14.20


EDI System Error Message Reference
ENCDB-2136

185
ENCDB-2136

NAME
ENCDB-2136

SYNOPSIS
%s term '%s' of %s instance '%s' does not connect to a '%s' net. The netlist is not correct or the net
for connecting tie high/low signals is not specified. To resolve this problem, check your netlist or run
globalNetConnect to specify the tie high/low signal nets.

DESCRIPTION
There are no further details for this message
ENCDB-2136(14.20)

October 2014 257 Product Version 14.20


EDI System Error Message Reference
ENCDB-2139

186
ENCDB-2139

NAME
ENCDB-2139

SYNOPSIS
Input netlist has a cell %s which is marked dont_use in the library. This cell will not be used in
timing optimization. Use set_dont_use command to change.

DESCRIPTION
There are no further details for this message
ENCDB-2139(14.20)

October 2014 258 Product Version 14.20


EDI System Error Message Reference
ENCDB-2148

187
ENCDB-2148

NAME
ENCDB-2148

SYNOPSIS
%sterm '%s' of %sinstance '%s' is tied to net '%s'. However, none of the instance's %s terms is
connected to the net. Usually an instance's tieHi/Lo term and one of the Power/Ground (P/G) terms
of the instance should connect to the same P/G net. Check the input netlist, and also make sure
proper global net connections are applied to the instance's tieHi/Lo terms and P/G terms.

DESCRIPTION
There are no further details for this message
ENCDB-2148(14.20)

October 2014 259 Product Version 14.20


EDI System Error Message Reference
ENCDB-2504

188
ENCDB-2504

NAME
ENCDB-2504

SYNOPSIS
Cell '%s' is instantiated in the Verilog netlist, but it is not defined in the library or design data. Its pin
directions may be derived incorrectly. Provide the cell definition or its pin information in the library or
design data and reload the design to avoid potential issues.

DESCRIPTION
There are no further details for this message
ENCDB-2504(14.20)

October 2014 260 Product Version 14.20


EDI System Error Message Reference
ENCDB-5000

189
ENCDB-5000

NAME
ENCDB-5000

SYNOPSIS
Rows for site '%s' will not be created for powerDomain '%s' because the height (%d) of site '%s' is
not an integral multiple of smallest site height (%d). If you need to use rows of site '%s', you will
need to create seperate powerDomain that allows site of height %d.

DESCRIPTION
There are no further details for this message
ENCDB-5000(14.20)

October 2014 261 Product Version 14.20


EDI System Error Message Reference
ENCDB-5029

190
ENCDB-5029

NAME
ENCDB-5029

SYNOPSIS
This message is issued because one %s cannot belong to two groups at the same time. '%s' was
already assigned to group '%s', so it cannot be assigned to another group '%s' again.

DESCRIPTION
There are no further details for this message
ENCDB-5029(14.20)

October 2014 262 Product Version 14.20


EDI System Error Message Reference
ENCDBTCL-204

191
ENCDBTCL-204

NAME
ENCDBTCL-204

SYNOPSIS
'%s' is not a recognized object/attribute for object type '%s'. For help use 'dbSchema %s' to get list
of all supported (settable/unset- table) objects and attributes.

DESCRIPTION
The first field to dbGet must be {<obj>|<objList>|head|top|selected}. Obj or objlist is a pointer or list
of pointer of a db object. "head" "top" and "selected" are keyword to the category of different db
struc- ture. Head point to the start of library related infomation. top point to start of design information
and selected point to the start of selected objects.
ENCDBTCL-204(14.20)

October 2014 263 Product Version 14.20


EDI System Error Message Reference
ENCDBTCL-205

192
ENCDBTCL-205

NAME
ENCDBTCL-205

SYNOPSIS
Unknown or unsupported object pointer detected. See 'help dbGet' for more details.

DESCRIPTION
This can occur when dbGet is given a pointer which has been deleted from the database such as a
wire segment, instance or net. You can ver- ify the object pointer by selecting the object and running
'dbGet selected'. The Design Browser can also be used to search for and select the object. Note
object pointers are unique to each session so scripts should retrieve the object pointers.
ENCDBTCL-205(14.20)

October 2014 264 Product Version 14.20


EDI System Error Message Reference
ENCDBTCL-3001

193
ENCDBTCL-3001

NAME
ENCDBTCL-3001

SYNOPSIS
Flow step '%s' already exists. Delete this other flow_step object or chose another name.

DESCRIPTION
There are no further details for this message
ENCDBTCL-3001(14.20)

October 2014 265 Product Version 14.20


EDI System Error Message Reference
ENCDC-228

194
ENCDC-228

NAME
ENCDC-228

SYNOPSIS
delayObj find the node %p has floating cap in net %s. Run RC extraction and LVS checking before
do delay calculation.

DESCRIPTION
{DETAILMESSAGE}
ENCDC-228(14.20)

October 2014 266 Product Version 14.20


EDI System Error Message Reference
ENCDC-348

195
ENCDC-348

NAME
ENCDC-348

SYNOPSIS
The output pin %s is connected to power/ground net %s. This can compro- mise the delay
calculation. Fix the issue by correcting the netlist and rerun. An output pin should not be connected
to a power/ground net. In some cases, the pin direction may be incorrect, correcting pin direc- tion to
input instead of output fixes the issue in these cases.

DESCRIPTION
{DETAILMESSAGE}
ENCDC-348(14.20)

October 2014 267 Product Version 14.20


EDI System Error Message Reference
ENCDC-580

196
ENCDC-580

NAME
ENCDC-580

SYNOPSIS
Cannot find term %s in net %s due to inconsistency between logic net and RC network. Run RC
extraction and do LVS checking before delay cal- culation.

DESCRIPTION
{DETAILMESSAGE}
ENCDC-580(14.20)

October 2014 268 Product Version 14.20


EDI System Error Message Reference
ENCDC-634

197
ENCDC-634

NAME
ENCDC-634

SYNOPSIS
Failed to build the timing graph since timing library files have not yet been loaded. To resolve this,
check that timing library files have been correctly specified in the loaded design database.

DESCRIPTION
{DETAILMESSAGE}
ENCDC-634(14.20)

October 2014 269 Product Version 14.20


EDI System Error Message Reference
ENCDC-1159

198
ENCDC-1159

NAME
ENCDC-1159

SYNOPSIS
The input transition time value is less than 0.1ps. Ensure that the input transition time value is
greater than or equal to 0.1ps.

DESCRIPTION
This warning is displayed when the value of input transition time spec- ified by you is less than
0.1ps. When you specify an input transition time value that is less than 0.1ps, the software ignores
this value and uses the default value of 0.1ps. To avoid this warning, ensure that the input transition
time value is greater than or equal to 0.1ps.
ENCDC-1159(14.20)

October 2014 270 Product Version 14.20


EDI System Error Message Reference
ENCDC-1629

199
ENCDC-1629

NAME
ENCDC-1629

SYNOPSIS
The default delay limit was set to %d. This is less than the default of %d and may result in
inaccurate delay calculation for nets with a fanout higher than the setting. If needed, the default
delay limit may be adjusted by running the command 'set delay- cal_use_default_delay_limit'.

DESCRIPTION
There are no further details for this message
ENCDC-1629(14.20)

October 2014 271 Product Version 14.20


EDI System Error Message Reference
ENCDC-1662

200
ENCDC-1662

NAME
ENCDC-1662

SYNOPSIS
Input transitions and/or output capacitance values have been detected that are outside the
characterization range of the timing library index. Extrapolation leads to inaccurate delay
calculation. Design Rule Constraint fixing or recharacterization of libraries with sufficient indices
may help address this problem. A detailed report is written to file %s.

DESCRIPTION
There are no further details for this message
ENCDC-1662(14.20)

October 2014 272 Product Version 14.20


EDI System Error Message Reference
ENCDF-15

201
ENCDF-15

NAME
ENCDF-15

SYNOPSIS
The name of the option -deleteRDL for the command defIn is misleading as it will delete the RDL
routing only. But instead, it actually deletes all the special routing in the design. To solve the
confusion, -deleteRDL is renamed to -deleteSpecialRoute. Modify your script to use the corrent
optoin to avoid this warning message.

DESCRIPTION
There are no further details for this message
ENCDF-15(14.20)

October 2014 273 Product Version 14.20


EDI System Error Message Reference
ENCDF-30

202
ENCDF-30

NAME
ENCDF-30

SYNOPSIS
Line %ld: OffMGrid: %s (%d, %d) (%d, %d) %s %s is not on Manufacturing Grid.

DESCRIPTION
This error reports that a wire's coordinate in the DEF file are not on the manufacturing grid which
will result in DRC errors.
The coordinates in the error message are in database units per micron (dbuPerMicron). Look for the
following line in the DEF file to deter- mine how many dbu's is 1 micron:
UNITS DISTANCE MICRONS dbuPerMicron ;
When reading DEF EDI System will check that each edge and the center- line of wires is on the
manufacturing grid defined in the technology LEF file (MANUFACTURINGGRID).
One possible scenario that could cause this issue is that the two edges of the wire are on
manufacturing grid but the center line, which is what DEF syntax uses, may not be. To check if your
dbu's have enough granularity, multiply dbuPerMicron * manufaturingGrid. The result should be an
integer quantity that is evenly divisible by two to ensure the center-line is on grid.
For example, if MANUFACTURINGGRID is 0.005, this is only achievable with a DBU of 2000.
- (1000*0.005)/2 = 2.5, (not evenly divisible by two), the result could be off manufacturing grid. -
(2000*0.005)/2 = 5, (evenly divisible by two), the result will be on manufacturing grid.
Or to say it another way: If dbuPerMicron * manufacturingGrid is an odd number (e.g. 1000*0.005 =
5), you cannot create a path (center-line based) that has a width that is an odd number of
manufacturing grids wide.
ENCDF-30(14.20)

October 2014 274 Product Version 14.20


EDI System Error Message Reference
ENCDF-32

203
ENCDF-32

NAME
ENCDF-32

SYNOPSIS
Line %ld: OffMGrid: %s %s shape (%d, %d) (%d, %d) %s %s is not on Manu- facturing Grid (%d
DBU). This is likely to result in placement/routing that cannot be manufac- tured. Check the
manufacturing grid definition in the technology from LEF (MANUFACTURINGGRID) or
OpenAccess reference library.

DESCRIPTION
There are no further details for this message
ENCDF-32(14.20)

October 2014 275 Product Version 14.20


EDI System Error Message Reference
ENCDF-84

204
ENCDF-84

NAME
ENCDF-84

SYNOPSIS
The ROW '%s' is ignored because it references a site '%s' that has not been defined in the
LEF/OpenAccess technology. Review the LEF files specified or the OA technology database, to
see if the site is cor- rectly defined.

DESCRIPTION
This error reports that a row references a site that has not been defined. The sites must be defined
before they can be referenced from a row. Review the LEF files specified in the init_lef_file variable,
or the OA technology database, and make sure the sites are correctly defined.
To determine the list of legal site names, use 'dbGet head.sites.name'.
While the design can be read in without rows and used for parasitic extraction and analysis, the
loss of the rows will mean that placement and optimization functions will not work.
ENCDF-84(14.20)

October 2014 276 Product Version 14.20


EDI System Error Message Reference
ENCDF-117

205
ENCDF-117

NAME
ENCDF-117

SYNOPSIS
Cannot change the type to '%s' for net '%s' because this net is defined in Verilog file, , but not as a
%s net. This 'USE %s' attribute is discarded. Verify the net is correctly defined in your Verilog
netlist, and the DEF matches the Verilog.

DESCRIPTION
There are no further details for this message
ENCDF-117(14.20)

October 2014 277 Product Version 14.20


EDI System Error Message Reference
ENCDF-127

206
ENCDF-127

NAME
ENCDF-127

SYNOPSIS
Via '%s' used in net '%s' is not found. Review the via definitions in DEF VIAS section, or in the LEF
or Ope- nAccess library, and make sure the via is correctly defined.

DESCRIPTION
There are no further details for this message
ENCDF-127(14.20)

October 2014 278 Product Version 14.20


EDI System Error Message Reference
ENCDF-147

207
ENCDF-147

NAME
ENCDF-147

SYNOPSIS
Net '%s' is not found in the database. The routing on the net is dis- carded. defIn will continue, but
the physical connection is lost, which will likely cause problems later on. Verify the net is correctly
defined in your Verilog netlist, and the DEF matches the Verilog.

DESCRIPTION
There are no further details for this message
ENCDF-147(14.20)

October 2014 279 Product Version 14.20


EDI System Error Message Reference
ENCDF-200

208
ENCDF-200

NAME
ENCDF-200

SYNOPSIS
Instance %s is not found in db and ignored. If this is a physical-only instance, it should have '+
SOURCE DIST' attribute.

DESCRIPTION
There are no further details for this message
ENCDF-200(14.20)

October 2014 280 Product Version 14.20


EDI System Error Message Reference
ENCDF-280

209
ENCDF-280

NAME
ENCDF-280

SYNOPSIS
The instance '%s' specified in START point of the chain '%s' does not exist, the chain is discarded.
Verify the instance is defined in your Verilog netlist and its cell type is defined in the LEF or
OpenAccess library.

DESCRIPTION
There are no further details for this message
ENCDF-280(14.20)

October 2014 281 Product Version 14.20


EDI System Error Message Reference
ENCDF-348

210
ENCDF-348

NAME
ENCDF-348

SYNOPSIS
Cannot import X routing because X feature is not available. Please invoke encounter with proper
option to enable X feature.

DESCRIPTION
There are no further details for this message
ENCDF-348(14.20)

October 2014 282 Product Version 14.20


EDI System Error Message Reference
ENCDF-1026

211
ENCDF-1026

NAME
ENCDF-1026

SYNOPSIS
Via at ( %d %d ) does not have valid viaId. The via is dropped and the routing on net '%s' is
incomplete. The database is likely invalid. This is an internal programming error that cannot be
directly fixed by a user. In some cases, you may be able to avoid the error by saving and restoring
the data before the error to cleanup the database inconsis- tency, and then rerun the last command
or rerun the command with dif- ferent options. If that does not work, you must contact Cadence sup-
port to get it resolved.

DESCRIPTION
There are no further details for this message
ENCDF-1026(14.20)

October 2014 283 Product Version 14.20


EDI System Error Message Reference
ENCECO-154

212
ENCECO-154

NAME
ENCECO-154

SYNOPSIS
Can not connect the net '%s' to the physical instance '%s' term '%s'.

DESCRIPTION
There are no further details for this message
ENCECO-154(14.20)

October 2014 284 Product Version 14.20


EDI System Error Message Reference
ENCECO-166

213
ENCECO-166

NAME
ENCECO-166

SYNOPSIS
Term %s of inst %s is connected to net %s, not %s. Netlist might be corrupted. Please report this
problem to Cadence Cus- tomer Support.

DESCRIPTION
There are no further details for this message
ENCECO-166(14.20)

October 2014 285 Product Version 14.20


EDI System Error Message Reference
ENCECO-259

214
ENCECO-259

NAME
ENCECO-259

SYNOPSIS
The restored assign net '%s' has multiple drives(# of drive = %d).

DESCRIPTION
There are no further details for this message
ENCECO-259(14.20)

October 2014 286 Product Version 14.20


EDI System Error Message Reference
ENCECO-560

215
ENCECO-560

NAME
ENCECO-560

SYNOPSIS
The netlist is not unique, because the module '%s' is instantiated mul- tiple times. Make the netlist
unique by running 'set init_design_uniquify 1' before loading the design to avoid the problem.

DESCRIPTION
There are no further details for this message
ENCECO-560(14.20)

October 2014 287 Product Version 14.20


EDI System Error Message Reference
ENCESI-350

216
ENCESI-350

NAME
ENCESI-350

SYNOPSIS
AAE_ERROR: Delay calculation for net %s (%d) may be incorrect. Check that the voltage level is
correct, check that the RC network is fully connected and check that the timing libraries are correct.

DESCRIPTION
There are no further details for this message
ENCESI-350(14.20)

October 2014 288 Product Version 14.20


EDI System Error Message Reference
ENCESI-3014

217
ENCESI-3014

NAME
ENCESI-3014

SYNOPSIS
The RC network is incomplete for net %s. As a result, a lumped model will be used during delay
calculation which may compromise timing accu- racy. To resolve this, check parasitics for
completeness, re-extraction may be required.

DESCRIPTION
There are no further details for this message
ENCESI-3014(14.20)

October 2014 289 Product Version 14.20


EDI System Error Message Reference
ENCESO-301

218
ENCESO-301

NAME
ENCESO-301

SYNOPSIS
The %s command requires design data to be loaded.

DESCRIPTION
The command could not be run because design data is not loaded. Please load a database or
design data.
ENCESO-301(14.20)

October 2014 290 Product Version 14.20


EDI System Error Message Reference
ENCESO-306

219
ENCESO-306

NAME
ENCESO-306

SYNOPSIS
Failed to open %s file %s for %s

DESCRIPTION
A file system error occurred when attempting to open a file. Usually this is because you do not have
permission to read or write a file in the current working directory, or have specified a file for read
which does not exist.
Example: Use the operating system 'ls -l' command to check file permissions. Use the operating
system 'chmod' command to change permissions. The operating system 'df' command can be used
to check available space. For example: encounter 2> df -H Filesystem Size Used Avail Use%
Mounted on /disk/directory 2.2T 2.0T 271G 88% /mount/mountpoint
ENCESO-306(14.20)

October 2014 291 Product Version 14.20


EDI System Error Message Reference
ENCESO-309

220
ENCESO-309

NAME
ENCESO-309

SYNOPSIS
Error reading/writing file '%s'.

DESCRIPTION
The command was not able to read or write data from a file. Please check available disk space and
file permissions.
ENCESO-309(14.20)

October 2014 292 Product Version 14.20


EDI System Error Message Reference
ENCESO-314

221
ENCESO-314

NAME
ENCESO-314

SYNOPSIS
Buffer cell '%s' will not be used because timing information for this cell is not available.

DESCRIPTION
The reported buffer cell cannot be used because there is no timing information available for it. This
is most probably due to missing liberty files (.lib) in the design configuration. To solve this, you
should verify that buffers are part of each active library set inside the viewDefinition.tcl file.
ENCESO-314(14.20)

October 2014 293 Product Version 14.20


EDI System Error Message Reference
ENCESO-317

222
ENCESO-317

NAME
ENCESO-317

SYNOPSIS
The hierarchical instance name '%s' provided in the partition list file is not found in the design.

DESCRIPTION
The partition list file should contain the names of all hierarchical module instances which should be
treated as a partition. This error occurs when an entry in the partition list file could not be found in
the design. Ensure the names in the file are correct.
ENCESO-317(14.20)

October 2014 294 Product Version 14.20


EDI System Error Message Reference
ENCESO-320

223
ENCESO-320

NAME
ENCESO-320

SYNOPSIS
Cannot create directory '%s': %s.

DESCRIPTION
The current session does not have the write access to create a direc- tory. Check the filesystem
permissions for the current directory.
ENCESO-320(14.20)

October 2014 295 Product Version 14.20


EDI System Error Message Reference
ENCESO-358

224
ENCESO-358

NAME
ENCESO-358

SYNOPSIS
Cell swapping transform requires multiple Vth libraries in the design.

DESCRIPTION
The tool was not able to detect multiple Vth libraries in this design which is a prerequesite to
perform same size cell swapping. Either you have provided only a single Vth library or all cells from
other Vth libraries are set as dont_touch.
ENCESO-358(14.20)

October 2014 296 Product Version 14.20


EDI System Error Message Reference
ENCEXT-1023

225
ENCEXT-1023

NAME
ENCEXT-1023

SYNOPSIS
Failed to %s file or directory '%s' to '%s'. The system message is '%s'.

DESCRIPTION
This error occurs when a file system operation, such as copy or move, fails. This may be because of
inadequate disk space or permission in the file system, such as the current directory or the
TMPDIR, where the operation is being performed.
ENCEXT-1023(14.20)

October 2014 297 Product Version 14.20


EDI System Error Message Reference
ENCEXT-1024

226
ENCEXT-1024

NAME
ENCEXT-1024

SYNOPSIS
File system operation %s(%s%s%s) failed. The system message is '%s'.

DESCRIPTION
This error occurs when a file system operation, such as mkdir or mkstemp, fails. This may be
because of inadequate disk space or permis- sion in the file system, such as the current directory or
the TMPDIR, where the operation is being performed.
ENCEXT-1024(14.20)

October 2014 298 Product Version 14.20


EDI System Error Message Reference
ENCEXT-1029

227
ENCEXT-1029

NAME
ENCEXT-1029

SYNOPSIS
Input/Output to file or directory '%s' failed with system error '%s'.

DESCRIPTION
There are no further details for this message
ENCEXT-1029(14.20)

October 2014 299 Product Version 14.20


EDI System Error Message Reference
ENCEXT-1081

228
ENCEXT-1081

NAME
ENCEXT-1081

SYNOPSIS
setExtractRCMode -effortLevel %s is ignored for preRoute extraction. This setting is only applicable
for postRoute extraction.

DESCRIPTION
Extraction supports two engine modes, preRoute and postRoute. These are specified using the ?
engine parameter of the setExtractRCMode command. Effort-level selection is not supported in the
preRoute mode. For more information on setting the effort level, see the documentation for the
setExtractRCMode command.
ENCEXT-1081(14.20)

October 2014 300 Product Version 14.20


EDI System Error Message Reference
ENCEXT-1197

229
ENCEXT-1197

NAME
ENCEXT-1197

SYNOPSIS
The '/tmp' directory has been specified for cache/temporary data stor- age. In distributed processing
mode TQRC/IQRC expects cache/temporary data directory to be accessible on all hosts used for
distributed pro- cessing. Therefore the current directory will be used instead of '/tmp'.

DESCRIPTION
This warning is issued when distributed processing is enabled for TQRC/IQRC and FE_TMPDIR or
TMPDIR is set to /tmp. Distributed process- ing requires that the temporary storage area should be
accessible to all hosts. The software will use the current directory instead.
You can specify a directory for temporary storage in any of the follow- ing ways, depending on what
is available:
1. Save in the directory defined by the environment variable, FE_TMPDIR.
2. Save in the directory defined by the environment variable, TMPDIR.
3. Save in the current directory, if it is writeable.
4. Save in /tmp.
ENCEXT-1197(14.20)

October 2014 301 Product Version 14.20


EDI System Error Message Reference
ENCEXT-1206

230
ENCEXT-1206

NAME
ENCEXT-1206

SYNOPSIS
Extraction engine initialization failed with the message: %s. Check the log file %s for details.

DESCRIPTION
There are no further details for this message
ENCEXT-1206(14.20)

October 2014 302 Product Version 14.20


EDI System Error Message Reference
ENCEXT-1211

231
ENCEXT-1211

NAME
ENCEXT-1211

SYNOPSIS
Extraction engine failed with the message: %s.

DESCRIPTION
There are no further details for this message
ENCEXT-1211(14.20)

October 2014 303 Product Version 14.20


EDI System Error Message Reference
ENCEXT-1220

232
ENCEXT-1220

NAME
ENCEXT-1220

SYNOPSIS
Multiple poly layers %s and %s are defined in the technology file '%s'. Because extraction expects a
single poly-layer definition, the layer %s will be ignored.

DESCRIPTION
There are no further details for this message
ENCEXT-1220(14.20)

October 2014 304 Product Version 14.20


EDI System Error Message Reference
ENCEXT-1221

233
ENCEXT-1221

NAME
ENCEXT-1221

SYNOPSIS
No poly layer found in the technology file '%s'.

DESCRIPTION
No poly layer is found in the technology file. Check to ensure that the correct technology file is
specified and check the technology file con- tent.
ENCEXT-1221(14.20)

October 2014 305 Product Version 14.20


EDI System Error Message Reference
ENCEXT-1222

234
ENCEXT-1222

NAME
ENCEXT-1222

SYNOPSIS
No CUT layer defined between the poly LAYER and first routing metal LAYER in LEF technology
file '%s'.

DESCRIPTION
The CUT layer between the poly layer and the first routing metal layer is missing in the LEF
technology file.
An example of a LEF outline showing CUT layer is as provided below:
LAYER poly
TYPE MASTERSLICE;
END poly
LAYER V0
TYPE CUT;
END V0
LAYER M1
TYPE ROUTING;
END V1
ENCEXT-1222(14.20)

October 2014 306 Product Version 14.20


EDI System Error Message Reference
ENCEXT-1241

235
ENCEXT-1241

NAME
ENCEXT-1241

SYNOPSIS
No poly layer found in the layermap file, '%s'.

DESCRIPTION
The layer mapping information for the poly layer is missing in the lay- ermap file. This information is
required for running extraction. Check the layermap file and rerun extraction.
ENCEXT-1241(14.20)

October 2014 307 Product Version 14.20


EDI System Error Message Reference
ENCEXT-1245

236
ENCEXT-1245

NAME
ENCEXT-1245

SYNOPSIS
TCL array 'qxHiddenOption' is an internal variable that is provided only for developers.

DESCRIPTION
TCL array 'qxHiddenOption' is an internal variable that is provided only for developers. To avoid
this message, remove the 'set qxHiddenOp- tion' from your script.
ENCEXT-1245(14.20)

October 2014 308 Product Version 14.20


EDI System Error Message Reference
ENCEXT-1246

237
ENCEXT-1246

NAME
ENCEXT-1246

SYNOPSIS
Internal option %s is set to %d (input str is '%s').

DESCRIPTION
There are no further details for this message
ENCEXT-1246(14.20)

October 2014 309 Product Version 14.20


EDI System Error Message Reference
ENCEXT-1285

238
ENCEXT-1285

NAME
ENCEXT-1285

SYNOPSIS
The data for incremental TQRC/IQRC extraction is deleted because when the %s command is
invoked, the parasitic data is reset. This forces the next TQRC/IQRC extraction run to be full chip.

DESCRIPTION
There are no further details for this message
ENCEXT-1285(14.20)

October 2014 310 Product Version 14.20


EDI System Error Message Reference
ENCEXT-1286

239
ENCEXT-1286

NAME
ENCEXT-1286

SYNOPSIS
TCL Variable(s) %s%s%s%s%s%s%s is meant only for developers to use internally. Use it at your
own risk.

DESCRIPTION
There are no further details for this message
ENCEXT-1286(14.20)

October 2014 311 Product Version 14.20


EDI System Error Message Reference
ENCEXT-1396

240
ENCEXT-1396

NAME
ENCEXT-1396

SYNOPSIS
Geometry found on layer 'M0' at location (%d %d %d), but the OA/LEF to technology layer mapping
for this layer is missing. Use setExtractRC- Mode -lefTechFileMap to specify the correct mapping of
layers.

DESCRIPTION
There are no further details for this message
ENCEXT-1396(14.20)

October 2014 312 Product Version 14.20


EDI System Error Message Reference
ENCEXT-1452

241
ENCEXT-1452

NAME
ENCEXT-1452

SYNOPSIS
Internal representation of wires of %snet '%s' at (%d %d %d) is complex and may have overlapping
geometries. So, TQRC/IQRC extraction is not possible for this net. RC estimation will be used but
any SPEF that is generated subsequently will not include any RC's for this net. If this net falls in the
critical path, use the signoff extractor by setting the setExtractRCMode -effortLevel to signoff for
better accuracy.

DESCRIPTION
There are no further details for this message
ENCEXT-1452(14.20)

October 2014 313 Product Version 14.20


EDI System Error Message Reference
ENCEXT-1462

242
ENCEXT-1462

NAME
ENCEXT-1462

SYNOPSIS
The value of the extraction option, '%s' used during incremental extraction must be the same as that
used during the last extraction run. The option value has changed from '%f' to '%f'. Full-chip extrac-
tion will be performed. To prevent full-chip extraction, keep the options of the setExtractRCMode
command consistent before and after the eco/wire edits.

DESCRIPTION
There are no further details for this message
ENCEXT-1462(14.20)

October 2014 314 Product Version 14.20


EDI System Error Message Reference
ENCEXT-2509

243
ENCEXT-2509

NAME
ENCEXT-2509

SYNOPSIS
The '%s' option is not allowed in the preRoute mode. To see a full list of options that are allowed in
preRoute and postRoute modes, see the setExtractRCMode command documentation in the EDI
System Text Command Reference. If the desired engine is postRoute, then set the engine to
postRoute before using this option.

DESCRIPTION
There are no further details for this message
ENCEXT-2509(14.20)

October 2014 315 Product Version 14.20


EDI System Error Message Reference
ENCEXT-2677

244
ENCEXT-2677

NAME
ENCEXT-2677

SYNOPSIS
Multi-corner RC initialization is aborted because of previously- reported errors. Use the reason
provided in the error message(s) to resolve this issue and use the set_analysis_view command to
invoke multi-corner initialization again.

DESCRIPTION
There are no further details for this message
ENCEXT-2677(14.20)

October 2014 316 Product Version 14.20


EDI System Error Message Reference
ENCEXT-2713

245
ENCEXT-2713

NAME
ENCEXT-2713

SYNOPSIS
Information for layer M%d is not provided in the capacitance table file. This might be because the
capacitance table file is incomplete and does not have specification for all the conductor layers
defined in LEF. To resolve the issue, either provide the correct capacitance table file or regenerate
the capacitance table file using generateCapTbl com- mand.

DESCRIPTION
There are no further details for this message
ENCEXT-2713(14.20)

October 2014 317 Product Version 14.20


EDI System Error Message Reference
ENCEXT-2769

246
ENCEXT-2769

NAME
ENCEXT-2769

SYNOPSIS
The top conductor layer for via %s is not defined. The via specifica- tion is incomplete so it will be
ignored.

DESCRIPTION
There are no further details for this message
ENCEXT-2769(14.20)

October 2014 318 Product Version 14.20


EDI System Error Message Reference
ENCEXT-2773

247
ENCEXT-2773

NAME
ENCEXT-2773

SYNOPSIS
The via resistance between layers %s and %s could not be determined from the LEF technology file
because the via resistance specification is missing. A default of 4 Ohms will be used as via
resistance between these layers.

DESCRIPTION
This warning message is displayed when the capacitance table file is not specified for RC corners
during a multi-corner setup and the via resistance between the specified layers is missing in the
technology LEF file. In this case, a default of 4 Ohms will be used as via resis- tance between these
layers. If capacitance table file is specified for all RC corners using the create_rc_corner or
update_rc_corner command, the software uses RC data from this capacitance table file instead of
accessing the LEF data.
ENCEXT-2773(14.20)

October 2014 319 Product Version 14.20


EDI System Error Message Reference
ENCEXT-2777

248
ENCEXT-2777

NAME
ENCEXT-2777

SYNOPSIS
available for reuse

DESCRIPTION
There are no further details for this message
ENCEXT-2777(14.20)

October 2014 320 Product Version 14.20


EDI System Error Message Reference
ENCEXT-2884

249
ENCEXT-2884

NAME
ENCEXT-2884

SYNOPSIS
An unconnected wire is found at terminal %s of net %s. The wire connec- tivity of this net is broken
because of which the parasitic data for this net may be incomplete.

DESCRIPTION
There are no further details for this message
ENCEXT-2884(14.20)

October 2014 321 Product Version 14.20


EDI System Error Message Reference
ENCEXT-2940

250
ENCEXT-2940

NAME
ENCEXT-2940

SYNOPSIS
D_NET %s referenced in the SPEF file at line %ld is not present in the netlist. The SPEF reader will
try and identify this D_NET through the connectivity information specified in its CONN section.

DESCRIPTION
There are no further details for this message
ENCEXT-2940(14.20)

October 2014 322 Product Version 14.20


EDI System Error Message Reference
ENCEXT-2953

251
ENCEXT-2953

NAME
ENCEXT-2953

SYNOPSIS
Line %ld: The pin %s on instance %s is not found. Check the connectiv- ity in the SPEF file and its
mapping with the corresponding verilog netlist of the design. Correct the SPEF file and read it
again.

DESCRIPTION
There are no further details for this message
ENCEXT-2953(14.20)

October 2014 323 Product Version 14.20


EDI System Error Message Reference
ENCEXT-2955

252
ENCEXT-2955

NAME
ENCEXT-2955

SYNOPSIS
Resistance is incorrectly specified as connected between two different nets, %s and %s, on line
%ld. Check the connectivity in the SPEF file and its mapping with the corresponding verilog netlist
of the design. Correct the SPEF file and read it again.

DESCRIPTION
There are no further details for this message
ENCEXT-2955(14.20)

October 2014 324 Product Version 14.20


EDI System Error Message Reference
ENCEXT-2989

253
ENCEXT-2989

NAME
ENCEXT-2989

SYNOPSIS
Multi-corner RC extraction has not been defined but the option to read corner-specific spef file is
specified.

DESCRIPTION
There are no further details for this message
ENCEXT-2989(14.20)

October 2014 325 Product Version 14.20


EDI System Error Message Reference
ENCEXT-3442

254
ENCEXT-3442

NAME
ENCEXT-3442

SYNOPSIS
The version of the capacitance table file being used is obsolete and is no longer recommended. For
improved accuracy, generate the capacitance table file using the generateCapTbl command.

DESCRIPTION
There are no further details for this message
ENCEXT-3442(14.20)

October 2014 326 Product Version 14.20


EDI System Error Message Reference
ENCEXT-3466

255
ENCEXT-3466

NAME
ENCEXT-3466

SYNOPSIS
The technology layer information is not available. This can happen if either no LEF file is imported
or an error occurs while reading the LEF file(s). The capacitance table file cannot be read without
the layer information.

DESCRIPTION
There are no further details for this message
ENCEXT-3466(14.20)

October 2014 327 Product Version 14.20


EDI System Error Message Reference
ENCEXT-3491

256
ENCEXT-3491

NAME
ENCEXT-3491

SYNOPSIS
Extraction failed because QRC technology file(s) not specified in the multi-corner setup. Specifying
the technology file for all active RC corners is mandatory for medium/high/signoff effortLevel
postRoute extraction. Specify the technology file for each RC corner using the -qx_tech_file option
of the create_rc_corner/update_rc_corner commands.

DESCRIPTION
There are no further details for this message
ENCEXT-3491(14.20)

October 2014 328 Product Version 14.20


EDI System Error Message Reference
ENCEXT-3493

257
ENCEXT-3493

NAME
ENCEXT-3493

SYNOPSIS
The design extraction status has been reset by the %s command. The par- asitic data can be
regenerated either by extracting the design using the extractRC command or by loading the SPEF
or RCDB file(s).

DESCRIPTION
This message is printed when design extraction status is reset because of changes in the extraction
mode settings. The parasitic data gener- ated in the previous extraction run is no longer usable.
However, the parasitic data will not be deleted if the previous extraction was done using
TQRC/IQRC extraction engine. In this case, the tool might use the previously extracted database to
perform incremental extraction for saving on the runtime or it might perform full-chip extraction.
ENCEXT-3493(14.20)

October 2014 329 Product Version 14.20


EDI System Error Message Reference
ENCEXT-5016

258
ENCEXT-5016

NAME
ENCEXT-5016

SYNOPSIS
Command %s failed with error message: %s. Follow the reason in the error message to resolve the
issue.

DESCRIPTION
There are no further details for this message
ENCEXT-5016(14.20)

October 2014 330 Product Version 14.20


EDI System Error Message Reference
ENCEXT-5072

259
ENCEXT-5072

NAME
ENCEXT-5072

SYNOPSIS
QRC extraction failed because the executable '%s' could not be found. Correct the environment
variable PATH setting to include the location of the QRC executable and rerun extraction.

DESCRIPTION
There are no further details for this message
ENCEXT-5072(14.20)

October 2014 331 Product Version 14.20


EDI System Error Message Reference
ENCEXT-6166

260
ENCEXT-6166

NAME
ENCEXT-6166

SYNOPSIS
Capacitance table file(s) without the EXTENDED section is being used for RC extraction. This is
not recommended because it results in a lower accuracy for clock nets in preRoute extraction and
for all nets in postRoute extraction using -effortLevel low. Possibly, an older ver- sion of the
capacitance table file is being used. Regenerate the capac- itance table file using generateCapTbl
command.

DESCRIPTION
There are no further details for this message
ENCEXT-6166(14.20)

October 2014 332 Product Version 14.20


EDI System Error Message Reference
ENCEXT-6198

261
ENCEXT-6198

NAME
ENCEXT-6198

SYNOPSIS
QRC technology file is not specified for all the RC corners. The pro- cess node set using the
setDesignMode command is less than or equal to %dnm, which means technology files are
required for both preRoute and postRoute (effort level medium/high/signoff) extraction engines. Use
the create_rc_corner/update_rc_corner command to specify the technology file for all corners and
rerun extraction.

DESCRIPTION
There are no further details for this message
ENCEXT-6198(14.20)

October 2014 333 Product Version 14.20


EDI System Error Message Reference
ENCEXT-6202

262
ENCEXT-6202

NAME
ENCEXT-6202

SYNOPSIS
In addition to the technology file, capacitance table file is specified for all RC corners. If the
technology file for all RC corners is already specified, the capacitance table file is not required for
pre- Route and postRoute extraction. In a new session, the capacitance table files can be removed
from the create_rc_corner command. In this case, the technology file will be used for preRoute
extraction and effort level medium/high/signoff of postRoute extraction.

DESCRIPTION
This warning message is displayed when process node set using 'setDe- signMode' is greater than
32nm and both technology file and captable file are specified for all RC corners. Using this setup,
captable file would be used for preRoute extraction. However, to enable preRoute extraction using
technology file, remove the captable file specifica- tion from create_rc_corner in a new session.
This would change default value of effort level for postRoute extraction to be 'medium' and effort
level 'low' would not be allowed.
ENCEXT-6202(14.20)

October 2014 334 Product Version 14.20


EDI System Error Message Reference
ENCFM-205

263
ENCFM-205

NAME
ENCFM-205

SYNOPSIS
Model %s does not exist.

DESCRIPTION
The specified model name does not exist in the current loaded design. Model name should be a
logical module name in the netlist or an instance group name in the design. Double check the
model name and re- specify it again.
Example: encounter> set_proto_mode -identify_exclude_module {tdsp_coore} **WARN: (ENCFM-
205): Module tdsp_coore does not exist. encounter> set_proto_mode -idenitfy_exclude_module
{tdsp_core}
ENCFM-205(14.20)

October 2014 335 Product Version 14.20


EDI System Error Message Reference
ENCFM-206

264
ENCFM-206

NAME
ENCFM-206

SYNOPSIS
Module %s and module %s are exclusive. Both cannot be specified as flexmodels and/or black
boxes.

DESCRIPTION
Currently EDI cannot support nested FlexModels. If a module is already being specified as
FlexModel, its parent or children module cannot be specified as FlexModel again. EDI issued the
ERROR message ENCFM-206 for the specified modules where only one of them can be specified
as FlexModel.
ENCFM-206(14.20)

October 2014 336 Product Version 14.20


EDI System Error Message Reference
ENCFM-226

265
ENCFM-226

NAME
ENCFM-226

SYNOPSIS
Module %s cannot be a partition,In EDI FlexModel technology you cannot have a flexmodel as a
partition.

DESCRIPTION
In EDI FlexModel technology you cannot have a flexmodel as a partition, the above message is
indicating that.
EDI will not have any errors during creation of %s as a flexmodel even though its declared as a
partition in the original netlist.
However, if you load the design back after creating the flex models this errors appears.
Now, below is solution that you can trick EDI to have a flex model as a partition:
SOLUTION after you hit this error:
#Save out a current floorplan for future use:
encounter> saveFPlan current_Floopplan.fp
#Delete all existing Partitions.
encounter> deletePartition -all
## Restore the protomodels again
encounter> source DBS/$DESIGN/$Name.protomodels
## Read the previously saved floorplan to restore partitions
encounter> loadFPlan current_Floopplan.fp

October 2014 337 Product Version 14.20


EDI System Error Message Reference
ENCFM-226

Now you should not see the above error.


ENCFM-226(14.20)

October 2014 338 Product Version 14.20


EDI System Error Message Reference
ENCFM-235

266
ENCFM-235

NAME
ENCFM-235

SYNOPSIS
This design has less than 1M instances. It is recommend to use Flex- Model for a design that has
more than 1M instances since netlist reduc- tion ratio may not be good for small FlexModels.

DESCRIPTION
It is recommended to use FlexModel for a large design that has more than 1 million instances to see
the real benefit of it. Since the design size is small, netlist reduction ratio may not be good for this
design.
ENCFM-235(14.20)

October 2014 339 Product Version 14.20


EDI System Error Message Reference
ENCFM-318

267
ENCFM-318

NAME
ENCFM-318

SYNOPSIS
Model '%s' does not exist.

DESCRIPTION
Specified model does not exist in the current loaded design. Model can be a module or an instance
group in the design. Double check the model name and re-run the command again.
ENCFM-318(14.20)

October 2014 340 Product Version 14.20


EDI System Error Message Reference
ENCFM-333

268
ENCFM-333

NAME
ENCFM-333

SYNOPSIS
Option %s for command %s is obsolete and will be removed in future releases. Use %s instead.

DESCRIPTION
Specified option of the given command is obsolete and will be removed in future release. Use the
new replaced command and/or update your run script to avoid warning message.
ENCFM-333(14.20)

October 2014 341 Product Version 14.20


EDI System Error Message Reference
ENCFM-334

269
ENCFM-334

NAME
ENCFM-334

SYNOPSIS
Option %s of the command %s is obsolete and will be removed in future releases.

DESCRIPTION
Specified option of the given command is obsolete and will no longer be available in future release.
Do not use this option and/or remove it from your run script to avoid this warning message.
ENCFM-334(14.20)

October 2014 342 Product Version 14.20


EDI System Error Message Reference
ENCFM-353

270
ENCFM-353

NAME
ENCFM-353

SYNOPSIS
Model %s's standard cells and macros have a combined area of %7.2f, which is greater than
specified value %7.2f for -create_total_area.

DESCRIPTION
Total area of standard cells and macros in the current netlist of the model is greater than specified
value of the -create_total_area option. EDI will use the user-specified value instead. If this is not the
intention, re-specify the total area for the model with cre- ate_proto_model -create_total_area.
Example: encounter> set_proto_model -model tdsp_core -create_total_area 10 **WARN: (ENCFM-
353): Model tdsp_core's standard cells and macros have a combined area of 7753.67, which is
greater than specified value 10.00 for -create_total_area. encounter> set_proto_model -model
tdsp_core -create_total_are 9000.0
ENCFM-353(14.20)

October 2014 343 Product Version 14.20


EDI System Error Message Reference
ENCFM-706

271
ENCFM-706

NAME
ENCFM-706

SYNOPSIS
Model %s has been generated.

DESCRIPTION
create_proto_model outputs this warning message if the specified model already had been
generated from previous run and was saved in the pro- totyping model directory specified by the -
create_dir option of the set_proto_mode command. EDI will reuse this model and will not re-gen-
erate it to save time. If model needs to be re-generated, either fol- lowing choice can be used:
1. Remove existing prototyping model directory and rerun cre- ate_proto_model again. 2. Overwrite
existing model by running create_proto_model with -over- write and -model options.
ENCFM-706(14.20)

October 2014 344 Product Version 14.20


EDI System Error Message Reference
ENCFM-709

272
ENCFM-709

NAME
ENCFM-709

SYNOPSIS
Model %s is incomplete. Re-generate the model.

DESCRIPTION
create_proto_model outputs this warning message if the specified model had been generated but
not incomplete from previous run. The command will re-generate this model again. This is for
information only.
ENCFM-709(14.20)

October 2014 345 Product Version 14.20


EDI System Error Message Reference
ENCFM-725

273
ENCFM-725

NAME
ENCFM-725

SYNOPSIS
Command %s is obsolete and will be removed in future releases. Please use %s instead.

DESCRIPTION
Specified command is obsolete in the current release and will not be available in future release.
Use the new replaced command and update your run script if needed to avoid warning message.
ENCFM-725(14.20)

October 2014 346 Product Version 14.20


EDI System Error Message Reference
ENCFM-730

274
ENCFM-730

NAME
ENCFM-730

SYNOPSIS
Model %s already has physical constraint so it cannot be converted to soft guide. Unplace the
model and rerun the command again.

DESCRIPTION
Specified FlexModel currently already has guide/fence/region boundary. In order to convert this
FlexModel to a soft guide which does not have any physical constraint, unplace the model by
manually moving it out- side the core area or using the unplaceGuide command. Once the model is
unplaced, rerun the set_proto_model_physical_constraint command again.
Example: set_proto_model_physical_constraint -model spi -type soft_guide **WARN: (ENCFM-
730): Model SPI_INST already has physical constraint so it cannot be converted to soft guide.
Unplace the model and rerun the command again. unplaceGuide SPI_INST
set_proto_model_physical_constraint -model spi -type soft_guide
ENCFM-730(14.20)

October 2014 347 Product Version 14.20


EDI System Error Message Reference
ENCFM-735

275
ENCFM-735

NAME
ENCFM-735

SYNOPSIS
Model %s does not have physical constraint so it cannot be converted to %s. Place the model and
rerun the command again.

DESCRIPTION
Specified model cannot be converted to guide/fence/region since the model does not have any
physical constraint. Move the model inside the core area and rerun the
set_proto_model_physical_constraint command again.
Example: setObjFPlanBoxList Module cORE/test_wrapper 12554.1900 15037.5500 13499.3250
15659.8000 set_proto_model_physical_constraint -model test_wrp -type fence
ENCFM-735(14.20)

October 2014 348 Product Version 14.20


EDI System Error Message Reference
ENCFM-759

276
ENCFM-759

NAME
ENCFM-759

SYNOPSIS
create_proto_model currently cannot handle a design that has both mod- ule and instance group
based FlexModels. Only module based models will be created with this run.

DESCRIPTION
EDI supports both module and instance group based FlexModels. However create_proto_model
currently does not create models for both modules and instance groups within one run.
create_proto_model will only create module based FlexModels for this run. To work around this
limitation, do two create_proto_model runs: 1. Specify instance group based models first and run
create_proto_model for instance groups. 2. Specify module based FlexModels and then run second
cre- ate_proto_model to generate models for modules.
ENCFM-759(14.20)

October 2014 349 Product Version 14.20


EDI System Error Message Reference
ENCFM-761

277
ENCFM-761

NAME
ENCFM-761

SYNOPSIS
Routing blockage characterization currently does not support a design that has both module and
instance group based FlexModels. FlexFiller routing blockages will only be created for module
based FlexModels.

DESCRIPTION
FlexFiller routing blockage characterization cannot handle a design that has both module and
instance group based FlexModels. To work around this limitation use following flow: 1. Specify
instance group based FlexModels only. 2. Run create_proto_model to create models for specified
instance groups. 3. Run routing blockage characterization for these instance group based
FlexModels. Only run this step if ART based model generation is used. 4. Specify module based
Flexmodels 5. Run create_proto_model to crete models for the modules 6. Run routing blockage
characterization for these module based Flex- Models. Only run this step if ART based model
generation is used.
ENCFM-761(14.20)

October 2014 350 Product Version 14.20


EDI System Error Message Reference
ENCFM-1206

278
ENCFM-1206

NAME
ENCFM-1206

SYNOPSIS
Design has net(s) without routing. Best_layer_no_detour will be used for calculating delay for un-
routed net(s).

DESCRIPTION
Current design contains nets that have partial or no routing. Prototyp- ing timing analysis
tool(timeDesign -proto) will calculate net delays for these net using Manhattan route with best
routing layers. To obtain net delays for all nets in the design based on routing information, re- route
the design and timing the design again.
ENCFM-1206(14.20)

October 2014 351 Product Version 14.20


EDI System Error Message Reference
ENCFP-40

279
ENCFP-40

NAME
ENCFP-40

SYNOPSIS
Cannot support data '%s' for '%s'. The data may be generated from new version of software which is
not compatible with current version. Check the data or change the software version.

DESCRIPTION
Cannot support data '%s' for '%s'. The data may be generated from new version of software which is
not compatible with current version. Check the data or change the software version.
ENCFP-40(14.20)

October 2014 352 Product Version 14.20


EDI System Error Message Reference
ENCFP-104

280
ENCFP-104

NAME
ENCFP-104

SYNOPSIS
Cannot open %s. You may not have permission read a file or the file simply does not exist. Check
the file name or contact administrator.

DESCRIPTION
The message occurs because you may not have permission read a file or the file simply does not
exist.
ENCFP-104(14.20)

October 2014 353 Product Version 14.20


EDI System Error Message Reference
ENCFP-172

281
ENCFP-172

NAME
ENCFP-172

SYNOPSIS
Row '%s' cannot accommodate all pad cells, needs %d sites > %d row sites. The floorplan needs to
be enlarged to place the pads legally in the IO rows.setIoFlowFlag 0 to disable the IO row flow and
avoid this message.

DESCRIPTION
If setIoFlowFlag is 1 when loading a design, a check is run to verify the IO rows can accommodate
the pads in the design. This warning indi- cates there are more pad cells than the IO rows can
accommodate so the floorplan needs to be enlarged. Run checkPlace to report overlapping pads
and look for pads placed outside the IO rows. If you want to avoid this message disable the IO row
flow by setting setIoFlowFlag 0 prior to loading the design.
ENCFP-172(14.20)

October 2014 354 Product Version 14.20


EDI System Error Message Reference
ENCFP-175

282
ENCFP-175

NAME
ENCFP-175

SYNOPSIS
No IO rows in design. Use command "getIoFlowFlag" to get the current IO flow(with or without row).
To avoid this error message, Use com- mand"setIoFlowFlag" to change the IO flow.

DESCRIPTION
EDI issues above error message on restoring the saved design. Though, I have no IO cells defined
in my Verilog netlist and I am not reading in any IO cells in my libraries.Why does EDI issue this
error message? init_design or defIn issues this error message if IO rows are not defined in the
design and below option is set to 1 in the globals file: set conf_use_io_row_flow 1 This variable is
set to 1 for IO Row Flow in EDI.
ENCFP-175(14.20)

October 2014 355 Product Version 14.20


EDI System Error Message Reference
ENCFP-247

283
ENCFP-247

NAME
ENCFP-247

SYNOPSIS
Cannot create non-integral multiple height row. There is no site to generate rows inside the power
domain. Check it has a SITE that is com- mon to all the cells in the power domain.

DESCRIPTION
This warning is issued by createRow command when user tries to create standard cell row which is
non-integral height of existing row's or default row.Highly recommend user to use a??
initCoreRowa?? for power domain/whole chip row creating, which fetch row setting from CPF. User
even dona??t need specify tech site, just one command without option.
ENCFP-247(14.20)

October 2014 356 Product Version 14.20


EDI System Error Message Reference
ENCFP-298

284
ENCFP-298

NAME
ENCFP-298

SYNOPSIS
Floorplan cannot be resized due to insufficient X spacing or illegal resize line. Edit floorplan to
make room in X direction or re-specify resize line and re-run.

DESCRIPTION
Floorplan cannot be resized due to insufficient X spacing or illegal resize line. Edit floorplan to
make room in X direction or re-specify resize line and re-run. This error is likely to occur, if user has
not specified the"setResizeFPlanMode & setResizeLine" options correctly.
Example: Floorplan cannot be resized due to insufficient X spacing or illegal resize line. Edit
floorplan to make room in X direction or re-specify resize line and re-run. This error is likely to occur,
if user has not specified the "setResizeFPlanMode & setResizeLine" options correctly. For example
to increase floorplan in vertical direction, resizeLine must have the same x coor- dinate. For eg
setResizeLine -direction V (-63 798) (-63 3878)
ENCFP-298(14.20)

October 2014 357 Product Version 14.20


EDI System Error Message Reference
ENCFP-320

285
ENCFP-320

NAME
ENCFP-320

SYNOPSIS
The resize value needs at least bigger than one micron.

DESCRIPTION
The message is reported by resizeFP when user tried to resize floorplan with less than one micron.
Example: resizeFP -xSize -0.9 -ySize -0.9
ENCFP-320(14.20)

October 2014 358 Product Version 14.20


EDI System Error Message Reference
ENCFP-325

286
ENCFP-325

NAME
ENCFP-325

SYNOPSIS
Floorplan of the design is resized. All current floorplan objects are automatically derived based on
specified new floorplan. This may change blocks, fixed standard cells, existing routes and
blockages.

DESCRIPTION
There are no further details for this message
ENCFP-325(14.20)

October 2014 359 Product Version 14.20


EDI System Error Message Reference
ENCFP-701

287
ENCFP-701

NAME
ENCFP-701

SYNOPSIS
Head box's lower left corner not on manufacture grid. The Head box must be on manufacture grid.
Check the definition of manufacture grid in the tech LEF or re-specify floorplan.

DESCRIPTION
Head box's lower left corner not on manufacture grid. The Head box must be on manufacture grid.
Check the definition of manufacture grid in the tech LEF or re-specify floorplan.
ENCFP-701(14.20)

October 2014 360 Product Version 14.20


EDI System Error Message Reference
ENCFP-704

288
ENCFP-704

NAME
ENCFP-704

SYNOPSIS
IO box's upper right corner not on manufacture grid. IO box must be on manufacture grid. Check the
definition of manufacture grid or re-spec- ify floorplan.

DESCRIPTION
IO box's upper right corner not on manufacture grid. IO box must be on manufacture grid. Check the
definition of manufacture grid or re-spec- ify floorplan.
ENCFP-704(14.20)

October 2014 361 Product Version 14.20


EDI System Error Message Reference
ENCFP-735

289
ENCFP-735

NAME
ENCFP-735

SYNOPSIS
The net '%s' is not found. When the net-list is updated during ccopt optimization, some of the nets
with netWeight attribute may no longer exist.

DESCRIPTION
There are no further details for this message
ENCFP-735(14.20)

October 2014 362 Product Version 14.20


EDI System Error Message Reference
ENCFP-788

290
ENCFP-788

NAME
ENCFP-788

SYNOPSIS
Cell '%s' for IO '%s' has OA/LEF CLASS other than PAD or sub class AreaI0.

DESCRIPTION
If a customer is using OA libraries instead of LEF, they are confused by the wording of the ERROR
message. The message should automatically change to say OA instead of LEF if Encounter was
started with OA libraries. If this is not possible at this time, then the ERROR message should say
OA/LEF libraries and not just LEF.
Example: Cell '%s' for IO '%s' has LEF CLASS other than PAD or sub class AreaI0.
or when starting EDI with OA libraries:
Cell '%s' for IO '%s' has OA CLASS other than PAD or sub class AreaI0.
or
Cell '%s' for IO '%s' has OA/LEF CLASS other than PAD or sub class AreaI0.
ENCFP-788(14.20)

October 2014 363 Product Version 14.20


EDI System Error Message Reference
ENCFP-793

291
ENCFP-793

NAME
ENCFP-793

SYNOPSIS
IO cell '%s' doesn't overlap any IO row to snap to. When use IO row flow, tool will automatically
check that if IO pads and IO rows are matching. Recreate IO rows and then try to snap IO cell on the
row.

DESCRIPTION
This warning message will be generated if you choose the IO row flow for pad placement
(setIoFlowFlag 1). When you use the IO row flow, tool will automatically check that if IO pads and IO
rows are matching. These messages just warn you that there is some mismatches in the IO row
flow.If you want to make the warning message disappear, please recreate IO rows and use defOut
then defIn to check. Else, you can ignore them or you can go with normal flow without IO
rows.setIoFlowFlag 0 #this will prompt to use the normal IO flow.Also, check for "setUserDataValue
conf_use_io_row_flow 1" in the .globals file. If the value of this variable is set to 1, then change this
to 0 to avoid these warnings.
ENCFP-793(14.20)

October 2014 364 Product Version 14.20


EDI System Error Message Reference
ENCFP-903

292
ENCFP-903

NAME
ENCFP-903

SYNOPSIS
Bump %s is assigned to net %s. Not deleted.

DESCRIPTION
The specified bump cannot be deleted because a top level net is assigned to it. The net assignment
must first be removed in order to delete the bump. The net assignment can be removed using either
the unassignBump or unassignBumpByName commands.
Example: The following commands will select a bump named "98", unassign its net and delete it.
select_bump -bumps {98} unassignBump -selected deleteBumps -selected
ENCFP-903(14.20)

October 2014 365 Product Version 14.20


EDI System Error Message Reference
ENCFP-2101

293
ENCFP-2101

NAME
ENCFP-2101

SYNOPSIS
Shifter for %s to %s is not defined in shifter table.

DESCRIPTION
Shifter for %s to %s is not defined.It may be due to no shifter defined in CPF file or no standard cell
found in the power domain.
Example: **WARN: (ENCFP-2101): Shifter for _internal_VDD_SOC_VSS_ to PD_PD_AVE_CCH
is not defined in shifter table.
_internal_VDD_SOC_VSS_ is an empty power domain created automatically by default. There is
no shifter defined for this internal power domain.
ENCFP-2101(14.20)

October 2014 366 Product Version 14.20


EDI System Error Message Reference
ENCFP-3101

294
ENCFP-3101

NAME
ENCFP-3101

SYNOPSIS
Skip don't touch net '%s'. When insert shifter for floating net & undriven net,the don't touch net
should be ignored.

DESCRIPTION
In the design there were many ports that had been assigned as set_dont_touch. These ports were
assigned to nets of the same name in the design. Based on the fact that these are dont_touch nets
in the design, EDI System will not insert logic onto those nets, even if they are suggested as
necessary by the CPF for the design. With the SDC and CPF in conflict, EDI System honors the
SDC that the net should not be changed and gave the above warning.
Example: The user should confirm that the ports/nets labeled as dont_touch should indeed need to
be dont_touch. And if it is necessary to create buffering, level shifting, or isolation on those
ports/nets, then the setting the port/net as dont_touch in SDC should be removed.
ENCFP-3101(14.20)

October 2014 367 Product Version 14.20


EDI System Error Message Reference
ENCFP-3302

295
ENCFP-3302

NAME
ENCFP-3302

SYNOPSIS
Rows for site '%s' cannot be created. The height of this site is non- integer multiple of default row
type. Non-integer multiple-height rows and single height rows cannot overlap. Edit the library set to
modify the default row type or remove the library.

DESCRIPTION
There are no further details for this message
ENCFP-3302(14.20)

October 2014 368 Product Version 14.20


EDI System Error Message Reference
ENCFP-3356

296
ENCFP-3356

NAME
ENCFP-3356

SYNOPSIS
IO Inst %s is outside of design boundary. All placed IO instances must be located inside the design
boundary. Move IO instance to a location within the design boundary.

DESCRIPTION
The above error is reported when restoring the design. Why is this error reported and how can I
avoid it? This error indicates there are instances placed outside the design boundary.All instances
with placement status of placed, fixed or cover need to be placed within the design boundary. To
resolve this: Place all cells currently placed outside the design boundary within the boundary. If
these cells are physical only cells (i.e. filler cells, decap cells,etc.) you can delete them from the
database.
Example: The following script can be used to delete and report all cells outside the design
boundary. ################################################### redirect { puts "" } >
CellOutsideBoundary.txt set x1 [lindex [lindex [dbGet top.fplan.box] 0] 0] set y1 [lindex [lindex
[dbGet top.fplan.box] 0] 1] set x2 [lindex [lindex [dbGet top.fplan.box] 0] 2] set y2 [lindex [lindex
[dbGet top.fplan.box] 0] 3] set num 0 deselectAll selectInst * foreach inst [dbGet selected] { set box
[dbGet $inst.box] set lx [lindex [lindex $box 0] 0] set ly [lindex [lindex $box 0] 1] set ux [lindex [lindex
$box 0] 2] set uy [lindex [lindex $box 0] 3] if { [expr $lx < $x1] || [expr $ly < $y1] || [expr $ux > $x2] ||
.br
[expr $uy > $y2] } { redirect { puts [dbGet $inst.name] } >> CellOutsideBoundary.txt deleteInst [dbGet
$inst.name] set num [expr $num + 1] } } echo "$num cells deleted and stored in
CellOutsideBoundary.txt" deselectAll
###################################################
Please note that after EDI 13.1 is is OK to have a COVER type macro which is partially outside the
prBoundary.

October 2014 369 Product Version 14.20


EDI System Error Message Reference
ENCFP-3356

ENCFP-3356(14.20)

October 2014 370 Product Version 14.20


EDI System Error Message Reference
ENCFP-3361

297
ENCFP-3361

NAME
ENCFP-3361

SYNOPSIS
Cannot add cell pad information and ignore the previous setting. The Cell %s has already set
padding %d. Use dbCellPadding command to query and check.

DESCRIPTION
This warning message is issued when the user tries to add cell padding again to a library cell which
already has a cell padding defined. user can run dbCellPadding command to report out previously
defined cell padding to confirm.
ENCFP-3361(14.20)

October 2014 371 Product Version 14.20


EDI System Error Message Reference
ENCFP-3761

298
ENCFP-3761

NAME
ENCFP-3761

SYNOPSIS
The resolveSdpOverlap command is obsolete.

DESCRIPTION
The command 'resolvSdpOverlap' is no longer supported. Please update your scripts to use
'set_sdp_mode' and 'placeSdpGroup' instead.
Example: The following command disables extension of the core boundary if the boundary cannot
accommodate all SDP placements:
set_sdp_mode -disable_extended_core true
The following commands place elements or instances of all defined SDP groups and generate a
detailed SDP placement report named dtmf_chip.sdp.rpt:
set_sdp_mode -place_report dtmf_chip.sdp.rpt
placeSdpGroup
ENCFP-3761(14.20)

October 2014 372 Product Version 14.20


EDI System Error Message Reference
ENCFP-3803

299
ENCFP-3803

NAME
ENCFP-3803

SYNOPSIS
Command "%s" is obsolete and has been replaced by "%s". The obsolete command still works in
this release, but to avoid this warning and to ensure compatibility with future releases, update your
script to use "%s".

DESCRIPTION
There is a change from EDI '%s' release onwards.
Example: **WARN: (ENCFP-3803): Command "createObstruct" is obsolete and has been replaced
by "createPlaceBlockage". Use below 2 commands for this purpose:
setPlaceMode a??selectiveBlockage true createPlaceBlockage a??type soft {-box {x1 y1 x2 y2 } | -
polygon {{x1 y1 } {x2 y2 }...} | -boxList {{llx1 lly1 urx1 ury1} {llx2 lly2 ...}}
However, this option allows not only all buffers and inverters but also isolation cells and level
shifters. If user wants to limit the size, type of cells or even instances that are allowed in the area,
one more command can be used:
unspecifySelectiveBlkgGate [-help] [-cell cellName ] [-inst instName ]
In addition, other types of cells or instances can be allowed through the following command:
specifySelectiveBlkgGate [-help] [-cell cellName ] [-inst instName ]
This last 2 commands work when setPlaceMode -selectiveBlockage is set to true.
ENCFP-3803(14.20)

October 2014 373 Product Version 14.20


EDI System Error Message Reference
ENCFP-3823

300
ENCFP-3823

NAME
ENCFP-3823

SYNOPSIS
Cannot find routing blockage with name: %s.

DESCRIPTION
You have this message because the routing blockage with the specified name does not exist.
Please check your naming in your command options.
ENCFP-3823(14.20)

October 2014 374 Product Version 14.20


EDI System Error Message Reference
ENCFP-3824

301
ENCFP-3824

NAME
ENCFP-3824

SYNOPSIS
Cannot find place blockage with name: %s.

DESCRIPTION
You have this message because the placement blockage with the specified name does not exist.
Please check your naming in your command options.
ENCFP-3824(14.20)

October 2014 375 Product Version 14.20


EDI System Error Message Reference
ENCFP-3825

302
ENCFP-3825

NAME
ENCFP-3825

SYNOPSIS
Cannot find place blockage with name: %s and type: %s. Check your nam- ing in the command
options and make sure a valid blockage type(hard, soft, or partial) is given.

DESCRIPTION
You have this message because the placement blockage with the specified name and type does
not exist. Please check your naming in your command options and make sure a valid blockage
type(hard, soft, or partial) is given.
ENCFP-3825(14.20)

October 2014 376 Product Version 14.20


EDI System Error Message Reference
ENCFP-3941

303
ENCFP-3941

NAME
ENCFP-3941

SYNOPSIS
PreRoute (%f %f) (%f %f) not on manufacturing grid. It must be on manu- facturing grid in order to be
manufactured. Move the object so it is on the manufacturing grid.

DESCRIPTION
This message reports that an object is off the manufacturing grid. The manufacturing grid is defined
in the technology LEF file. Look for MAN- UFACTURINGGRID in the LEF. An object must be on
this grid in order for it to be manufactured. Some objects like partition boundaries or GCell Grids are
not actually manufactured so this error may not be as serious as a via or other physical object being
off grid. Overall, you should investigate the object and move it so it is on the manufacturing grid.
ENCFP-3941(14.20)

October 2014 377 Product Version 14.20


EDI System Error Message Reference
ENCFP-3960

304
ENCFP-3960

NAME
ENCFP-3960

SYNOPSIS
The cell '%s' and cell '%s' are using same tech site %s, but they have different VDDonbotom
attributes. Need to align VDD/GND pins of single height row/double height row when creating rows.
Check and correct the LEF file or OA abstract view.

DESCRIPTION
If the customer is using OA libraries, this message should automati- cally switch to say OA abstract
view instead of LEF. If EDI does not have a mechanism to allow this type of dynamic response to
the ERROR message, then the message should be changed to say OA abstract view or LEF file
Example: The cell '%s' and cell '%s' are using same tech site %s, but they have different
VDDonbotom attributes. Need to align VDD/GND pins of single height row/double height row when
creating rows. Check and correct the LEF file.
or if starting EDI with OA libraries:
The cell '%s' and cell '%s' are using same tech site %s, but they have different VDDonbotom
attributes. Need to align VDD/GND pins of single height row/double height row when creating rows.
Check and correct the OA abstract view.
or
The cell '%s' and cell '%s' are using same tech site %s, but they have different VDDonbotom
attributes. Need to align VDD/GND pins of single height row/double height row when creating rows.
Check and correct the LEF file or OA abstract view.
ENCFP-3960(14.20)

October 2014 378 Product Version 14.20


EDI System Error Message Reference
ENCFP-3961

305
ENCFP-3961

NAME
ENCFP-3961

SYNOPSIS
The techSite '%s' has no related cells in LEF library. Cannot make cal- culations for this site type
unless cell models of this type exist in the LEF library. If the SITE is not used by the library you can
ignore this warning or remove the SITE definition from the LEF to avoid this message.

DESCRIPTION
This warning occurs when a site is defined without being referenced by any cell in LEF library. The
tool uses the SITE definition along with the library cells which use the SITE to validate the power
and ground pins are defined consistently so followpin routing will be successful.
Example: * The following example shows a predefined SITE 'core' which is refer- enced by a cell
'AND0':
SITE core SITE 0.180 BY 1.114 ; SYMMETRY Y ; CLASS CORE ; END core
MACRO AND0 CLASS CORE ; SIZE 0.360 BY 2.228 ; SITE core; --------- ... END AND0
ENCFP-3961(14.20)

October 2014 379 Product Version 14.20


EDI System Error Message Reference
ENCFP-3966

306
ENCFP-3966

NAME
ENCFP-3966

SYNOPSIS
%s is not on manufacturing grid (LL-%.4f %.4f). The object must be on manufacturing grid in order to
be manufactured. Move the object so it is on the manufacturing grid.

DESCRIPTION
This message reports that an object is off the manufacturing grid. The manufacturing grid is defined
under the MANUFACTURINGGRID section in the technology LEF file. An object must be on this
grid for it to be manufactured.Some objects like partition boundaries or GCell Grids are not actually
manufactured so this error may not be as serious as a via or other physical object being off grid.
Overall, you should investi- gate the object and move it so that it is on the manufacturing grid.
ENCFP-3966(14.20)

October 2014 380 Product Version 14.20


EDI System Error Message Reference
ENCFP-3967

307
ENCFP-3967

NAME
ENCFP-3967

SYNOPSIS
%s is not on manufacturing grid (UR-%.4f %.4f). The object must be on manufacturing grid in order
to be manufactured. Move the object so it is on the manufacturing grid.

DESCRIPTION
This message reports that an object is off the manufacturing grid. The manufacturing grid is defined
under the MANUFACTURINGGRID section in the technology LEF file. An object must be on this
grid for it to be manufactured.Some objects like partition boundaries or GCell Grids are not actually
manufactured so this error may not be as serious as a via or other physical object being off grid.
Overall, you should investi- gate the object and move it so that it is on the manufacturing grid.
ENCFP-3967(14.20)

October 2014 381 Product Version 14.20


EDI System Error Message Reference
ENCFP-3968

308
ENCFP-3968

NAME
ENCFP-3968

SYNOPSIS
Horizontal Track is off manufacturing grid. It must be on manufacturing grid in order to be
manufactured. Move the object so it is on the manu- facturing grid.

DESCRIPTION
This message reports that an object is off the manufacturing grid. The manufacturing grid is defined
under the MANUFACTURINGGRID section in the technology LEF file. An object must be on this
grid for it to be manufactured.Some objects like partition boundaries or GCell Grids are not actually
manufactured so this error may not be as serious as a via or other physical object being off grid.
Overall, you should investi- gate the object and move it so that it is on the manufacturing grid.
ENCFP-3968(14.20)

October 2014 382 Product Version 14.20


EDI System Error Message Reference
ENCFP-3969

309
ENCFP-3969

NAME
ENCFP-3969

SYNOPSIS
Vertical Track is off manufacturing grid. It must be on manufacturing grid in order to be
manufactured. Move the object so it is on the manu- facturing grid.

DESCRIPTION
This message reports that an object is off the manufacturing grid. The manufacturing grid is defined
under the MANUFACTURINGGRID section in the technology LEF file. An object must be on this
grid for it to be manufactured.Some objects like partition boundaries or GCell Grids are not actually
manufactured so this error may not be as serious as a via or other physical object being off grid.
Overall, you should investi- gate the object and move it so that it is on the manufacturing grid.
ENCFP-3969(14.20)

October 2014 383 Product Version 14.20


EDI System Error Message Reference
ENCFP-3970

310
ENCFP-3970

NAME
ENCFP-3970

SYNOPSIS
Placement grid is not on manufacturing grid.

DESCRIPTION
This message reports that an object is off the manufacturing grid. The manufacturing grid is defined
under the MANUFACTURINGGRID section in the technology LEF file. An object must be on this
grid for it to be manufactured.Some objects like partition boundaries or GCell Grids are not actually
manufactured so this error may not be as serious as a via or other physical object being off grid.
Overall, you should investi- gate the object and move it so that it is on the manufacturing grid.
ENCFP-3970(14.20)

October 2014 384 Product Version 14.20


EDI System Error Message Reference
ENCFP-3971

311
ENCFP-3971

NAME
ENCFP-3971

SYNOPSIS
The GCell Grid (%g %g) is not on manufacturing grid.

DESCRIPTION
{ This message reports that an object is off the manufacturing grid. The manufacturing grid is
defined in the technology LEF file. Look for MANUFACTURINGGRID in the LEF. An object must be
on this grid in order for it to be manufactured. Some objects like partition boundaries or GCell Grids
are not actually manufactured so this error may not be as serious as a via or other physical object
being off grid. Overall, you should investigate the object and move it so it is on the manufacturing
grid. }
ENCFP-3971(14.20)

October 2014 385 Product Version 14.20


EDI System Error Message Reference
ENCFP-3972

312
ENCFP-3972

NAME
ENCFP-3972

SYNOPSIS
Routing blockage((%f,%f),(%f,%f))is not on manufacturing grid. Check the routing blockage and
move it on the manufacturing grid.

DESCRIPTION
This message reports that an object is off the manufacturing grid. The manufacturing grid is defined
under the MANUFACTURINGGRID section in the technology LEF file. An object must be on this
grid for it to be manufactured.Some objects like partition boundaries or GCell Grids are not actually
manufactured so this error may not be as serious as a via or other physical object being off grid.
Overall, you should investi- gate the object and move it so it is on the manufacturing grid.
ENCFP-3972(14.20)

October 2014 386 Product Version 14.20


EDI System Error Message Reference
ENCFP-3973

313
ENCFP-3973

NAME
ENCFP-3973

SYNOPSIS
Routing blockage not on manufacturing grid.

DESCRIPTION
This message reports that an object is off the manufacturing grid. The manufacturing grid is defined
under the MANUFACTURINGGRID section in the technology LEF file. An object must be on this
grid for it to be manufactured.Some objects like partition boundaries or GCell Grids are not actually
manufactured so this error may not be as serious as a via or other physical object being off grid.
Overall, you should investi- gate the object and move it so it is on the manufacturing grid.
ENCFP-3973(14.20)

October 2014 387 Product Version 14.20


EDI System Error Message Reference
ENCFP-3974

314
ENCFP-3974

NAME
ENCFP-3974

SYNOPSIS
The object %p (name : %s) is off the manufacturing grid. The object must be on manufacturing grid
in order to be manufactured. Move the object so it is on the manufacturing grid.

DESCRIPTION
{ This message reports that an object is off the manufacturing grid. The manufacturing grid is
defined in the technology LEF file. Look for MANUFACTURINGGRID in the LEF. An object must be
on this grid in order for it to be manufactured. Some objects like partition boundaries or GCell Grids
are not actually manufactured so this error may not be as serious as a via or other physical object
being off grid. Overall, you should investigate the object and move it so it is on the manufacturing
grid. }
ENCFP-3974(14.20)

October 2014 388 Product Version 14.20


EDI System Error Message Reference
ENCFP-3975

315
ENCFP-3975

NAME
ENCFP-3975

SYNOPSIS
Instance %s not on manufacturing grid. It must be on manufacturing grid in order to be
manufactured. Move the object so it is on the manufac- turing grid.

DESCRIPTION
{ This message reports that an object is off the manufacturing grid. The manufacturing grid is
defined in the technology LEF file. Look for MANUFACTURINGGRID in the LEF. An object must be
on this grid in order for it to be manufactured. Some objects like partition boundaries or GCell Grids
are not actually manufactured so this error may not be as serious as a via or other physical object
being off grid. Overall, you should investigate the object and move it so it is on the manufacturing
grid. }
ENCFP-3975(14.20)

October 2014 389 Product Version 14.20


EDI System Error Message Reference
ENCFP-3976

316
ENCFP-3976

NAME
ENCFP-3976

SYNOPSIS
IO Pin %s, %s layer shape is not on the manufacturing grid. It must be on the manufacturing grid for
it to be manufactured. Move the object so it is on the manufacturing grid.

DESCRIPTION
This message reports that an object is off the manufacturing grid. The manufacturing grid is defined
under the MANUFACTURINGGRID section in the technology LEF file. An object must be on this
grid for it to be manufactured.Some objects like partition boundaries or GCell Grids are not actually
manufactured so this error may not be as serious as a via or other physical object being off grid.
Overall, you should investi- gate the object and move it so it is on the manufacturing grid.
ENCFP-3976(14.20)

October 2014 390 Product Version 14.20


EDI System Error Message Reference
ENCFP-3977

317
ENCFP-3977

NAME
ENCFP-3977

SYNOPSIS
Multi-Layer pin %s has via %s not on manufacturing grid. It must be on manufacturing grid in order
to be manufactured. Move the object so it is on the manufacturing grid.

DESCRIPTION
{ This message reports that an object is off the manufacturing grid. The manufacturing grid is
defined in the technology LEF file. Look for MANUFACTURINGGRID in the LEF. An object must be
on this grid in order for it to be manufactured. Some objects like partition boundaries or GCell Grids
are not actually manufactured so this error may not be as serious as a via or other physical object
being off grid. Overall, you should investigate the object and move it so it is on the manufacturing
grid. }
ENCFP-3977(14.20)

October 2014 391 Product Version 14.20


EDI System Error Message Reference
ENCFP-3978

318
ENCFP-3978

NAME
ENCFP-3978

SYNOPSIS
Ptn %s Pin %s is not on manufacturing grid. It must be on manufacturing grid in order to be
manufactured. Move the object so it is on the manu- facturing grid.

DESCRIPTION
{ This message reports that an object is off the manufacturing grid. The manufacturing grid is
defined in the technology LEF file. Look for MANUFACTURINGGRID in the LEF. An object must be
on this grid in order for it to be manufactured. Some objects like partition boundaries or GCell Grids
are not actually manufactured so this error may not be as serious as a via or other physical object
being off grid. Overall, you should investigate the object and move it so it is on the manufacturing
grid. }
ENCFP-3978(14.20)

October 2014 392 Product Version 14.20


EDI System Error Message Reference
ENCFP-3980

319
ENCFP-3980

NAME
ENCFP-3980

SYNOPSIS
Polygon pre-route is not on manufacturing grid. It must be on manufac- turing grid in order to be
manufactured. Move the object so it is on the manufacturing grid.

DESCRIPTION
{ This message reports that an object is off the manufacturing grid. The manufacturing grid is
defined in the technology LEF file. Look for MANUFACTURINGGRID in the LEF. An object must be
on this grid in order for it to be manufactured. Some objects like partition boundaries or GCell Grids
are not actually manufactured so this error may not be as serious as a via or other physical object
being off grid. Overall, you should investigate the object and move it so it is on the manufacturing
grid. }
ENCFP-3980(14.20)

October 2014 393 Product Version 14.20


EDI System Error Message Reference
ENCFP-3981

320
ENCFP-3981

NAME
ENCFP-3981

SYNOPSIS
PreRoute Via %s (%f,%f) is not on manufacturing grid. It must be on manufacturing grid in order to
be manufactured. Move the object so it is on the manufacturing grid.

DESCRIPTION
{ This message reports that an object is off the manufacturing grid. The manufacturing grid is
defined in the technology LEF file. Look for MANUFACTURINGGRID in the LEF. An object must be
on this grid in order for it to be manufactured. Some objects like partition boundaries or GCell Grids
are not actually manufactured so this error may not be as serious as a via or other physical object
being off grid. Overall, you should investigate the object and move it so it is on the manufacturing
grid. }
ENCFP-3981(14.20)

October 2014 394 Product Version 14.20


EDI System Error Message Reference
ENCHP-1215

321
ENCHP-1215

NAME
ENCHP-1215

SYNOPSIS
You have this message because orientation R90 is not allowed in the macro's definition in LEF file.
Please check the definition of SYMMETRY in the macro's session in the LEF file. It should contain
'R90' for enabling orientation R90 for the macro's placement.

DESCRIPTION
ENCHP-1215(14.20)

October 2014 395 Product Version 14.20


EDI System Error Message Reference
ENCHP-1216

322
ENCHP-1216

NAME
ENCHP-1216

SYNOPSIS
You have this message because orientation R180 is not allowed in the macro's definition in LEF
file. Please check the definition of SYMMETRY in the macro's session in the LEF file. It should
contain both 'X' and 'Y' for enabling orientation R180 for the macro's placement.

DESCRIPTION
ENCHP-1216(14.20)

October 2014 396 Product Version 14.20


EDI System Error Message Reference
ENCHP-1217

323
ENCHP-1217

NAME
ENCHP-1217

SYNOPSIS
You have this message because orientation R270 is not allowed in the macro's definition in LEF
file. Please check the definition of SYMMETRY in the macro's session in the LEF file. It should
contain 'X', 'Y', and 'R90' for enabling orientation R270 for the macro's placement.

DESCRIPTION
ENCHP-1217(14.20)

October 2014 397 Product Version 14.20


EDI System Error Message Reference
ENCHP-1218

324
ENCHP-1218

NAME
ENCHP-1218

SYNOPSIS
You have this message because orientation MX is not allowed in the macro's definition in LEF file.
Please check the definition of SYMMETRY in the macro's session in the LEF file. It should contain
both 'X' for enabling orientation MX for the macro's placement.

DESCRIPTION
ENCHP-1218(14.20)

October 2014 398 Product Version 14.20


EDI System Error Message Reference
ENCHP-1219

325
ENCHP-1219

NAME
ENCHP-1219

SYNOPSIS
You have this message because orientation MY is not allowed in the macro's definition in LEF file.
Please check the definition of SYMMETRY in the macro's session in the LEF file. It should contain
'Y' for enabling orientation MY for the macro's placement.

DESCRIPTION
ENCHP-1219(14.20)

October 2014 399 Product Version 14.20


EDI System Error Message Reference
ENCHP-1220

326
ENCHP-1220

NAME
ENCHP-1220

SYNOPSIS
You have this message because orientation MX90 is not allowed in the macro's definition in LEF
file. Please check the definition of SYMMETRY in the macro's session in the LEF file. It should
contain both 'X' and 'R90' for enabling orientation MX90 for the macro's placement.

DESCRIPTION
ENCHP-1220(14.20)

October 2014 400 Product Version 14.20


EDI System Error Message Reference
ENCHP-1221

327
ENCHP-1221

NAME
ENCHP-1221

SYNOPSIS
You have this message because orientation MY90 is not allowed in the macro's definition in LEF
file. Please check the definition of SYMMETRY in the macro's session in the LEF file. It should
contain both 'Y' and 'R90' for enabling orientation MY90 for the macro's placement.

DESCRIPTION
ENCHP-1221(14.20)

October 2014 401 Product Version 14.20


EDI System Error Message Reference
ENCHP-1223

328
ENCHP-1223

NAME
ENCHP-1223

SYNOPSIS
You have this message because orientation X is not allowed in the macro's definition in LEF file.
Please check the definition of SYMMETRY in the macro's session in the LEF file. It should contain
'X' for enabling orientation X for the macro's placement.

DESCRIPTION
ENCHP-1223(14.20)

October 2014 402 Product Version 14.20


EDI System Error Message Reference
ENCHP-1224

329
ENCHP-1224

NAME
ENCHP-1224

SYNOPSIS
You have this message because orientation Y is not allowed in the macro's definition in LEF file.
Please check the definition of SYMMETRY in the macro's session in the LEF file. It should contain
'Y' for enabling orientation Y for the macro's placement.

DESCRIPTION
ENCHP-1224(14.20)

October 2014 403 Product Version 14.20


EDI System Error Message Reference
ENCHP-2105

330
ENCHP-2105

NAME
ENCHP-2105

SYNOPSIS
The object %s is a rectilinear object. It fails to honor the user spec- ified fence utilization value of
%.2f. planDesign will automatically adjust it to %.2f to go ahead with the flow. Verify the utilization
value provided for the object %s to avoid the automatic utilization adjustments.

DESCRIPTION
There are no further details for this message
ENCHP-2105(14.20)

October 2014 404 Product Version 14.20


EDI System Error Message Reference
ENCILM-194

331
ENCILM-194

NAME
ENCILM-194

SYNOPSIS
Cannot flatten ILM modules due to preceding reported messages. All ILM modules will remain
unflattening.

DESCRIPTION
All ILM modules cannot be flattened due to the preceding reported mes- sages. Problem is detected
during reading an ILM netlist. The ILM netlist may have mismatched ports, or have duplicate module
defini- tion. Check the preceding error messages, fix the reported problem, and rerun the command
again.
Example: encounter> flattenIlm ... ... **ERROR: (ENCILM-193): There's problem reading ilm netlist
(tdsp_core.v). If the ILM netlist has mismatched port(s), you can either define an empty module
definition for 'tdsp_core' based on the one in ILM netlist upfront in the top level design netlist, or
modify the instantiation/module for the mismatched port(s) in the top netlist to be consistent. Then
re-run the flow for using ILM. If ILM netlist has duplicate module, try to re-run createInterfaceLogic to
recreate the ILM model or modify the duplicate modules to have unique name. **ERROR: (ENCILM-
194): Cannot flatten ILM modules due to preceding reported messages. All ILM modules will remain
unflattening
ENCILM-194(14.20)

October 2014 405 Product Version 14.20


EDI System Error Message Reference
ENCILM-203

332
ENCILM-203

NAME
ENCILM-203

SYNOPSIS
There is no ILM specified in the design. Nothing will be switched to ILM view.

DESCRIPTION
flattenIlm command will not do anything because the design does not have any specified ILM. An
ILM should be specified first before running flattenIlm command. Users can specify an ILM using the
specifyIlm com- mand and rerun flattenIlm again. Otherwise, flattenIlm will not run for a design that
does not have any specified ILM.
Example: restoreDesign . dtmf_recvr_core setIlmMode -keepFlatten true
specifyIlm -cell tdsp_core -dir ../../PTM/tdsp_core/ilm specifyIlm -cell ram_256x16_test -dir
../../PTM/ram_256x16_test/ilm update_constraint_mode -name setup_func -ilm_sdc_files
design/mmmc/dtmf_recvr_core_func.sdc
flattenIlm
ENCILM-203(14.20)

October 2014 406 Product Version 14.20


EDI System Error Message Reference
ENCILM-296

333
ENCILM-296

NAME
ENCILM-296

SYNOPSIS
No ILM cell %s exists, so there is nothing to be unspecified.

DESCRIPTION
There are no further details for this message
ENCILM-296(14.20)

October 2014 407 Product Version 14.20


EDI System Error Message Reference
ENCILM-298

334
ENCILM-298

NAME
ENCILM-298

SYNOPSIS
The cell of %s is not specified for ILM. Nothing to do with unspecify- Ilm.

DESCRIPTION
unspecifyIlm command outputs the warning message ECNILM-298 due to the specified cell is not
an ILM. This command should only be used for unspecifying an existing ILM cell.
ENCILM-298(14.20)

October 2014 408 Product Version 14.20


EDI System Error Message Reference
ENCILM-334

335
ENCILM-334

NAME
ENCILM-334

SYNOPSIS
Specified ILM %s has non-empty module definition; no ILM created for it.

DESCRIPTION
This error simply means that there is still a module for the ILM in the verilog netlist, which is not
empty. There may be gates, or at least assign statements in it.Currently, in the ILM flow, we don't
allow the ILM as non-empty module definitions during design import phase. Only empty module
definitions are supported. To fix this error, user can remove the module definitions in the top level
netlist to proceed. In other words modify the design data such that there is no non-empty ILM
module in the top netlist.
ENCILM-334(14.20)

October 2014 409 Product Version 14.20


EDI System Error Message Reference
ENCILM-349

336
ENCILM-349

NAME
ENCILM-349

SYNOPSIS
setIlmMode -async false conflicts with setAnalysisMode -asyncChecks async setting. setIlmMode -
async false will be used in the ILM flow.

DESCRIPTION
setIlmMode -async setting will override the setAnalysisMode -async- Checks setting if there is a
conflict. The -async option of setIlmMode is set to false while the design already has the
setAnalysisMode -asyncChecks async setting so the -async false setting will be used in the ILM
flow instead.Set the -async option of setIlmMode to true if you want setAnalysisMode-asyncChecks
async to be honored.
Example: When there is si_ilm_data, if you run flattenIlm, it will issue this ERROR message.
ENCILM-349(14.20)

October 2014 410 Product Version 14.20


EDI System Error Message Reference
ENCILM-350

337
ENCILM-350

NAME
ENCILM-350

SYNOPSIS
setIlmMode -async true conflicts with setAnalysisMode -asyncChecks noAsync setting. setIlmMode
-async true will be used in the ILM flow.

DESCRIPTION
setIlmMode -async setting will override the setAnalysis -asyncChecks setting if there is a
conflict.The -async option of setIlmMode is set to true while the design already has the
setAnalysisMode -asyncChecks noAsync setting so the -async true setting will be used in the ILM
flow instead.Set the -async option of setIlmMode to false if you want set- Analysis-asyncChecks
noAsync to be honored.
ENCILM-350(14.20)

October 2014 411 Product Version 14.20


EDI System Error Message Reference
ENCILM-365

338
ENCILM-365

NAME
ENCILM-365

SYNOPSIS
SI data will not be generated in this run since extraction needs to be done in postRoute mode. To
enable SI data creation, invoke SI aware optimization and then rerun createInterfaceLogic.

DESCRIPTION
createInterfaceLogic will not generate SI data since extraction needs to be done in postRoute mode
. You need to firstly enable SI aware delay calculation by "setDelayCalMode -SIAware true" ,
secondly make sure coupling is present and perform postroute timing analysis with "timeDesign -
postRoute" , then SI data could be generated by createIn- terfaceLogic.
ENCILM-365(14.20)

October 2014 412 Product Version 14.20


EDI System Error Message Reference
ENCILM-380

339
ENCILM-380

NAME
ENCILM-380

SYNOPSIS
Command '%s' is not supported in unflattened state. Use the 'flattenIlm' command to put the design
in flattened state before calling this command.

DESCRIPTION
This error message is seen while using ILMs in toplevel without flat- tening ILMs and any timing
and/or optimization commands are executed.
eg., **ERROR: (ENCILM-380): Command 'createClockTreeSpec' is not sup- ported in unflattened
state. Please use the 'flattenIlm' command to put the design in flattened state, before calling this
command.
Here, the the user tried to execute the command "createClockTreeSpec" before flattening the ILMs.
All super commands such as optDesign, timeDesign, clockDesign etc., automatically take care of
flattening and upon completion, leave the design in an unflattened state.
All other timing/optimization commands require you to run flattenIlm first so that the nets and
instances internal to ILM are exposed to the timing engine.
You can refer to the ILM usage details in Encounter System User Guide.
ENCILM-380(14.20)

October 2014 413 Product Version 14.20


EDI System Error Message Reference
ENCILM-402

340
ENCILM-402

NAME
ENCILM-402

SYNOPSIS
Interactive constraint is allowed only in flattened state of design. Specified interactive constraint '%s'
would be lost.

DESCRIPTION
Why does EDI System issue the above error message when I enter interac- tive constraints such as
set_timing_derate? How can one avoid this error? In general, for ILMs constraints are supported
through create_con- straint_mode / update_constraint_mode specified in your mmmc setup. You
may refer to our Foundation Flow scripts for detailed information. If specified interactively, they
could only be done so in flattened mode and would be discarded in unflattened mode. However, the
best way to specify your constraints is upfront through the above MMMC constructs and binded to -
ilm_sdc_file argument, and they would thus be read auto- matically in the flow. If there are
constraints that you need to spec- ify which could not be done in an SDC file (e.g. setTimingDerate,
group_path), then you need to provide them through setIlmNonSdc- ConstraintFile <fileName>.
You cannot specify the interactive constraints when ILMs are not flat- tened (unflattenIlm).In the
flatten mode (flattenIlm), you can specify both interactive and modeless constraints and these
constraints are used during various cycles of unflattenIlm to flattenIlm. During saveDesign, these
constraints are honored.
To specify additional constraints while running unflattenIlm, set the following:
set_global timing_defer_mmmc_object_updates true set_interactive_constraint_modes
[all_constraint_modes -active|or your_own_list_of_modes] foreach mode
{list_of_modes_to_be_updated} {update_constraint_modes -name $mode -ilm_sdc_files [concat
get_constraint_modes -name $mode -ilm_sdc_files] addi- tional.sdc] }
Include all modeless constraints such as timing_derates and group_path in a separate file, and run:

October 2014 414 Product Version 14.20


EDI System Error Message Reference
ENCILM-402

setIlmNonSdcConstraintFile <fileName>
ENCILM-402(14.20)

October 2014 415 Product Version 14.20


EDI System Error Message Reference
ENCILM-406

341
ENCILM-406

NAME
ENCILM-406

SYNOPSIS
The view of '%s' is defined in the block '%s'. Missing the view of '%s' on top-level. Check the view
setting in file 'viewDefinition.tcl' between top and ilm.

DESCRIPTION
There are no further details for this message
ENCILM-406(14.20)

October 2014 416 Product Version 14.20


EDI System Error Message Reference
ENCILM-537

342
ENCILM-537

NAME
ENCILM-537

SYNOPSIS
The command %s has become obsolete. It will be removed in the next release and replaced by
import_ilm_data.

DESCRIPTION
To support MMMC analysis, import_ilm_data command is added to replace the existing
createILMDataDir command. The createILMDataDir command will be removed in the next release
so the import_ilm_data command should be used instead.
Example: encounter> import_ilm_data -cell blk1 -dir A -model_type timing -ver- ilog V1.v -spef
1.spef -rc_corner corner1 -sdc sdcfiles -timing_view view1
ENCILM-537(14.20)

October 2014 417 Product Version 14.20


EDI System Error Message Reference
ENCILM-548

343
ENCILM-548

NAME
ENCILM-548

SYNOPSIS
'%s' is not supported in flattened ILM state. Internally EDI will unflatten the ILMs in the design and
will flatten them back before exiting the command. To improve run time, unflattenIlm command
should be called explicitly for a group of commands that do not work in flat- tened state.

DESCRIPTION
When -keepFlatten option of setIlmMode is set to true, for non-related timing commands EDI will
automatically unflatten the design, run the command, and flatten the design back. However it may
take more run time if EDI run unflattenIlm/flattenIlm for each non-related timing command. It is
recommended that the user explicitly runs unflattenIlm for a group of commands that do not work in
flattened mode to improve run time.
Example: # At top-level design, verify the design after the design has been optimized
... ... timeDesign -signoff -reportOnly -outDir RPT timeDesign -signoff -reportOnly -hold -outDir RPT
unflattenIlm summaryReport -outDir RPT verifyConnectivity -noAntenna verifyGeometry
verifyMetalDensity verifyProcessAntenna
ENCILM-548(14.20)

October 2014 418 Product Version 14.20


EDI System Error Message Reference
ENCIMEX-1

344
ENCIMEX-1

NAME
ENCIMEX-1

SYNOPSIS
Failed to find target of link '%s' in given library directory '%s'.

DESCRIPTION
"Check and correct the library path specified with \&'-lib_dir\&' option.
ENCIMEX-1(14.20)

October 2014 419 Product Version 14.20


EDI System Error Message Reference
ENCLF-27

345
ENCLF-27

NAME
ENCLF-27

SYNOPSIS
TAPERRULE '%s' referenced in pin '%s' in macro '%s' is not found in the database, and will be
ignored. The rule must be defined in the LEF NON- DEFAULTRULE section before it can be
referenced from a macro. Review the LEF files to see if the rule does not exist or is specified after
the one that defines the macro.

DESCRIPTION
TAPERRULE must be defined in the LEF NONDEFAULTRULE section before it can be referenced
from a macro. The rule is either missing from the LEF files that were read or it was defined in a LEF
that was specified after the one that contained the macro. Review the LEF files to see if the rule is
defined and, if so, reorder the LEF files so that the LEF file that contains the rule is read before the
one that contains the macro.
ENCLF-27(14.20)

October 2014 420 Product Version 14.20


EDI System Error Message Reference
ENCLF-40

346
ENCLF-40

NAME
ENCLF-40

SYNOPSIS
Macro '%s' references a site '%s' that has not been defined. The sites must be defined before they
can be referenced from a macro. Review the LEF files specified in the init_lef_file variable to see if
the site does not exist or is specified after the one that defines the macro.

DESCRIPTION
This error reports that a macro references a site that has not been defined. The sites must be
defined before they can be referenced from a macro. The site is either missing from the LEF files
that were read or it was defined in a LEF that was specified after the one that contained the macro.
Review the LEF files specified in the init_lef_file variable to see if the site is defined and, if so,
reorder the LEF files in the init_lef_file variable so that the LEF file that contains the site is read
before the one that contains the macro.
The following example shows the SITE CORE0 and CORE2 are defined but CORE1 is not defined
and referenced by the MACRO PLL which will lead to this error message:
SITE CORE0 SYMMETRY Y ; CLASS CORE ; SIZE 0.045 BY 1.200 ; END CORE0
SITE CORE2 SYMMETRY X Y ; CLASS CORE ; SIZE 0.045 BY 2.400 ; END CORE2
MACRO PLL SITE CORE1 ; SYMMETRY X Y ; ...
ENCLF-40(14.20)

October 2014 421 Product Version 14.20


EDI System Error Message Reference
ENCLF-53

347
ENCLF-53

NAME
ENCLF-53

SYNOPSIS
The layer '%s' referenced %s is not found in the database. A layer must be defined in the LEF
technology LAYER section before it can be refer- enced from a macro. Review the LEF files
specified in the init_lef_file variable to see if the layer does not exist or is specified after the one that
defines the macro.

DESCRIPTION
This error reports that a macro PIN references a layer that has not been defined. The layer must be
defined before they can be referenced from a macro. The layer is either missing from the LEF files
that were read or it was defined in a LEF that was specified after the one that contained the macro.
Review the LEF files specified in the init_lef_file variable to see if the layer is defined and, if so,
reorder the LEF files in the init_lef_file variable so that the LEF file that contains the layer is read
before the one that contains the macro.
ENCLF-53(14.20)

October 2014 422 Product Version 14.20


EDI System Error Message Reference
ENCLF-58

348
ENCLF-58

NAME
ENCLF-58

SYNOPSIS
MACRO '%s' has been found in the database. Its content except DENSITY and PIN ANTENNA*
data will be ignored. Review the LEF files specified in the init_lef_file variable and remove
redundant definitions.

DESCRIPTION
This message reports that a MACRO has already been defined in another LEF file, or earlier in this
LEF file. All MACROs must be defined only once in LEF files. The only exception is the DENSITY
and PIN ANTENNA* data which may be defined subsequently. If the data in both MACRO defi-
nitions is needed, manually merge the data into one definition.
Review the LEF files specified in the init_lef_file variable to see if a MACRO has been defined
more than once, or if a LEF file has been mis- takenly included more than once.
ENCLF-58(14.20)

October 2014 423 Product Version 14.20


EDI System Error Message Reference
ENCLF-82

349
ENCLF-82

NAME
ENCLF-82

SYNOPSIS
%s is not on Manufacturing Grid. This is likely to result in placement/routing that cannot be
manufac- tured. Check the manufacturing grid defined in the technology LEF file
(MANUFACTURINGGRID) and make sure the value is an integral multiple of the grid.

DESCRIPTION
There are no further details for this message
ENCLF-82(14.20)

October 2014 424 Product Version 14.20


EDI System Error Message Reference
ENCLF-119

350
ENCLF-119

NAME
ENCLF-119

SYNOPSIS
LAYER '%s' has been found in the database. Its content%s will be ignored. Review the LEF files
specified in the init_lef_file variable and remove redundant definitions.

DESCRIPTION
This message reports that a LAYER has already been defined in another LEF file, or earlier in this
LEF file. All LAYERs must be defined only once in the technology LEF file (the first LEF file defined
in the init_lef_file variable). The only exception is the ANTENNA* data which may be defined in
subsequent LEF files.
Review the LEF files specified in the init_lef_file variable to see if a LAYER has been defined more
than once, or if a LEF file has been mis- takenly included more than once.
If the data in both LAYER definitions is needed, manually merge the data into one definition.
ENCLF-119(14.20)

October 2014 425 Product Version 14.20


EDI System Error Message Reference
ENCLF-200

351
ENCLF-200

NAME
ENCLF-200

SYNOPSIS
Pin '%s' in macro '%s' has no ANTENNAGATEAREA value defined. The library data is incomplete
and some process antenna rules will not be checked correctly.

DESCRIPTION
This warning is triggered when the technology data has process antenna rules, and a signal pin is
missing process antenna data needed to check the rules. In this case, a signal pin that is either an
input or inout pin is missing the gate-area data.
In CMOS, every signal pin that is an input or inout pin connects to a transistor gate. If there is no
gate-area associated with this pin, then the library data is incomplete and process antenna rules
cannot be checked for this pin correctly. The router and verification commands will assume this pin
has no transistor gate attached, and therefore does not need to be protected from process antenna
violations, which may result in real violations that are not fixed by the router or seen by the
verification commands.
The best way to avoid this warning is to add the correct antenna gate- area data to the library data.
You can also use the suppressMessage command to turn off this warning message. This might be
appropriate if you know the only library cells without antenna data are already protected by internal
diode cells (e.g. some blocks might be built this way). In that case, you would lose the possible
benefit of those internal diode cells for any nets connected to the block input, which might cause the
router to add some unnecessary diode cells to protect other inputs attached to the same net. This is
somewhat dangerous, because you might suppress messages for pins that are not protected.
You can also turn off the warning if you believe antenna errors are rare for these pins in practice,
and you will depend on final sign-off physical verification tools to find any errors, and repair them
manu- ally.

October 2014 426 Product Version 14.20


EDI System Error Message Reference
ENCLF-200

The following example shows the definition of antenna gate area in a LEF PIN statement:
MACRO AND2 CLASS CORE; SIZE 0.90 BY 1.114 ; SYMETRY X Y ; SITE CORE ; PIN A1
DIRECTION INPUT ; ANTENNAGATEAREA 0.002320 ; #antenna gate area in um^2 PORT
LAYER M1 ; RECT 0.188 0.196 0.234 0.426 ; END END A1 ...
END AND2
ENCLF-200(14.20)

October 2014 427 Product Version 14.20


EDI System Error Message Reference
ENCLF-201

352
ENCLF-201

NAME
ENCLF-201

SYNOPSIS
Pin '%s' in macro '%s' has no ANTENNADIFFAREA value defined. The library data is incomplete
and some process antenna rules will not be checked correctly.

DESCRIPTION
This warning is triggered when the technology data has process antenna rules, and a signal pin is
missing process antenna data needed to check the rules. In this case, a signal pin that is either an
output or inout pin is missing the diffusion-area data.
In CMOS, every signal pin that is an output or inout pin connects to a transistor diffusion. If there is
no diffusion-area associated with this pin, then the library data is incomplete and process antenna
rules cannot be checked for this pin correctly. In that case, the router may try to protect other input
pins connected to the same net with extra routing and vias ('layer-hopping'), or add extra diode cells
to avoid 'false' antenna violations. The verification commands may flag false violations also.
The best way to avoid this warning is to add the correct antenna diffu- sion-area data to the library
data.
You can also use the suppressMessage command to turn off this warning message. This might be
appropriate if you know that antenna violations are rare in this technology, and you are willing to
tolerate some extra layer-hopping, or extra diode cells that are not really necessary.
The following example shows the definition of antenna gate area in a LEF PIN statement:
MACRO AND2 CLASS CORE; SIZE 0.90 BY 1.114 ; SYMETRY X Y ; SITE CORE ; PIN A1
DIRECTION INPUT ; ANTENNADIFFAREA 0.008320 ; #antenna diff area in um^2 PORT LAYER
M1 ; RECT 0.188 0.196 0.234 0.426 ; END END A1 ...
END AND2

October 2014 428 Product Version 14.20


EDI System Error Message Reference
ENCLF-201

ENCLF-201(14.20)

October 2014 429 Product Version 14.20


EDI System Error Message Reference
ENCLF-248

353
ENCLF-248

NAME
ENCLF-248

SYNOPSIS
Syntax error in property '%s' in %s near or at token '%s'. Refer to the LEF document for the latest
syntax and correct the error.

DESCRIPTION
There are no further details for this message
ENCLF-248(14.20)

October 2014 430 Product Version 14.20


EDI System Error Message Reference
ENCLF-274

354
ENCLF-274

NAME
ENCLF-274

SYNOPSIS
It is illegal to have more than two MAXFLOATINGAREA statements defined in the LEF file. The
extra statement will be ignored. Review the LEF files specified in the init_lef_file variable and
remove redundent definitinos. Check LEF document for more detailed informa- tion.

DESCRIPTION
There are no further details for this message
ENCLF-274(14.20)

October 2014 431 Product Version 14.20


EDI System Error Message Reference
ENCLIC-90

355
ENCLIC-90

NAME
ENCLIC-90

SYNOPSIS
This command %sdoes not have the necessary license to run

DESCRIPTION
There are no further details for this message
ENCLIC-90(14.20)

October 2014 432 Product Version 14.20


EDI System Error Message Reference
ENCLN-141

356
ENCLN-141

NAME
ENCLN-141

SYNOPSIS
size=%d lnFullMatrixFactor error

DESCRIPTION
There are no further details for this message
ENCLN-141(14.20)

October 2014 433 Product Version 14.20


EDI System Error Message Reference
ENCMSC-358

357
ENCMSC-358

NAME
ENCMSC-358

SYNOPSIS
The rule name 'DefaultRule' is obsolete.

DESCRIPTION
The rule name 'DefaultRule' is no longer supported. It is now automat- ically converted to the correct
name 'default'. Please change your script to use 'default' so it will continue to work correctly in future
releases.
Example:
The following command uses the default rule for routing net1:
setAttribute -net net1 -non_default_rule default
ENCMSC-358(14.20)

October 2014 434 Product Version 14.20


EDI System Error Message Reference
ENCMSMV-1006

358
ENCMSMV-1006

NAME
ENCMSMV-1006

SYNOPSIS
The number of areas exceed %d.

DESCRIPTION
he error message usually happens when setNanoRouteMode -routeHonorPow- erDomain is true
while the # of power domains and minGap areas exceed 64. Nanoroute will not honor some of the
domains. Since the above mode is a soft con- straint, you can ignore it if your design has more than
64 domains and mingaps.
Example: -------- **ERROR: (ENCMSMV-1006): Number of areas exceed 64
ENCMSMV-1006(14.20)

October 2014 435 Product Version 14.20


EDI System Error Message Reference
ENCMSMV-1007

359
ENCMSMV-1007

NAME
ENCMSMV-1007

SYNOPSIS
The default power domain is not defined. Check the CPF file and make sure default power domain
is created with -default option.

DESCRIPTION
Default power domain must be defined in the power intent file. When restoring an old DB saved
without CPF by previous Encounter release, please prepare a CPF file correctly describing your
power intent. Then use loadCPF and commitCPF to load it into Encounter.
ENCMSMV-1007(14.20)

October 2014 436 Product Version 14.20


EDI System Error Message Reference
ENCMSMV-1015

360
ENCMSMV-1015

NAME
ENCMSMV-1015

SYNOPSIS
The cell %s is defined in power intent file but is not defined in library or lef. Check the LEF and
timing library files to make sure the cell's library is loaded.

DESCRIPTION
Cells defined in power intent file by 'define_*_cell' command must have their LEF and timing library
loaded into Encounter.
Example: **WARN: (ENCMSMV-1015): The cell SRDFFX1 is defined in power intent file but is not
defined in library or lef.
ENCMSMV-1015(14.20)

October 2014 437 Product Version 14.20


EDI System Error Message Reference
ENCMSMV-1116

361
ENCMSMV-1116

NAME
ENCMSMV-1116

SYNOPSIS
Failed to create powerDomain '%s', because the powerDomain name con- flicts with the hinst
name.

DESCRIPTION
The name of the power domain cannot be the same as its member hinst.
For example, this is wrong: create_power_domain -name TDSP_CORE_INST -instances
TDSP_CORE_INST
and this is fine: create_power_domain -name TDSPCore -instances TDSP_CORE_INST
Example: -------- **ERROR: (ENCMSMV-1116): Failed to create powerDomain
'TDSP_CORE_INST', because the powerDomain name conflicts with the hinst name.
ENCMSMV-1116(14.20)

October 2014 438 Product Version 14.20


EDI System Error Message Reference
ENCMSMV-1211

362
ENCMSMV-1211

NAME
ENCMSMV-1211

SYNOPSIS
The pg term %s of inst %s is not connected to any net. Use reportPower- Domain-inst to check its
driver and receiver and pg connection informa- tion.

DESCRIPTION
The pg terms of instances are connected according to the power intent file: CPF or IEEE1801.
There are implicit connection and explicit con- nection. Most of the pg connections are done
implicitly. Such as con- necting the primary power/ground pin of a standard cell to its power
domain's primary power/ground net. Or connecting always-on buffer's secondary power pin to its
driving instance's power pin. Or connecting level shifter's input and output power pin according to
its driving and receiving power domain. As long as the power domain, primary pg net and iso/shifter
rules are properly defined in the power intent file,Encounter can derive the pg connection
automatically. Explicit pg connection are necessary when there is no way for the tool to derive
connection from the power intent file. For example, the pg pins of an analog block. In this case,user
needs to add explicit pg connection, such as 'create_global_connection'command.
Example: **WARN: (ENCMSMV-1211): The pg term BIASNW of inst UPF_ISO__0_out is not
connected to any net.
ENCMSMV-1211(14.20)

October 2014 439 Product Version 14.20


EDI System Error Message Reference
ENCMSMV-1212

363
ENCMSMV-1212

NAME
ENCMSMV-1212

SYNOPSIS
The gnd term %s of inst %s is connected to WRONG net - %s. According to the instance power
domain PG connection specification defined in CPF or IEEE1801,it should be connected to net -
%s.

DESCRIPTION
In CPF or IEEE1801 flow, most of the PG connection specification is automatically derived from
instance's relationship with power domain and rules.
ENCMSMV-1212(14.20)

October 2014 440 Product Version 14.20


EDI System Error Message Reference
ENCMSMV-1213

364
ENCMSMV-1213

NAME
ENCMSMV-1213

SYNOPSIS
The pwr term %s of inst %s is connected to WRONG net - %s. According to the instance power
domain PG connection specification defined in CPF or IEEE1801,it should be connected to net -
%s.

DESCRIPTION
In CPF or IEEE1801 flow, most of the PG connection specification is automatically derived from
instance's relationship with power domain and rules.
ENCMSMV-1213(14.20)

October 2014 441 Product Version 14.20


EDI System Error Message Reference
ENCMSMV-1215

365
ENCMSMV-1215

NAME
ENCMSMV-1215

SYNOPSIS
The tielo term %s of inst %s is connected to WRONG net - %s. Check the inst's PG connection and
the term's related PG pin using reportPowerDo- main -inst command.

DESCRIPTION
Basically an instance's tie-off term should be connected based on the term's related PG pin and the
net this PG pin is connected to. For example: For example: For regular cell, tie-off term should be
connected to its primary PG net. For example: For always-on cell, tie-off term should be connected
its secondary PG net. For example: For level shifer cell, tie-off term should be connected to its input
power net. For example: For hard macro, check the term's related pg attribute in *.lib to decide.
ENCMSMV-1215(14.20)

October 2014 442 Product Version 14.20


EDI System Error Message Reference
ENCMSMV-1226

366
ENCMSMV-1226

NAME
ENCMSMV-1226

SYNOPSIS
AOB inst (%s) is used in domain %s by shorting primary and secondary power term.

DESCRIPTION
The message exists after CMD verifyPowerDomain means that there is always on buffer used in the
design and its primary and secondary power is connected to the same supply power net. The issue
maybe caused by wrong behavior of EDI if the buffer is added by GPS, always on buffer is used as
regular buffer. Or the AON buffer is added for some design purpose by designer. User can replace
the AOB by a regular buffer to save area, and file a CCR against this issue if it is not added for any
particular purpose.
Example: <CMD> verifyPowerDomain -bind -isoNetPD ./RPT/prects.isonets.rpt -xNetPD
./RPT/prects.xnets.rpt -gconn Verifying P/G connection of power domain - 'PD_AON_LOGIC'.
Verifying P/G connection of power domain - 'PD_ON_OFF'. **WARN: (ENCMSMV-1226): AOB inst
(usb30_core/u_onoff_top/u_pib_top/prects_i_FE_OFC9162_partial_rst_no) is used in domain
PD_ON_OFF by shorting primary and secondary power term.
ENCMSMV-1226(14.20)

October 2014 443 Product Version 14.20


EDI System Error Message Reference
ENCMSMV-1243

367
ENCMSMV-1243

NAME
ENCMSMV-1243

SYNOPSIS
Default powerDomain doesn't need minGap, option -minGaps is ignored.

DESCRIPTION
Unlike non default power domains, the default power domain does not need a fence constraint
specified for it. The default power domain cov- ers all the remaining core area except th non-default
domain fences.
ENCMSMV-1243(14.20)

October 2014 444 Product Version 14.20


EDI System Error Message Reference
ENCMSMV-1245

368
ENCMSMV-1245

NAME
ENCMSMV-1245

SYNOPSIS
Default powerDomain doesn't need routeSearchExtension, option -rsExts is ignored in
modifyPowerDomainAttr command.

DESCRIPTION
The default power domain doesn't have a fence constraint specified for it, hence
routeSearchExtension is meaningless in this case.
ENCMSMV-1245(14.20)

October 2014 445 Product Version 14.20


EDI System Error Message Reference
ENCMSMV-1246

369
ENCMSMV-1246

NAME
ENCMSMV-1246

SYNOPSIS
Cannot modify default power domain rowFlip, option -rowFlip is ignored in modifyPowerDomainAttr
command.

DESCRIPTION
The default power domain doesn't have a fence constraint specified for it, please use 'floorPlan' or
'createRow' commands to change default domain row attributes.
ENCMSMV-1246(14.20)

October 2014 446 Product Version 14.20


EDI System Error Message Reference
ENCMSMV-1247

370
ENCMSMV-1247

NAME
ENCMSMV-1247

SYNOPSIS
Cannot modify default power domain rowSpaceType, -rowSpaceType option is ignored in
modifyPowerDomainAttr.

DESCRIPTION
Unlike non default power domains, the default power domain cannot have a fence constraint
specified for it; please use 'setFPlanRowSpacingAnd- Type' or'createRow' commands to change
default domain row spacing attributes.
ENCMSMV-1247(14.20)

October 2014 447 Product Version 14.20


EDI System Error Message Reference
ENCMSMV-1248

371
ENCMSMV-1248

NAME
ENCMSMV-1248

SYNOPSIS
Cannot modify default power domain rowSpacing, -rowSpacing option is ignored in
modifyPowerDomainAttr.

DESCRIPTION
Unlike non default power domains, the default power domain cannot have a fence constraint with
dedicated rows specified for it; please use 'setFPlanRowSpacingAndType' or 'createRow'
commands to change default domain row spacing attributes.
ENCMSMV-1248(14.20)

October 2014 448 Product Version 14.20


EDI System Error Message Reference
ENCMSMV-1249

372
ENCMSMV-1249

NAME
ENCMSMV-1249

SYNOPSIS
Cannot modify default power domain bbox, ignores -box option.

DESCRIPTION
This message happens when 'modifyPowerDomainAttr -box' is used on a default power domain.
Only non-default power domain is allowed to change the bounding box by -box option.
Example: -------- <CMD> modifyPowerDomainAttr PD1 -box 0 0 100 100 **WARN: (ENCMSMV-
1249): Cannot modify default power domain bbox, ignores -box option.
ENCMSMV-1249(14.20)

October 2014 449 Product Version 14.20


EDI System Error Message Reference
ENCMSMV-1314

373
ENCMSMV-1314

NAME
ENCMSMV-1314

SYNOPSIS
Cannot find cell '%s'. Check the LEF and timing library files to make sure the cell's library is loaded.

DESCRIPTION
Cells defined in the CPF by 'define_*_cell' command must have its LEF and timing library loaded
into Encounter.
Example: **WARN: (ENCMSMV-1314): Cannot find cell 'SRDFFX1'.
ENCMSMV-1314(14.20)

October 2014 450 Product Version 14.20


EDI System Error Message Reference
ENCMSMV-1315

374
ENCMSMV-1315

NAME
ENCMSMV-1315

SYNOPSIS
Cell %s is a standard cell. The cell for ioPin (-boundary_port) cannot be a standard cell.

DESCRIPTION
ENCMSMV-1315 is issued when users try to assign standard cell's IO pins' power domain through
CPF create_power_domain -boundary_ports. Please check if the cell is defined as standard cell
(CLASS CORE) in LEF.
Example: -------- Pad cell for A_1/IO1 is defined as following:
cell(PAD_CELL) { sec_external_voltage_type : voltage_07001800 ; dont_use : true; dont_touch :
true; sec_class : pad; sec_cell_type : ringcell;
"sec_class : pad;" is not standard pad cell declaration. Liberty Guide uses pad_cell : true;
ENCMSMV-1315(14.20)

October 2014 451 Product Version 14.20


EDI System Error Message Reference
ENCMSMV-1501

375
ENCMSMV-1501

NAME
ENCMSMV-1501

SYNOPSIS
No connection specification for shifter '%s' in power domain '%s'. Power/Ground terms are not
connected.

DESCRIPTION
During commitCPF the above message is reported because it can not con- nection the shifter PG
pins. One of the reason is that the shifter is float and commitCPF can not make its non-follow-pin
PG connection.
Example: To specify the power and ground connections for the power domain add something like
the following to the CPF:
create_power_nets -nets VDD -voltage 1.080 create_ground_nets -nets VSS -voltage 0.000
update_power_domain -name AO -primary_power_net VDD -primary_ground_net VSS
ENCMSMV-1501(14.20)

October 2014 452 Product Version 14.20


EDI System Error Message Reference
ENCMSMV-1531

376
ENCMSMV-1531

NAME
ENCMSMV-1531

SYNOPSIS
Failed to get power and ground connection specification for powerDomain '%s' instance '%s'. Use
reportPowerDomain -inst to check if its PG con- nection information is implicitly or explicitly defined
in CPF or IEEE1801.

DESCRIPTION
The message normally happens on the Nwell/Pwell pin connections. Those Nwell/Pwell
connections should be defined in CPF or IEEE1801.
ENCMSMV-1531(14.20)

October 2014 453 Product Version 14.20


EDI System Error Message Reference
ENCMSMV-1592

377
ENCMSMV-1592

NAME
ENCMSMV-1592

SYNOPSIS
No default tech site found for power domain '%s'. Power domain must be empty (no standard cells,
and I/O cells).

DESCRIPTION
This warning is issued when a virtual power domain is defined in the CPF i.e a power domain is
created without -instances and -default options (create_power_domain -name VDDAR_virtual)
Example: -------- Below example shows a Power domain created without -instances and -default
options in CPF. EDI issues this warning when CPF contains below definition.
create_power_domain -name VDDAR_virtual
**WARN: (ENCMSMV-1592): No default tech site found for power domain 'VDDAR_virtual'. Power
domain must be empty (no standard cells, and I/O cells).
Check if PowerDomain contains any stdcells : > llength [ dbget -e [dbget -e top.insts.pd.name
VDDAR_virtual -p2 ].cell.subClass core ]
If the powerdomain is not empty make sure visually that it's fence is placed inside the DIE area and
rows are cut inside its fence for the site to which its stdcells belong to .
ENCMSMV-1592(14.20)

October 2014 454 Product Version 14.20


EDI System Error Message Reference
ENCMSMV-3101

378
ENCMSMV-3101

NAME
ENCMSMV-3101

SYNOPSIS
replaceWithAlwaysOnBuffer: No always-on buffer specified in CPF. Make sure always-on buffers
are defined properly in the CPF and they are not set dont_use. Use 'reportDontUseCells' to check
and 'setDontUse' to change setting.

DESCRIPTION
In assign scenario, after commitCPF, regular feed though assign buffer in off domain will be
replaced by always on buffer(when turn on do assign in case).But if there is no always on buffer
defined by define_always_on_cell in CPF file, or the always on cell has dont_use attribute in lib or
in tcl file, above ERROR will exist.
Example: (1) In below CPF file, all define_always_on_cell attribute is commented and
"setMsvMode -useLibraryLowPowerCell false", WARN(ENCMSMV-3101) will exist after
commitCPF.
#define_always_on_cell -cells { GPGBUF_X8M_A9TH } -power_switchable VDD -power VDDG -
ground_switchable VSS -ground VSSG
(2) In below case tcl, if only one always on buffer exist in design, after "setDontUse
GPGBUF_X8M_A9TH true", WARN(ENCMSMV-3101) will exist after commitCPF.
setDontUse GPGBUF_X8M_A9TH true loadCPF top.cpf commitCPF -verbose
ENCMSMV-3101(14.20)

October 2014 455 Product Version 14.20


EDI System Error Message Reference
ENCMSMV-3303

379
ENCMSMV-3303

NAME
ENCMSMV-3303

SYNOPSIS
Power domain %s and %s are equivalent(have same PG nets); but, they are defined as
incompatible in CPF. Please make sure that the CPF does not have any isolation or level shifter
rules, or different shutoff condi- tions between the two domains.

DESCRIPTION
There are no further details for this message
ENCMSMV-3303(14.20)

October 2014 456 Product Version 14.20


EDI System Error Message Reference
ENCMSMV-3502

380
ENCMSMV-3502

NAME
ENCMSMV-3502

SYNOPSIS
Power net %s is not associated with any power domain. It is probably because this power net is not
specified as any domain's primary power net. You need to modify CPF to create a virtual power
domain using 'create_power_domain' without -instances and -default options then specify this
power net as its primary power net using 'update_power_domain'.

DESCRIPTION
This warning is issued when a power net is defined in CPF by "cre- ate_power_nets -nets < >" and
is not associated with any power domain by "update_power_domain -primary_power_net". When
you see this warning, Please check CPF file to see if all of power nets are associated with power
domain.
Example: User need to modify CPF file to create a virtual power domain using
"create_power_domain" without -instances and -default options then specify this power net as its
primary power net using "update_power_domain".
create_power_nets -nets vddar2 -voltage 1.2 update_power_domain -name VDDAR_virthttp -
primary_power_net vddar2
ENCMSMV-3502(14.20)

October 2014 457 Product Version 14.20


EDI System Error Message Reference
ENCMSMV-3700

381
ENCMSMV-3700

NAME
ENCMSMV-3700

SYNOPSIS
Isolation instance %s input is tied to power/ground.

DESCRIPTION
The message exist after CMD verifyPowerDomain and means that there input of some isolation
instance ties to power/ground. This kind of isolation always added by other tool not EDI for particu-
lar purpose. (Cross domain tie high/low) User should get to know the usage of this kind of isolation
and check if its power connection is right.
Example: <CMD> verifyPowerDomain -isoNetPD **WARN: (ENCMSMV-3700): Isolation instance
CPF_ISO_out1 input is tied to power/ground. **WARN: (ENCMSMV-3700): Isolation instance
CPF_ISO_out1 input is tied to power/ground.
In the design, CPF_ISO_out1's input is connected directly to power.
ENCMSMV-3700(14.20)

October 2014 458 Product Version 14.20


EDI System Error Message Reference
ENCMSMV-3701

382
ENCMSMV-3701

NAME
ENCMSMV-3701

SYNOPSIS
Isolation instance %s input and output are of the same power domain %s. Please make sure that
the isolation driver and receivers are in differ- ent domains. Use reportPowerDomain -inst to check
its driver and receiver connections.

DESCRIPTION
Effective power domain of input and output of the specified isolation instance is the same.
Most Likely Cause: (1) Redundant isolation is added (2) Isolation cell's power connection changed
(3) In IEEE1801 flow, it may caused by not detailed isolation strategy (no -source/-sink)
What to Do Next: (1) If the isolation is redundant, user can eco delete the isolation as workaround
and file CCR against the issue (2) Use globalNetConnect to change the connection as workaround
and file CCR against the issue (3) Complete isolation strategy in 1801 file
ENCMSMV-3701(14.20)

October 2014 459 Product Version 14.20


EDI System Error Message Reference
ENCMSMV-4001

383
ENCMSMV-4001

NAME
ENCMSMV-4001

SYNOPSIS
The '-fromPowerDomain' option is obsolete. Please use '-from' instead.

DESCRIPTION
The option '-fromPowerDomain' of reportIsolation and reportShifter is obsolete and has been
replaced by '-from'. The obsolete option still works in this release but to avoid this warning and to
ensure compati- bility with future releases, update your script to use '-from'
Example: <CMD> reportIsolation -fromPowerDomain PDtdsp **WARN: (ENCMSMV-4001): The '-
fromPowerDomain' option is obsolete. Please use '-from' instead. INFO: Isolation instances will be
reported to file dtmf_chip.isola- tion.rpt. INFO: 102 isolation instances reported.
ENCMSMV-4001(14.20)

October 2014 460 Product Version 14.20


EDI System Error Message Reference
ENCMSMV-4002

384
ENCMSMV-4002

NAME
ENCMSMV-4002

SYNOPSIS
The '-toPowerDomain' option is obsolete. Please use '-to' instead.

DESCRIPTION
The option '-toPowerDomain' of reportIsolation and reportShifter is obsolete and has been replaced
by '-to'. The obsolete option still works in this release but to avoid this warning and to ensure
compati- bility with future releases, update your script to use '-to'
Example: <CMD> reportIsolation -toPowerDomain PDtdsp **WARN: (ENCMSMV-4002): The '-
toPowerDomain' option is obsolete. Please use '-to' instead. INFO: Isolation instances will be
reported to file dtmf_chip.isola- tion.rpt. INFO: 32 isolation instances reported.
ENCMSMV-4002(14.20)

October 2014 461 Product Version 14.20


EDI System Error Message Reference
ENCMSMV-7101

385
ENCMSMV-7101

NAME
ENCMSMV-7101

SYNOPSIS
error parsing IEEE1801 power intent file.

DESCRIPTION
This error is a general message issued when parsing of IEEE1801 fails. This is typically due to
syntax errors in the file. When you receive this message, review the log file for warning and error
messages which precede the ENC1801-201 message. You should see messages which report the
specific lines in IEEE1801 which EDI System is complaining about. Correct the IEEE1801 and then
try loading it in again.
ENCMSMV-7101(14.20)

October 2014 462 Product Version 14.20


EDI System Error Message Reference
ENCMSMV-8003

386
ENCMSMV-8003

NAME
ENCMSMV-8003

SYNOPSIS
skip element %s because it is not at the domain interface

DESCRIPTION
For the IEEE1801 isolation and level shifter insertion, the element listed in the IEEE1801
set_isolation and set_level_shifter should be either domain boundary ports or IO port or domain
member. Please check the specified element in the IEEE1801 file.
ENCMSMV-8003(14.20)

October 2014 463 Product Version 14.20


EDI System Error Message Reference
ENCMSMV-8304

387
ENCMSMV-8304

NAME
ENCMSMV-8304

SYNOPSIS
rule %s: isolation inst %s has wrong isolation_signal; the ISO's enable pin is driven by a source
which doesn't match the isolation signal specified in the IEEE1801 rule.

DESCRIPTION
please check if the ISO's source matches to the one specified in -isolation_signal option in the
IEEE1801 set_isolation command. For example, set_isolation rule1 -domain PD1 -isolation_signal
I1/isoCtrl .br -iso- lation_sense low -location self
Example: -------- From EDI, you can trace the connection of the instance's enable pin by: encounter
1> reportPowerDomain -inst U_TOP_IO/U_PD_IO/UPF_ISO_1 Analyzing
U_TOP_IO/U_PD_IO/UPF_ISO_1 (Cell: ISO_CELL) power domain: PD_IO ------- from side --------
Pin sdn33 (related power pin vdd33) => Net n6 U_TOP_IO/U_PD_IO/pdft_51/z in power domain
PD_IO encounter 4> all_fanin -to n6 A/z A/i iso/z33 iso/i U_TOP_ANALOG/U_TA_ANA/U37/z
U_TOP_ANA- LOG/U_TA_ANA/U37/i ...
all_fanin returns pin chain, the last pin of which name contains U_TOP_ANALOG is
U_TOP_ANALOG/U_TA_ANA/U37/z.
encounter 7> get_nets -of U_TOP_ANALOG/U_TA_ANA/U37/z n3
# without -leaf, the following command returns the highest level HTerm on the net encounter 8>
get_pins -of n3 U_TOP_ANALOG/sul_iso_core2ana U_TOP_IO/iso
So the fanin is U_TOP_ANALOG/sul_iso_core2ana not U_TOP_ANALOG/sul_iso_core2io
specified in the UPF rule.
It means the connectivity in the Verilog doesn't match the rule in the UPF.

October 2014 464 Product Version 14.20


EDI System Error Message Reference
ENCMSMV-8304

ENCMSMV-8304(14.20)

October 2014 465 Product Version 14.20


EDI System Error Message Reference
ENCMSMV-8401

388
ENCMSMV-8401

NAME
ENCMSMV-8401

SYNOPSIS
Internal power domain %s is created for supply nets %s %s. Users need to define its PVT using
MMMC command 'update_delay_corner -power_domain'.

DESCRIPTION
In IEEE1801 flow, there are more supply sets than power domains. EDI will create an "internal
power domain" for the supply set which is not primary PG nets for a domain. - commit_power_intent
will issue a WARN when it creates "internal" domain. - Signal pin can be assigned to an internal
domain if the signal pin is related the supply set without any associated domain in IEEE1801
Users need to define the internal domain's PVT so that a signal pin can be assigned to the correct
voltage. Voltage assignment flow: Signal pin -> related pg pin -> supply_set -> domain -> domain's
PVT definition in each view
To define the PVT, use MMMC command:
update_delay_corner -name <delay_corner> -power_domain <internal_domain_name> -opcond
<op_cond_you_defined>
ENCMSMV-8401(14.20)

October 2014 466 Product Version 14.20


EDI System Error Message Reference
ENCOAX-124

389
ENCOAX-124

NAME
ENCOAX-124

SYNOPSIS
OpenAccess (OA) shared library installation is older than the one that was used to build this %s
version. For using the OA installation built and tested with this %s version, unset the shell variable
OA_HOME. For using '%s' or higher version of OA, reset OA_HOME to point to that installation.

DESCRIPTION
These errors are only reported when you point to an OpenAccess (OA) installation which is older
than the EDI version was built with. By default, EDI will use the OA version in the EDI installation
unless the environ- ment variable OA_HOME is set to point to another OA installation. To resolve
this issue, the recommendation is to use 'unsetenv OA_HOME' so EDI will use the local OA
installation. Alternatively, set OA_HOME to a compatible OA installation.
OA versions are signified using three sets of numbers separated by a period (i.e. XX.YY.ZZZ) or
sometimes the third number is separated with a dash (i.e. XX.YY-ZZZ). OA versions are compatible
if the first two sets of numbers are the same.
To determine what OA version an IC610 or EDI installation was compiled with by going to
http://downloads.cadence.com and clicking on the README or README.OA file. This is listed next
to each release.
Overall, Cadence recommends you run your application with the version of OA that it was built,
tested and shipped with. Otherwise, unforeseen com- patibility issues may arise.
For example, any 22.04.abc version is compatible with any other 22.04.xyz version.
ENCOAX-124(14.20)

October 2014 467 Product Version 14.20


EDI System Error Message Reference
ENCOAX-148

390
ENCOAX-148

NAME
ENCOAX-148

SYNOPSIS
Error in getting path for %s/%s/%s. OA exception : %s.

DESCRIPTION
Check if you have permission to access the OA database.
ENCOAX-148(14.20)

October 2014 468 Product Version 14.20


EDI System Error Message Reference
ENCOAX-156

391
ENCOAX-156

NAME
ENCOAX-156

SYNOPSIS
OA Exception : %s.

DESCRIPTION
There are no further details for this message
ENCOAX-156(14.20)

October 2014 469 Product Version 14.20


EDI System Error Message Reference
ENCOAX-170

392
ENCOAX-170

NAME
ENCOAX-170

SYNOPSIS
Top Module not found for design %s/%s/%s in OA. EMH data cannot be read from the OA design.
Rerun command after correcting the OA database.

DESCRIPTION
There are no further details for this message
ENCOAX-170(14.20)

October 2014 470 Product Version 14.20


EDI System Error Message Reference
ENCOAX-252

393
ENCOAX-252

NAME
ENCOAX-252

SYNOPSIS
Found busBit terminals of bus '%s' of cell '%s' without bus ordering information in OA library '%s'.
This may lead to problems during saveDesign. It is recommended to run verilogAnnotate on the
library for annotating bus ordering information to such terminals.

DESCRIPTION
The issue occurs because the bus ordering information for cell's bus- Bit terminals is not present.
The OA libraries were created using lef2oa. LEF file contains no infor- mation about bus order of
busBit terminals. When using EDI System with OpenAccess, the bus ordering information must be
annotated into the abstract using the verilogAnnotate utility. To run verilogAnnotate for the cell to
update the existing OpenAccess data with terminal ordering information from a Verilog file.
verilogAnnotate -refLibs libraryList -verilog fileList [Optional Arguments]
For example: restoreDesign -cellview {lib cell view}
# If you only have the top level design netlist but no this cell's netlist, you need to generate
leafOnly.v.
saveNetlist leafOnly.v -onlyLeafCell
# Make sure you have write permission to update the OA file
verilogAnnotate -refLibs library_1 -verilog leafOnly.v
exit
restart encounter
restoreDesign -cellview {lib cell view}

October 2014 471 Product Version 14.20


EDI System Error Message Reference
ENCOAX-252

attachTerm ...
saveDesign ...
The saved design will have the changes saved.
ENCOAX-252(14.20)

October 2014 472 Product Version 14.20


EDI System Error Message Reference
ENCOAX-332

394
ENCOAX-332

NAME
ENCOAX-332

SYNOPSIS
Failed to initialize OA database. OA related commands cannot be run in this session. Confirm that
the OA shared library is installed and OA_HOME is set correctly. Typically the OA_HOME
environment variable should not be set.

DESCRIPTION
The environment variable OA_HOME should not typically be set as the correct OA shared library
should be automatically loaded. If the OA_HOME environ- ment variable is set, confirm that it is set
intentionally and unset it if not. If the requirement is to load a newer shared library, then confirm that
the path name specified in OA_HOME is correct.
Example:
Manual override of default shared library location:
setenv OA_HOME /xx/xx/EDI/EDIxxx/xx.xx/lnx86/oa_vxx.4x
ENCOAX-332(14.20)

October 2014 473 Product Version 14.20


EDI System Error Message Reference
ENCOAX-392

395
ENCOAX-392

NAME
ENCOAX-392

SYNOPSIS
Destination library '%s' already exists and is in correct state as it would be if created with the given
command. If a new library is desired, then a different library name must be specified, otherwise use
the library that already exists.

DESCRIPTION
The library creation only works if a library with the specified name does not already exist in the
cds.lib. So, if createLib indicates that the library already exists, then rerun the command with a
different library name or remove the existing library from the cds.lib and disk before running the cre-
ateLib command.
ENCOAX-392(14.20)

October 2014 474 Product Version 14.20


EDI System Error Message Reference
ENCOAX-448

396
ENCOAX-448

NAME
ENCOAX-448

SYNOPSIS
Could not save OA database: OA Exception: %s.

DESCRIPTION
There are no further details for this message
ENCOAX-448(14.20)

October 2014 475 Product Version 14.20


EDI System Error Message Reference
ENCOAX-503

397
ENCOAX-503

NAME
ENCOAX-503

SYNOPSIS
Cannot open OA library '%s'. Make sure the library exists on disk and its entry is present correctly in
the library definition file.

DESCRIPTION
Why do I receive the error above when importing my design into EDI Sys- tem?
This error indicates EDI System is unable to find an OpenAccess (OA) library.
For example, if your .globals file defines:
set init_oa_ref_lib {oaLib1 oaLib2}
But your cds.lib (or lib.defs) file only defines oaLib1:
DEFINE oaLib1 ./oaLib1
Correct this by removing the reference for oaLib2 or specifying the path to oaLib2 in your cds.lib file:
DEFINE oaLib1 ./oaLib1 DEFINE oaLib2 ./oaLib2
Also, make sure you are not confusing the syntax for the cds.lib file and the lib.defs file. We
recommend using a cds.lib file. Its syntax is:
DEFINE libName libPath
If the error is preceded by the following warning then there is not a cds.lib file in the run directory to
define the location of the libraries:
**WARN: (ENCOAX-791): Error reading library definition file : No default library definition file found.
ENCOAX-503(14.20)

October 2014 476 Product Version 14.20


EDI System Error Message Reference
ENCOAX-571

398
ENCOAX-571

NAME
ENCOAX-571

SYNOPSIS
Property '%s' from OA is a hierarchical property which is not supported in %s. This property is not
translated and it will be lost in round trip unless updateMode is enabled.

DESCRIPTION
Virtuoso and OA allow creation of hierarchical properties. For instance, value of a hierarchical
property can itself be another hierarchical property. So there can be a multi-level tree of properties
on a object in OA. "Multi-level tree like properties" are not supported and hence not read.
Example:
If the following setting is used, these properties are preserved on the original object, so when the
design is saved in EDI, these properties are not lost :
setOaxMode -updateMode true
ENCOAX-571(14.20)

October 2014 477 Product Version 14.20


EDI System Error Message Reference
ENCOAX-591

399
ENCOAX-591

NAME
ENCOAX-591

SYNOPSIS
Cannot create row '%s' as site definition for site '%s' is not found in OA. This row will be ignored.

DESCRIPTION
There are no further details for this message
ENCOAX-591(14.20)

October 2014 478 Product Version 14.20


EDI System Error Message Reference
ENCOAX-618

400
ENCOAX-618

NAME
ENCOAX-618

SYNOPSIS
Custom via definition for via '%s' cannot be created in the technology database, because the
technology database associated with library '%s' is readonly.

DESCRIPTION
In the EDI System Digital Implementation Flow new vias may be created during power routing.
These are typically via arrays created from VIARULE GEN- ERATE statements in the technology
LEF. When saving the design to OA (saveDesign or oaOut) via definitions of these vias need to be
added to the technology library if they cannot be defined in a parameterized form using standard
vias from the OA techfile. To see if the via is defined in parameterized form, use defOut to create a
DEF file and look for vias in the VIAS section that are defined using the + RECT syntax instead of +
VIARULE syntax.
If the vias cannot be represented by standard vias then a new custom via must be created which
requires writing to a technology file. Therefore, you must have write permissions or allowed to do an
incremental update of the tech- nology library.
Since the technology database needs to be incrementally updated, the recommended method is to
create local technology database in design library either using 'createLib -referenceTech' or
'setOaxMode -libCreateMode reference' option. For example:
createLib myLib -referenceTech myRefLib
set init_oa_design_lib myLib
Or
setOaxMode -libCreateMode reference

October 2014 479 Product Version 14.20


EDI System Error Message Reference
ENCOAX-618

Then define the place and route technology rules in myRefLib by import- ing a technology LEF.
This creates a local technology database in the design library that can be modified incrementally.
Overall, when setting up your mixed-signal design environment we recommend using a Base PDK
which contains the base techfile and an incremental technology database (ITDB) which references
the Base PDK. The ITDB contains the information for physical design and can be updated, while
the Base PDK can remain read-only.
ENCOAX-618(14.20)

October 2014 480 Product Version 14.20


EDI System Error Message Reference
ENCOAX-683

401
ENCOAX-683

NAME
ENCOAX-683

SYNOPSIS
Unable to find module terminal '%s' for module '%s' in '%s/%s/%s'. Con- nectivity cannot be
maintained if the terminal does not exist for mod- ule.

DESCRIPTION
Possible reason can be - If the design is re-mastered from abstract views that were used by
Encounter and layout views that can be used by verifica- tion tools, the re-mastered view does not
have any terminals. The oaOut -noConnec- tivity option must be specified to be able to save the
database. As the database will not have connectivity information it will not be able to be reloaded if
no connectivity exists in the cellview that was written. A cellview cre- ated using the -noConnectivity
option is designed to be used with verification tools that do not need the OpenAccess database to
contain connectivity informa- tion.
ENCOAX-683(14.20)

October 2014 481 Product Version 14.20


EDI System Error Message Reference
ENCOAX-684

402
ENCOAX-684

NAME
ENCOAX-684

SYNOPSIS
Cannot find definition of BUS Term '%s' of Cell '%s' in reference library. This could lead to further
errors while saving the OA database. Possible reasons could be that verilogAnnotate was not run
on the OA reference library that has the definition for this cell. Either run verilogAnnotate on the
reference library to fix this problem or use command 'setOaxMode -allowBitConnection true' before
saving design to make bitwise connection of terminals.

DESCRIPTION
The message is issued when saving a design to OpenAccess (OA) by run- ning saveDesign. The
OA libraries were created using lef2oa. When using EDI System with OpenAccess, the bus pin
order must be anno- tated into the abstract using the verilogAnnotate utility. This step is done after
importing the LEF macro data and prior to running saveDesign in EDI System. Failure to complete
this step on macros with the bus pins causes EDI System to display this warning message.
Example:
To prevent this warning, a Verilog stub file is needed for each macro with bus pins. This might be
derived from the symbol view using the Create-Cel- lview-From Cellview menu command. Once
you have a stub file for each macro which has bus pins run verilogAnnotate at the Linux command
line using the following steps:
$CDSHOME/share/oa/bin/verilogAnnotate .br
-refLibs reference_library_name .br
-verilog stub.v
where, stub.v contains the module definitions with only port list, input/output direction and bus
definitions of the referenced blocks.

October 2014 482 Product Version 14.20


EDI System Error Message Reference
ENCOAX-684

Alternatively, you can set "setOaxMode -allowBitConnection true" prior to saveDesign in place of
running verilogAnnotate.
ENCOAX-684(14.20)

October 2014 483 Product Version 14.20


EDI System Error Message Reference
ENCOAX-718

403
ENCOAX-718

NAME
ENCOAX-718

SYNOPSIS
%s '%g' on %s %s is not aligned to manufacturing grid '%g'. It could result in placement/routing that
can not be manufactured.

DESCRIPTION
There are no further details for this message
ENCOAX-718(14.20)

October 2014 484 Product Version 14.20


EDI System Error Message Reference
ENCOAX-720

404
ENCOAX-720

NAME
ENCOAX-720

SYNOPSIS
The layer '%s' has inconsistent preferred routing direction. Check the layer information in OA
technology database.

DESCRIPTION
This message is issued when the Open Access (OA) technology is read in and consecutive layers
have the same preferred direction. In some technologies Metal1 and Metal2 will both be horizontal
to allow for power rails on both layers.
Example:
Example OA tech file where Metal1 and Metal2 are both horizontal:
routingDirections( ;( layer direction ) ;( ----- --------- ) ( Metal1 "horizontal" ) ( Metal2 "horizontal" ) (
Metal3 "vertical" ) ( Metal4 "horizontal" ) ( Metal5 "vertical" ) ( Metal6 "horizontal" ) ( Metal7 "vertical"
) ( Metal8 "horizontal" ) ( Metal9 "vertical" ) ) ;routingDirections
ENCOAX-720(14.20)

October 2014 485 Product Version 14.20


EDI System Error Message Reference
ENCOAX-745

405
ENCOAX-745

NAME
ENCOAX-745

SYNOPSIS
Cell '%s' from cellview '%s' has already been read in from '%s'. The cell can only be read once, so
the second version will be ignored. Review the value of the init_oa_ref_lib variable and update to
remove the library that has the copy of the cell that should not be read.

DESCRIPTION
Each library cell can only be read once. In the case where the same cell is found in multiple
libraries, the first one read being will be the one that is used to create the cell data within software.
The library cells are read based on the settings of the init_oa_ref_lib and init_abstract_view
variables. Any- time a library cell is found in multiple libraries, that is likely not intended and the
values variables should be updated to prevent the duplicate cell from being found.
ENCOAX-745(14.20)

October 2014 486 Product Version 14.20


EDI System Error Message Reference
ENCOAX-750

406
ENCOAX-750

NAME
ENCOAX-750

SYNOPSIS
Tech site '%s' used in macro '%s' is not found. Check if this macro in OA database has correct site
information, and if the site is defined in OA technology database.

DESCRIPTION
Standard cells (CLASS CORE) require sites to be placed properly. If no site definition is found then
the cell cannot be legally placed. Either the site definition is missing from the library that contains
the standard cells or another library that contains the site definition was not included in the
init_oa_ref_lib variable's reference library list.
If the site was missing from the library that contains the standard cells, modify the <tech file>.tf
(ASCII techfile) file used in Virtuoso and compile again your tech library in Virtuoso.
Please follow these steps :
- Open the <tech file>.tf file :
- Look for the following "SITEDEFS" and modify like this :
;********************************
; SITEDEFS
;********************************
siteDefs(
scalarSiteDefs(
;( siteDefName type width height symInX symInY symInR90)

October 2014 487 Product Version 14.20


EDI System Error Message Reference
ENCOAX-750

;( ----------- ---- ----- ------ ------ ------ -------)


( CoreSite core 0.512 5.12 t nil nil)
) ;scalarSiteDefs
) ;siteDefs
ENCOAX-750(14.20)

October 2014 488 Product Version 14.20


EDI System Error Message Reference
ENCOAX-755

407
ENCOAX-755

NAME
ENCOAX-755

SYNOPSIS
Macro '%s' has no SITE statement and it is a class CORE macro that requires a SITE statement.
The SITE %s is chosen because it is a core site with height %.4f that matches the macro SIZE
height.

DESCRIPTION
This message indicates this cell is defined with CLASS CORE which means it is a standard cell.
Standard cells require a SITE to be defined for them. In a floorplan, rows are created which are
made of SITES which define the placement grid for standard cells. The placer must know what
SITE each standard cell is allowed to use. If a SITE is not defined for a standard cell EDI System
will try to find a SITE with the same height and use that. To specify the correct SITE, open the
Abstract view in Virtuoso and display the cells proper- ties. At the bottom of the Attributes it will have
Site Definition where you can select the cor- rect site. If you created your Open Access (OA) library
from LEF you can add the SITE name to the MACRO definition and re-import the LEF into OA.
This message indicates this cell is defined with CLASS CORE which means it is a standard cell.
Standard cells require a SITE to be defined for them.
MACRO FILL8 CLASS CORE ; FOREIGN FILL8 0 0 ; ORIGIN 0.0000 0.0000 ; SIZE 1.2 BY 1.71 ;
SYMMETRY X Y ; SITE CoreSite ;
ENCOAX-755(14.20)

October 2014 489 Product Version 14.20


EDI System Error Message Reference
ENCOAX-757

408
ENCOAX-757

NAME
ENCOAX-757

SYNOPSIS
Can not find sitePattern '%s' for macro '%s' in %s database. Check if the site is defined correctly in
OA technology database.

DESCRIPTION
This message indicates that a cell (typically an abstract view) for example, 'DEL1' has SITE
coreABC specified but that the site is not defined in the technology file. An equivalent LEF
representation would be:
MACRO DEL1
---
---
SITE coreABC
---
---
Verify if the SITE name is correct, then verify its definition. This can be verified by dumping out the
technology file by Virtuoso. The SITE information is defined in the scalarSiteDefs section of the
technology file, or export a LEF file using oa2lef and search for the site with the given name. Below
are examples of site definitions in the open access technology file and in LEF file.
In OA Tech File
scalarSiteDefs(
( siteDefName type width height symInX symInY symInR90)

October 2014 490 Product Version 14.20


EDI System Error Message Reference
ENCOAX-757

;( ----------- ---- ----- ------ ------ ------ -------)


(coreABC core 0.5 5.0 nil nil nil)
) ;scalarSiteDefs
In LEF file
SITE coreABC
CLASS CORE
SIZE 0.5 BY 5.0
END coreABC
ENCOAX-757(14.20)

October 2014 491 Product Version 14.20


EDI System Error Message Reference
ENCOAX-773

409
ENCOAX-773

NAME
ENCOAX-773

SYNOPSIS
Pin '%s' in macro '%s' has no ANTENNAGATEAREA value defined. The library data is incomplete
and some process antenna rules will not be checked correctly.

DESCRIPTION
This warning is triggered when the technology data has process antenna rules, and a signal pin is
missing process antenna data needed to check the rules. In this case, a signal pin that is either an
input or inout pin is missing the gate-area data.
In CMOS, every signal pin that is an input or inout pin connects to a transistor gate. If there is no
gate-area associated with this pin, then the library data is incomplete and process antenna rules
cannot be checked for this pin correctly. The router and verification commands will assume this pin
has no transistor gate attached, and therefore does not need to be protected from process antenna
violations, which may result in real violations that are not fixed by the router or seen by the
verification commands.
The best way to avoid this warning is to add the correct antenna gate- area data to the library data.
You can also use the suppressMessage command to turn off this warning message. This might be
appropriate if you know the only library cells without antenna data are already protected by internal
diode cells (e.g. some blocks might be built this way). In that case, you would lose the possible
benefit of those internal diode cells for any nets connected to the block input, which might cause the
router to add some unnecessary diode cells to protect other inputs attached to the same net. This is
somewhat dangerous, because you might suppress messages for pins that are not protected.
You can also turn off the warning if you believe antenna errors are rare for these pins in practice,
and you will depend on final sign-off physical verification tools to find any errors, and repair them
manually.

October 2014 492 Product Version 14.20


EDI System Error Message Reference
ENCOAX-773

ENCOAX-773(14.20)

October 2014 493 Product Version 14.20


EDI System Error Message Reference
ENCOAX-774

410
ENCOAX-774

NAME
ENCOAX-774

SYNOPSIS
Pin '%s' in macro '%s' has no ANTENNADIFFAREA value defined. The library data is incomplete
and some process antenna rules will not be checked correctly.

DESCRIPTION
This warning is triggered when the technology data has process antenna rules, and a signal pin is
missing process antenna data needed to check the rules. In this case, a signal pin that is either an
output or inout pin is missing the diffusion-area data.
In CMOS, every signal pin that is an output or inout pin connects to a transistor diffusion. If there is
no diffusion-area associated with this pin, then the library data is incomplete and process antenna
rules cannot be checked for this pin correctly. In that case, the router may try to protect other input
pins connected to the same net with extra routing and vias ('layer-hopping'), or add extra diode cells
to avoid 'false' antenna violations. The verification commands may flag false violations also.
The best way to avoid this warning is to add the correct antenna diffu- sion-area data to the library
data.
You can also use the suppressMessage command to turn off this warning message. This might be
appropriate if you know that antenna violations are rare in this technology, and you are willing to
tolerate some extra layer-hopping, or extra diode cells that are not really necessary.
ENCOAX-774(14.20)

October 2014 494 Product Version 14.20


EDI System Error Message Reference
ENCOAX-793

411
ENCOAX-793

NAME
ENCOAX-793

SYNOPSIS
Problem in processing library definition file %s - %s.

DESCRIPTION
There are no further details for this message
ENCOAX-793(14.20)

October 2014 495 Product Version 14.20


EDI System Error Message Reference
ENCOAX-815

412
ENCOAX-815

NAME
ENCOAX-815

SYNOPSIS
PRBoundary is not present in OA design. Cannot restore the design from given OA database. Add
the PRBoundary information to the cellview and retry loading the database.

DESCRIPTION
While reading an OpenAccess database into Encounter from the layout in Virtuoso, user gets the
above error with the oaIn command and is unable to load the OpenAccess database into
Encounter.
The error is stating that the PRBoundary object is not present in the OpenAccess database.
In order to resolve this, user needs to add the PRBoundary object. Adding a shape on
prBoundary:boundary LPP (layer purpose pair) to the layout does not work, the boundary must be
the correct object type.
User needs to add it interactively through this Virtuoso menu command in the layout.
Example on Virtuoso menu command in the layout :
Create -> P&R Objects -> P&R Boundary.
This is equivalent to the DIEAREA in DEF.
ENCOAX-815(14.20)

October 2014 496 Product Version 14.20


EDI System Error Message Reference
ENCOAX-923

413
ENCOAX-923

NAME
ENCOAX-923

SYNOPSIS
Found unsupported shape with type '%s' in the OA database. The shape has bounding box co-
ordinates from (%.11g,%.11g) to (%.11g,%.11g) and is on layer '%s'. In non-update mode these
shapes will be lost in round trip. However, in update mode these shapes will be preserved in the
OA database.

DESCRIPTION
When text information is attached to pin shapes, a warning like the following will appear.
**WARN: (ENCOAX-923): Found shape with unsupported type 'AttrDisplay' in the OA database.
The shape has bounding box co-ordinates from (1063.911,1022.813) to (1064.826,1029.3) and it is
lying on layer 'PIN'. In non-update mode these shapes will be lost in round trip. However, in update
mode these shapes will be preserved in the OA database.
First, follow all the settings required for Virtuoso and EDI System interoperability as explained in the
Mixed-Signal Interoperability Guide. For this specific message make sure "setOaxMode -
updateMode true" is set.
The reason in this case for the "**WARN: (ENCOAX-923):" is that shapes with unsupported types
like "AttrDisplay", "Arc", "Dot" and "Line" are not read in OA DB. These object types should not be
used in OA DB intended for interoperability with EDI System.
ENCOAX-923(14.20)

October 2014 497 Product Version 14.20


EDI System Error Message Reference
ENCOAX-931

414
ENCOAX-931

NAME
ENCOAX-931

SYNOPSIS
Found Pcell instances in the design but Express Pcells are not enabled (Environment variable
CDS_ENABLE_EXP_PCELL is not defined). Layout data for the pcell instances cannot be read
from the pcell cache directory. Enable Express Pcells in the environment and retry.

DESCRIPTION
The error above is reported when importing an OA design from Virtuoso to Encounter that contains
Pcells. When I open the design in Encounter the Pcells are not the proper size. What setup is
required to transfer the Pcells properly?
Prior to saving the design to OA in Virtuoso, make sure the following is done:
1. At the Linux prompt set the following:
setenv CDS_ENABLE_EXP_PCELL true
setenv CDS_EXP_PCELL_DIR ./.expressPcells
2. Invoke Virtuoso and open the design. Note in Virtuoso versions before IC6.1.4.500.1 VLS-XL or
VLS-GXL is required to save the express PCell cache. In IC6.1.4.500.1 and later version all
Virtuoso products support this.
3. Select Tools->Express Pcell Manager. Fill out all the details and Enable Caching of the Pcells
check box with Auto Save option. Press Save Copy to save the Pcell Layout Cache. This step is
necessary to enable inter-opera- tion of the data between Encounter and Virtuoso.
4. Save the design to OA and exit Virtuoso.
5. Prior to invoking Encounter set the following:

October 2014 498 Product Version 14.20


EDI System Error Message Reference
ENCOAX-931

setenv CDS_ENABLE_EXP_PCELL true


setenv CDS_EXP_PCELL_DIR ./.expressPcells
ENCOAX-931(14.20)

October 2014 499 Product Version 14.20


EDI System Error Message Reference
ENCOAX-956

415
ENCOAX-956

NAME
ENCOAX-956

SYNOPSIS
While annotating the placement data from %s/%s/%s, the logical instance '%s' was not found in the
OA design. One reason for this could be that logical netlist data read during init_design is different
from the OA cellview's embedded module hierarchy (EMH) data created from a previ- ously read
OA design. The OA database used to read physical information should be consistent with the
verilog file or the OA database used for reading logical netlist.

DESCRIPTION
This message comes when any instance being read from OA during oaIn process is not present in
FEDB.
If you are planning to merge floorplan data from OA to encounter or incrementally loading the
floorplan data then oaIn has a new option "-filter {boundary pin_shapes}" that tells EDI to read only
the information specified, and ignore any mismatches. So for example, if you used -filter
pin_shapes, any existing pin shapes would be replaced by the ones read from the OA cellview.
Please refer the docu- mentation for more details.
If you are using a prior version than EDI 13.2 , the -filter option isn't available and a workaround is
required:
1)load the config file in EDI. 2)save OA design 3)Load the design in Virtuoso Layout-XL 4)File-
>Load Physical View (Be sure to load the pins in "update" mode) 5)Save the new/updated design
6)Restore this design in EDI and continue with the P&R flow.
ENCOAX-956(14.20)

October 2014 500 Product Version 14.20


EDI System Error Message Reference
ENCOAX-986

416
ENCOAX-986

NAME
ENCOAX-986

SYNOPSIS
%s can't support a MUSTJOIN terminal in bus bit format. The terminal '%s' is renamed to '%s'.

DESCRIPTION
Loading LEF with below MUSTJOIN definition in the LEF issues ENCOAX-986 warning in OA
based flow.The reason for this warning is that master pins can be a bus bit, but the slave cannot.
Only pins that exist in the logical netlist can be bus bits.
The P/G and MUSTJOIN terminals (physical side only) cannot be bus bits.
Example :
==========================
PIN pad_mj1
DIRECTION INPUT ;
USE SIGNAL ;
PORT
LAYER Metal2 ;
RECT 2.97 4.3 3.53 4.72 ;
END
END pad_mj1
PIN pad[1]

October 2014 501 Product Version 14.20


EDI System Error Message Reference
ENCOAX-986

DIRECTION INPUT ;
USE SIGNAL ;
MUSTJOIN pad_mj2 ;
PORT
LAYER Metal2 ;
RECT 2.92 1.3 3.48 0.72 ;
END
END pad[1]
========================
In the above example
PIN pad[1] # this is not allowed, needs to be a simple name like pad_1
MUSTJOIN pad_mj2 ;
ENCOAX-986(14.20)

October 2014 502 Product Version 14.20


EDI System Error Message Reference
ENCOAX-1017

417
ENCOAX-1017

NAME
ENCOAX-1017

SYNOPSIS
Creating a database with the '-noConnectivity' option will result in a database that cannot be read
back into EDI.

DESCRIPTION
The OA database created with '-noConnectivity' option will not contain any nets, terms and
instterms. The design created with this option will contain only physical shapes. This type of
database is for use with tools that do not require connectivity in the database.
Some 'layout' views may not contain terminals and if the design refer- ences any cells that will be
bound to those cellViews, then the '-noConnectivity' option should be specified.
By default, 'oaOut' will maintain connectivity, but that requires that terminals to exist on all cellViews
that referenced from the instances within the design.
ENCOAX-1017(14.20)

October 2014 503 Product Version 14.20


EDI System Error Message Reference
ENCOAX-1034

418
ENCOAX-1034

NAME
ENCOAX-1034

SYNOPSIS
Cannot open report file %s.oaread.rpt for writing. Check if you have correct permissions to write into
this file.

DESCRIPTION
You have this message because you may not have write permission on cur- rent working directory
or have run out of disk space.
Example:
Check if you have permission on current working directory:
encounter 20> file writable [pwd] 1
Check if you have necessary disk space:
encounter 16> df -kH [pwd] Filesystem Size Used Avail Use% Mounted on
/disk/subDirectory/case/case11189 2.2T 2.0T 271G 88% /disk/subDirectory/case/case11189
ENCOAX-1034(14.20)

October 2014 504 Product Version 14.20


EDI System Error Message Reference
ENCOAX-1049

419
ENCOAX-1049

NAME
ENCOAX-1049

SYNOPSIS
The logical instance %s of master module %s can not be created in OA as its master module design
- cell: %s, view: %s could not be found in any of the reference libraries provided. The solution would
be to add the OA library containing this cell in the reference library list in the global file.

DESCRIPTION
There are no further details for this message
ENCOAX-1049(14.20)

October 2014 505 Product Version 14.20


EDI System Error Message Reference
ENCOAX-1087

420
ENCOAX-1087

NAME
ENCOAX-1087

SYNOPSIS
%s is not able to create instance terminal '%s' for instance '%s' which is connected to net '%s' in OA.
OA Exception : %s.

DESCRIPTION
There are no further details for this message
ENCOAX-1087(14.20)

October 2014 506 Product Version 14.20


EDI System Error Message Reference
ENCOAX-1092

421
ENCOAX-1092

NAME
ENCOAX-1092

SYNOPSIS
Logical instance '%s' of cell '%s' cannot be created in the OpenAccess database. It could be a
problem in EMH (Embedded Module Hierarchy) data creation. Refer to any earlier error messages.
Confirm that cell '%s' exists in the reference libraries.

DESCRIPTION
When attempting to save an OA database from EDI, the tool gives above error messages and does
not save the design. What is the reason for tool giving above error messages?
This problem occurs if the reference library attached to the design library is not present in the cds.lib
or path to access this library is incorrect.
Please check your cds.lib file and correct the path. If the paths are correct, then you need to check
that you have defined following variables before saving your design:
set init_oa_design_lib TEST
set init_oa_design_cell row_dec
set init_oa_ref_lib gsclib090
set init_oa_design_view layout
set init_abstract_view abstract
set init_layout_view layout
ENCOAX-1092(14.20)

October 2014 507 Product Version 14.20


EDI System Error Message Reference
ENCOAX-1210

422
ENCOAX-1210

NAME
ENCOAX-1210

SYNOPSIS
Via '%s' specified in the USEVIA section for NonDefault rule '%s' is not defined in the library '%s'.
This via will be ignored.

DESCRIPTION
There are no further details for this message
ENCOAX-1210(14.20)

October 2014 508 Product Version 14.20


EDI System Error Message Reference
ENCOAX-1253

423
ENCOAX-1253

NAME
ENCOAX-1253

SYNOPSIS
MINSTEP statement on layer '%s' will be ignored because %s.

DESCRIPTION
There are no further details for this message
ENCOAX-1253(14.20)

October 2014 509 Product Version 14.20


EDI System Error Message Reference
ENCOAX-1254

424
ENCOAX-1254

NAME
ENCOAX-1254

SYNOPSIS
Name of local net '%s' in module '%s' collides with the name of a global net '%s'. The power
connections of instance terminals of this module require access to the global net. This access will
be blocked by the local net having same name. A new global net '%s' has been created as an
equivalent net to the '%s' global net and is used for these power connections. To avoid such
collisions, do not use local net names that collide with global net names. Note: Some applications
or users may not be aware that the two nets are effectively the same net.

DESCRIPTION
There are no further details for this message
ENCOAX-1254(14.20)

October 2014 510 Product Version 14.20


EDI System Error Message Reference
ENCOAX-1255

425
ENCOAX-1255

NAME
ENCOAX-1255

SYNOPSIS
No VIARULE GENERATE statement between routing layers '%s' and '%s' while reading
technology information from the library.

DESCRIPTION
VIARULE GENERATE (standardViaDef from technology database) defines for- mulas for
generating via arrays. User can use the VIARULE GENERATE statement to cover special wiring
that is not explicitly defined in the VIARULE statement. Rather than specifying a list of vias for the
situation, you can create a formula to specify how to generate the cut layer geometries.
Example:
The following example describes a formula for generating via cuts:
VIARULE via12 GENERATE
LAYER m1 ;
ENCLOSURE 0.05 0.01 ; #2 sides must be >=0.05, 2 other sides must be >=0.01
WIDTH 0.2 TO 100.0 ; #(optional)for m1, between 0.2 to 100 microns wide
LAYER m2 ;
ENCLOSURE 0.05 0.01 ; #2 sides must be >=0.05, 2 other sides must be >=0.01
WIDTH 0.2 TO 100.0 ; #(optional)for m2, between 0.2 to 100 microns wide
LAYER cut12
RECT -0.07 -0.07 0.07 0.07 ; #cut is .14 by .14

October 2014 511 Product Version 14.20


EDI System Error Message Reference
ENCOAX-1255

SPACING 0.30 BY 0.30 ; #center-to-center spacing


END via12
ENCOAX-1255(14.20)

October 2014 512 Product Version 14.20


EDI System Error Message Reference
ENCOAX-1257

426
ENCOAX-1257

NAME
ENCOAX-1257

SYNOPSIS
Allowing saveDesign to create OpenAccess based reference library data on-the-fly is not
recommended.

DESCRIPTION
Allowing saveDesign to create OpenAccess based reference library data (FEOAreflib) on-the-fly
can result in multiple copies of reference library information as well as increase the run time of the
saveDesign process. Externally translating the LEF information into OpenAccess reference libraries
is recommended using lef2oa/verilogAnnotate or LEF-to-OA migration scripts.
ENCOAX-1257(14.20)

October 2014 513 Product Version 14.20


EDI System Error Message Reference
ENCOAX-1258

427
ENCOAX-1258

NAME
ENCOAX-1258

SYNOPSIS
OpenAccess based databases cannot be created from LEF based libraries. To save a design
database in OpenAccess cellview, first covert the LEF libraries to OpenAccess form and then
reload the design using those OpenAccess libraries.

DESCRIPTION
There are no further details for this message
ENCOAX-1258(14.20)

October 2014 514 Product Version 14.20


EDI System Error Message Reference
ENCOAX-1265

428
ENCOAX-1265

NAME
ENCOAX-1265

SYNOPSIS
Some of the library data inside '%s %s %s' has data on invalid layers %s that will be ignored.

DESCRIPTION
This is most likely because the OA technology has multiple metal-stack options and the
init_oa_extractor_setup that defines the valid layers for this design was not set correctly. See the
init_design Tcl documen- tation for more details. In some methodologies this is allowed, in which
case you should add (suppressMessage 1265) to turn off these warnings.
ENCOAX-1265(14.20)

October 2014 515 Product Version 14.20


EDI System Error Message Reference
ENCOAX-1266

429
ENCOAX-1266

NAME
ENCOAX-1266

SYNOPSIS
Some of the library data inside cell "%s" has data on invalid layer "%s" that will be ignored.

DESCRIPTION
This is most likely because the OA technology has multiple metal-stack options and the
init_oa_extractor_setup that defines the valid-layers for this design was not set correctly. See the
init_design Tcl docu- mentation for more details. In some methodologies this is allowed, in which
case you should add (suppressMessage 1266) to turn off these warnings.
ENCOAX-1266(14.20)

October 2014 516 Product Version 14.20


EDI System Error Message Reference
ENCOAX-1274

430
ENCOAX-1274

NAME
ENCOAX-1274

SYNOPSIS
Terminal %s is not on the interface of cell %s, the OpenAccess cellview terminal's isInterface bit is
set to false. Logical connectivity of this terminal to corresponding net may get lost upon reading the
data. In order to fix the problem, recreate the reference libraries with interface bit set for this terminal.

DESCRIPTION
The issue occurs because the mentioned bit is not on the interface of the cell. The isInterface bit is
meant to align to the Verilog modules. So, if a OA abstract terminal has isInterface = nil, that means
that it should not appear in the Verilog netlist when running saveNetlist unless the -
includePowerGround or -phys options are used. Terminals with isInterface = nil should only be
connected to a USE POWER/GROUND net, not a regular signal net. Assuming that the Verilog
module (and .lib) has port, then running verilogAnnotate will set the bit on the abstract's terminal to
true instead of nil.
To run verilogAnnotate :
verilogAnnotate -refLibs libraryList -verilog fileList [Optional Arguments]
Example:
restoreDesign -cellview {lib cell view}
# If you only have the top level design netlist but no this cell's netlist, you need to generate
leafOnly.v.
saveNetlist leafOnly.v -onlyLeafCell
# Make sure you have write permission to update the OA file
verilogAnnotate -refLibs library_1 -verilog leafOnly.v

October 2014 517 Product Version 14.20


EDI System Error Message Reference
ENCOAX-1274

exit
restart encounter
restoreDesign -cellview {lib cell view}
attachTerm ...
saveDesign ...
The saved design will have the changes saved.
ENCOAX-1274(14.20)

October 2014 518 Product Version 14.20


EDI System Error Message Reference
ENCOAX-1307

431
ENCOAX-1307

NAME
ENCOAX-1307

SYNOPSIS
Via definition for std via '%s' is not found in the OA technology database associated with the design.
Possible reason could be that this design has been read or created using a different OA technology
database or LEF and these two database are out of sync. This serious issue should be fixed before
proceeding further. To save the design, %s is creating custom via definition in current OA
technology database for the std via '%s'.

DESCRIPTION
If a VIA in the EDI System database is parameterized and refers to a LEF rule VIARULE ..
GENERATE, then oaOut will expect a matching (by name) oaStd- ViaDef to use for the
parameterized via. If the oaStdViaDef is not found, then a fixed via (oaCustomViaDef) will be
created instead which means that the parameterized form is lost in the roundtrip (oaOut -> OA ->
oaIn).
If the creation succeeds and the user doesn't need the Vias remain parameterized, the message
can be ignored.
If the user would want to have the Vias remain parameterized, they should compare their
"technology" data to see why the oaStdViaDef name does not match the LEF VIARULE
GENERATE name.
ENCOAX-1307(14.20)

October 2014 519 Product Version 14.20


EDI System Error Message Reference
ENCOAX-1313

432
ENCOAX-1313

NAME
ENCOAX-1313

SYNOPSIS
The library '%s' has its data compression level set to '%d', while the compression level for this
encounter session is %d. Any new data being saved into this library will be saved with its
compression settings, irrespective of the global value.

DESCRIPTION
When user brings a design from virtuoso and save it in encounter, tool gives following warning
message:
In OpenAccess data model 4, you have an option to compress the library and save it. By default,
virtuoso saves design in uncompressed mode, whereas, encounter saves in compressed mode. So
when you open a design initialized in vir- tuoso and save it in encounter, you will get this warning
message.To change com- pression level in encounter use command
setOaxMode -compressLevel 0
Virtuoso IC 616 and Encounter 11.1 and above can read and save com- pressed design library.
However, IC 615 cannot handle the compressed design. Therefore you need to run oazip -
decompress before restoring compressed design in virtuoso 615.
ENCOAX-1313(14.20)

October 2014 520 Product Version 14.20


EDI System Error Message Reference
ENCOAX-1625

433
ENCOAX-1625

NAME
ENCOAX-1625

SYNOPSIS
The tech graph in '%s' is different from the library '%s' which was used to create the %s technology
information. This can result in prob- lems during the save process if the vias, layer rules, etc are
differ- ent in the two cases. The simplest solution to the problem is to have the '%s' reference the
refLib of current design for tech information. To create a new library, use createLib with the -
referenceTech option.

DESCRIPTION
The technology information in the design library that the cellview is being saved into must be the
same as the original library technology that was used to create the in session technology
information.
You need to set this library as design library so that tool understands the hierarchy library/cell/view.
To set the library as design library use following command
enc> set init_oa_design_lib "test1"
After this setting, tool will not give the warning message (ENCOAX-1625) during saveDesign.
Example:
**WARN: (ENCOAX-1625): The tech graph in 'designLibOA' is different from the library 'ref_lib'
which was used to create the Encounter technology information. This can result in problems during
the save process if the vias, layer rules, etc are different in the two cases. The simplest solution to
the problem is to have the 'designLibOA' reference the refLib of current design for tech information.
To create a new library, use createLib with the -referenceTech option.
In the globals file used to invoke the design, you have following entries

October 2014 521 Product Version 14.20


EDI System Error Message Reference
ENCOAX-1625

+++++++++++++++++++++++++++++
set oareflib "tech1"
set DATA_DIR "./inputs"
set init_verilog "${DATA_DIR}/conf.v"
set init_top_cell sar10b_v2
set init_oa_ref_lib $oareflib
++++++++++++++++++++++++++++++
After that you create a library called "test1", in which you will be saving the design after placement
etc.
You need to set this library as design library so that tool understands the hierarchy library/cell/view.
To set the library as design library use following command
enc> set init_oa_design_lib "test1"
After this setting, tool will not give the warning message (ENCOAX-1625) during saveDesign.
ENCOAX-1625(14.20)

October 2014 522 Product Version 14.20


EDI System Error Message Reference
ENCOAX-5110

434
ENCOAX-5110

NAME
ENCOAX-5110

SYNOPSIS
Default Rule '%s' is not found in the technology library (%s). The default rule was specified using
the global variable init_oa_default_rule, check the spelling to confirm that constraint group exists in
the technology library. Either correct the init_oa_default_rule specification or update the technology
library to contain a valid LEFDefaultRouteSpec.

DESCRIPTION
This error is caused by a missing LEFDefaultRouteSpec or not properly referencing the library
which defines the LEFDefaultRouteSpec. To debug this do the following.
First, use Virtuoso to output an ascii version of the technology file from the ITDB (techLoadDump -d
gsclib090 output.ascii). It should contain a LEFDefaultRouteSpec constraint group as shown below.
This defines the rules for physical design:
;( group [override] )
;( ----- ---------- )
( "LEFDefaultRouteSpec" nil
spacings(
( minWidth "Cont" 0.12 )
) ;spacings
routingGrids(
( horizontalPitch "Metal1" 0.29 )
( verticalPitch "Metal1" 0.29 )

October 2014 523 Product Version 14.20


EDI System Error Message Reference
ENCOAX-5110

( horizontalOffset "Metal1" 0.145 )


( verticalOffset "Metal1" 0.145 )
) ;routingGrids
...
Also, verify it references the base technology file. For example, you can see it references gpdk090
below:
controls(
techVersion("1.0")
refTechLibs(
; techLibName
; -----------
"gpdk090"
) ;refTechLibs
) ;controls
Lastly, when reading the design into EDI System make sure you specify the OA reference library:
In *.global file:
set init_oa_ref_lib {gsclib090}
ENCOAX-5110(14.20)

October 2014 524 Product Version 14.20


EDI System Error Message Reference
ENCOAX-6022

435
ENCOAX-6022

NAME
ENCOAX-6022

SYNOPSIS
The value 'VCE' for the -viewSubType argument is obsolete.

DESCRIPTION
The value 'VCE' for the -viewSubType argument is no longer supported. To avoid this warning and
to ensure compatibility with future releases, update your script to use '-viewSubType VXL'.
ENCOAX-6022(14.20)

October 2014 525 Product Version 14.20


EDI System Error Message Reference
ENCOGDS-238

436
ENCOGDS-238

NAME
ENCOGDS-238

SYNOPSIS
A structure with name %s already exists in the same merge file '%s'.

DESCRIPTION
There are no further details for this message
ENCOGDS-238(14.20)

October 2014 526 Product Version 14.20


EDI System Error Message Reference
ENCOGDS-399

437
ENCOGDS-399

NAME
ENCOGDS-399

SYNOPSIS
Only %d layer(s) (%s) of a %s object is(are) specified in map file '%s'. A %s object needs 3 layers
(%s %s %s) being specified at the same time in the map file. Add %s contruct(s) to the map file for
the fol- lowing layer(s): %s or remove %s construct(s) from the map file for the following layer(s): %s.

DESCRIPTION
While streaming out GDS, EDI streamOut reports the message. streamOut uses the object type VIA
to map the bottom, top and via layer of via cells to GDS. So the map file must define VIA object
types for all metal and via layers.
ENCOGDS-399(14.20)

October 2014 527 Product Version 14.20


EDI System Error Message Reference
ENCOGDS-1712

438
ENCOGDS-1712

NAME
ENCOGDS-1712

SYNOPSIS
OASIS file %s: Unsupported record (type %d) was found. This record and the rest of data in the
merge file will be ignored.

DESCRIPTION
There are no further details for this message
ENCOGDS-1712(14.20)

October 2014 528 Product Version 14.20


EDI System Error Message Reference
ENCOPT-136

439
ENCOPT-136

NAME
ENCOPT-136

SYNOPSIS
Cell %s does not exist in current power domain %s.

DESCRIPTION
Possible reasons are :- 1. View definition does not bound the timing libraries containing cell %s to
the power domain %s. 2. CPF does not bound the timing libraries containing cell %s to the power
domain %s.0se update_delay_corner command or modify CPF to update the timing libraries of the
power domain %s.
ENCOPT-136(14.20)

October 2014 529 Product Version 14.20


EDI System Error Message Reference
ENCOPT-173

440
ENCOPT-173

NAME
ENCOPT-173

SYNOPSIS
ecoAddRepeater -relativeDistanceToSink is already run on the same pin.

DESCRIPTION
When ecoAddRepeater is run on a pin the first repeater insertion cause the wire to be segmented.
Each new ecoAddRepeater -relativeDistance- ToSink command on the same pin uses the wire
length to estimate the relative distance which fails due to segmented wire.
0xample:0coAddRepeater -term fifo_i/ram_i/MEM/U_32x18_int/U_32x180/B[3] -relativeDistToSink
0.1 -cell BUF_4 ecoAddRepeater -term fifo_i/ram_i/MEM/U_32x18_int/U_32x180/B[3] -
relativeDistToSink 0.1 -cell BUF_4 ecoAddRepeater -term
fifo_i/ram_i/MEM/U_32x18_int/U_32x180/B[3] -relativeDistToSink 0.1 -cell BUF_4 **ERROR:
(ENCOPT-173): Either the wirelist is disconnected and terms are unreachable or diagonal wires are
present in the net.
ENCOPT-173(14.20)

October 2014 530 Product Version 14.20


EDI System Error Message Reference
ENCOPT-306

441
ENCOPT-306

NAME
ENCOPT-306

SYNOPSIS
Found placement violations in the postRoute mode.

DESCRIPTION
This warning is issued when the tool while checking placement detects any placement violations
during postRoute optimization flow.0n order to fix this issue the user needs to run 'checkPlace'
command and correct all placement violations in specific and also it is advisable to cor- rect the
other remaining violations in general before proceeding fur- ther.
ENCOPT-306(14.20)

October 2014 531 Product Version 14.20


EDI System Error Message Reference
ENCOPT-310

442
ENCOPT-310

NAME
ENCOPT-310

SYNOPSIS
Design density (%.2f%%) exceeds/equals limit (%.2f%%).

DESCRIPTION
This error comes when Design Global density exceeds the default or user limit.User can get rid of
this error depending upon design stage.
1. If this error message comes during preCTS then most likely either the die area needs to increase
or user needs to look at improving the placement in design. 2. If this error message comes during
CTS (or postCTS) then quality of Clock Tree needs to be debugged specially if density increases a
lot from preCTS to postCTS. 3. If this error message comes during postRoute user should specify
list of Fillers and Decaps before optimization. This will ensure that optDesign swap filler/decap cells
with other cells and do not use filler/decap cells during global density calculation.
ENCOPT-310(14.20)

October 2014 532 Product Version 14.20


EDI System Error Message Reference
ENCOPT-393

443
ENCOPT-393

NAME
ENCOPT-393

SYNOPSIS
No noise libraries are specified, timing libraries will be used instead in order to perform the noise
analysis which are not as accurate. To load the noise libraries use the
'create_library_set/update_library_set -si' command.

DESCRIPTION
Example: An example of how to use the commands:
create_library_set -name IsCOM-1V \ -timing [list stdcell_F_1V.lib ram_F.lib pad.lib] \ -si [list
stdcell_F_2.cdb ram_F.cdb pad.cdb]
update_library_set -name minLibs \ -si {stdcell_CVF_1V.lib ram_CVF.lib pad.lib}
ENCOPT-393(14.20)

October 2014 533 Product Version 14.20


EDI System Error Message Reference
ENCOPT-519

444
ENCOPT-519

NAME
ENCOPT-519

SYNOPSIS
No cells matching pattern '%s' were found.

DESCRIPTION
The setDontUse command could not find any cells matching the specified cell name pattern.
Please check and correct the pattern. 0xample:0he following example sets all library cells matching
the sub- string 'BUFF' as don't use:0etDontUse *BUFF* true
ENCOPT-519(14.20)

October 2014 534 Product Version 14.20


EDI System Error Message Reference
ENCOPT-569

445
ENCOPT-569

NAME
ENCOPT-569

SYNOPSIS
Design data is not loaded.

DESCRIPTION
A design must be loaded before running any optimization command.
Example: The following command loads the saved design files from the test.enc database: "source
test.enc" Alternatively see 'man restoreDesign' for further information.
ENCOPT-569(14.20)

October 2014 535 Product Version 14.20


EDI System Error Message Reference
ENCOPT-576

446
ENCOPT-576

NAME
ENCOPT-576

SYNOPSIS
%d nets have unplaced terms.

DESCRIPTION
This message is issued when I/O pins connecting to signal nets are not placed or instances
connecting to nets are not placed. user can report unplaced I/O pins by running
"checkPinAssignment -report_violating_pin -outFile viol_pins.rpt"To report un-placed Instance user
can run "checkPlace checkPlace.rpt" and review the file.
ENCOPT-576(14.20)

October 2014 536 Product Version 14.20


EDI System Error Message Reference
ENCOPT-600

447
ENCOPT-600

NAME
ENCOPT-600

SYNOPSIS
No usable buffer and inverter has been found. At least one of them have to.

DESCRIPTION
You should check if the buffer(s)/inverter(s) are available in the tim- ing libraries. If yes ensure
footprint and function of buffer(s)/inverter(s) are defined properly in the library. To make
buffer(s)/inverter(s) usable: - define buffer(s)/inverter(s) in libraries - do not set buffer(s)/inverter(s) as
dont_use, both in libraries and in constraint file(.sdc file) You can use reportDontUseCells
command to reports cells that cannot be used for timing optimization. This command also reports
the source of the timing constraint: the timing library file, timing constraint file, or the user (by
specifying the setDontUse command).
In lower power designs verify the proper power domain binding has been done as following: 1. If
your analysis views are defined in your CPF then commitCPF automatically creates delay corners
for each analysis view of the name analysis_view_dc. For example, "create_analysis_view -name
slow_PM01" will have a delay corner created named slow_PM01_dc. EDI will automatically create
the viewDefinition.tcl according to the CPF and bind the libraries to the respective delay corner and
power domain. 2. If you have defined the MMMC definitions in a viewDefinition.tcl, you must define
the complete MMMC information in the viewDefini- tion.tcl, which means that each power domain
has "update_delay_corner -power_domain" to associate the delay corner to the power domains.
There is no need to define the view in CPF.
If CPF has the views, commitCPF will create the info which viewDefini- tion.tcl does not have.
Example: In older releases you will get SOCOPT-600, SOCOPT-596, SOCOPT-3000 and
SOCOPT-3001 for ENCOPT-600, ENCOPT-596, ENCOPT-3000 and ENCOPT-3001 messages
respectively.

October 2014 537 Product Version 14.20


EDI System Error Message Reference
ENCOPT-600

ENCOPT-600(14.20)

October 2014 538 Product Version 14.20


EDI System Error Message Reference
ENCOPT-616

448
ENCOPT-616

NAME
ENCOPT-616

SYNOPSIS
Buffer insertion not successful.

DESCRIPTION
The error will be generated by opTDesign when buffer insertion not suc- cessful.
Possible reasons are :
-The buffer insertion affects a net which cannot be optimized. You can run"reportIgnoredNets -
outfile ignored.rpt" to get a list of nets which cannot be optimized because they are clock nets,
marked dont_touch, etc.
-The buffer insertion will change the port boundaries of a hierarchical instance which has
hierarchical port constraints set by "setOptMode -keepPort keepPortHinstFile". You can run
"getOptMode -keepPort" to see the setting.
-The default location for the added buffer is not compatible with the driver power domain. If you want
to specify the location manually, you can use the -loc option.
-There may be an existing MSV violation on the net (the driver PD is incompatible with the sink PD).
Maybe you want to insert an always on buffer,you can try and insert it with setting "setOptMode -
addAOFeedThruBuffer true".
ENCOPT-616(14.20)

October 2014 539 Product Version 14.20


EDI System Error Message Reference
ENCOPT-624

449
ENCOPT-624

NAME
ENCOPT-624

SYNOPSIS
No option provided for timeDesign. Use either -reportOnly or -prePlace | -preCTS | -postCTS | -
postRoute| -signoff

DESCRIPTION
This error occurs when the timeDesign command is run without any option0o get rid of this error
message user should do the follow- ing0rovide one of the following options :-prePlace | -preCTS | -
postCTS | -postRoute| -signoff0r -reportOnly0eportOnly specifies the use of existing extraction and
timing analysis data to generate timing reports. When you use this parameter, the software does not
run extrac- tion; instead it uses data that is already in memory.0 -reportOnly is not honored when
used with -prePlace.* -idealClock is not honored when used with -reportOnly.* -signOff is honored
when used with -reportOnly. This is used to run a signoff timing analysis based on external SPEF
files.0ote: Specifying -preCts, -postCts, or -postRoute is optional with -reportOnly. 0xample:0CMD>
timeDesign -hold -outDir tmp0*ERROR: (ENCOPT-624): You have to add either -reportOnly or -
prePlace | -preCTS | -postCTS | -postRoute| -signoff option.0sage: timeDesign [-help] [-batch] [-
drvRe- ports] [-expandReg2Reg] [-expandedViews] [-hold] [-ideal- Clock] [-ilm] [-noIlm] [-numPaths
<integer>] [-outDir <string>] [-pathreports] [-prefix <string>] [-proto] [-reportOnly] [-si] [-slackRe- ports]
[-timingDebugReport] [-useTransition- Files] [ -prePlace | -preCTS | -postCTS | -postRoute | -signOff
]
ENCOPT-624(14.20)

October 2014 540 Product Version 14.20


EDI System Error Message Reference
ENCOPT-628

450
ENCOPT-628

NAME
ENCOPT-628

SYNOPSIS
No equivalent cell found in the library for the substitution. Use "%s" to allow the swapping of cells if
the two cells are of different func- tionality.

DESCRIPTION
User is trying to swap the existing cell with the new cell having dif- ferent functionality
(characterized by footprint) using the change_cell command. E.g. Buffer to inverter, buffer to delay
cells having different foot- prints.
ENCOPT-628(14.20)

October 2014 541 Product Version 14.20


EDI System Error Message Reference
ENCOPT-629

451
ENCOPT-629

NAME
ENCOPT-629

SYNOPSIS
No alternate cell exist in the library for current power domain.

DESCRIPTION
The problem will happen when using ecoChangeCell and the tool looks for proper lib cell for upsize
or downsize. The problem is occurring because of the definition of the cell in lib- erty file.
Possible reasons :
Wrong cell function Wrong observable power domains.
ENCOPT-629(14.20)

October 2014 542 Product Version 14.20


EDI System Error Message Reference
ENCOPT-655

452
ENCOPT-655

NAME
ENCOPT-655

SYNOPSIS
No usable delay or buf cells with footprint "%s" or "%s".

DESCRIPTION
This warning message pops-up when the user tries to run optDesign with- out specifying
holdFixingCells list through setOptMode command and the tool finds that there are no usable delay
or buffer cells in the library that can be used for hold fixing. The reason could be that these cells
have a "dont_use" attribute assigned to them. 0xample:0n order to resolve this issue and to avoid
this warning mes- sage the user needs to specify hold fixing cells through "setOptMode -
holdFixingCells" and make sure the specified cells in this list doesn't have a "dont_use" attribute
ENCOPT-655(14.20)

October 2014 543 Product Version 14.20


EDI System Error Message Reference
ENCOPT-661

453
ENCOPT-661

NAME
ENCOPT-661

SYNOPSIS
The netlist is not uniquified. Optimization requires that hierarchical modules to be optimized have
unique instances.

DESCRIPTION
Optimization requires unique instances of hierarchical modules. To uniquify the netlist run the
uniquifyNetlist executable outside of EDI then import the resulting uniquified netlist into EDI.0f you
are run- ning the post-assembly closure (PAC) flow using master/clone partitions the master/clone
partitions must either be ILM modeled or set to read only. You can use 'setModuleView -partition
partitionName -type read- Only' to do this. 0xample:0o uniquify a netlist the separate uniquifyNetlist
command can be used as follows:0niquifyNetlist -top top unique_output.v non_unique_input.v
ENCOPT-661(14.20)

October 2014 544 Product Version 14.20


EDI System Error Message Reference
ENCOPT-664

454
ENCOPT-664

NAME
ENCOPT-664

SYNOPSIS
Net %s cannot be routed. The reason could be any one of the following: one of its terms is
unplaced, the net is connected to at least one unplaced instance or the net is constrained to be
routed on a layer which has no vias. Please check this net & retry.

DESCRIPTION
There are no further details for this message
ENCOPT-664(14.20)

October 2014 545 Product Version 14.20


EDI System Error Message Reference
ENCOPT-665

455
ENCOPT-665

NAME
ENCOPT-665

SYNOPSIS
%s : Net has unplaced terms or is connected to uplaced instances in design.

DESCRIPTION
This warning message is issued during optimization if there are nets connected to any unplaced I/O
pins or unplaced instances in the design. The unplaced instances can be reported by running
checkPlace command and unplaced I/O's can be reported by running 'checkPinAssignment -
report_violating_pin' command.Once these issues are fixed the warning message will not be
reported.
ENCOPT-665(14.20)

October 2014 546 Product Version 14.20


EDI System Error Message Reference
ENCOPT-3000

456
ENCOPT-3000

NAME
ENCOPT-3000

SYNOPSIS
Buffer footprint is not defined or is an invalid buffer footprint.

DESCRIPTION
This warning message is issued when optimization engine does not find any buffers.0n order to fix
this warning message the following things should be checked.0. Buffer(s) are available in the timing
libraries.2. Footprint and function of buffer(s) are defined correctly in the library.3. Buffer(s) are not
set to "dont_use" in libraries or in con- straint file(.sdc file). Use reportDontUseCells command to
report dont_use cells.4. In low power designs proper binding of buffer libraries to the respective
delay corner and power domain is done.
ENCOPT-3000(14.20)

October 2014 547 Product Version 14.20


EDI System Error Message Reference
ENCOPT-3001

457
ENCOPT-3001

NAME
ENCOPT-3001

SYNOPSIS
Inverter footprint is not defined or is an invalid inverter footprint.

DESCRIPTION
This warning message is issued if optimization didn't find any Inverter.0n order to fix this warning
message the following things can be checked.0. Inverter(s) are available in the timing libraries.2.
Footprint and Function of inverter(s) are defined properly in the library.3. Inverter(s) are not set to
"dont_use" in libraries or in constraint file(.sdc file). Use reportDontUseCells command to report
dont_use cells.4. In low power designs inverter libraries are binded to the respective delay corner
and power domain is done.
ENCOPT-3001(14.20)

October 2014 548 Product Version 14.20


EDI System Error Message Reference
ENCOPT-3025

458
ENCOPT-3025

NAME
ENCOPT-3025

SYNOPSIS
Optimization Restructuring is disabled because the tool cannot find cells needed for this type of
optimization.

DESCRIPTION
Optimization restructuring need to have access to cells functionalities such as
ANDs/ORs/Inverters.0heck the functions of the cells that are available to the tool; you can double
check the dont_use settings for example.
ENCOPT-3025(14.20)

October 2014 549 Product Version 14.20


EDI System Error Message Reference
ENCOPT-3034

459
ENCOPT-3034

NAME
ENCOPT-3034

SYNOPSIS
Optimization process capabilities limited due to %d assigned nets.

DESCRIPTION
The message is to inform the user that there are assign statements in the netlist which optDesign
cannot optimize. If these are not on timing critical paths then it is likely okay assuming your flow
allows for assign statements. Often designers do not want assign statements and therefore remove
them during synthesis or in EDI System using the set- DoAssign command.
ENCOPT-3034(14.20)

October 2014 550 Product Version 14.20


EDI System Error Message Reference
ENCOPT-3035

460
ENCOPT-3035

NAME
ENCOPT-3035

SYNOPSIS
Optimization process capabilities limited due to 1 assigned net.

DESCRIPTION
This warning message is issued by optDesign in case there is one assign net in the design and
there is no way to optimize it.0etDoAssign com- mand can be used to remove assign statements in
the design and replace them with a buffer when importing the netlist.
ENCOPT-3035(14.20)

October 2014 551 Product Version 14.20


EDI System Error Message Reference
ENCOPT-3040

461
ENCOPT-3040

NAME
ENCOPT-3040

SYNOPSIS
skewClock will overwrite scheduling file %s

DESCRIPTION
This warning comes when skewClock is run in preCTS mode. To get rid of this warning user should
specify a scheduling file name using setSchedulingFile command. 0xample:0etSchedulingFile
scheduling_file.cts0kewClock
ENCOPT-3040(14.20)

October 2014 552 Product Version 14.20


EDI System Error Message Reference
ENCOPT-3050

462
ENCOPT-3050

NAME
ENCOPT-3050

SYNOPSIS
setUsefulSkewMode -useCells is pointing to the cell %s which is set as dont_use in the library

DESCRIPTION
SkewClock command is potentially going to insert a cell which has been marked as dont_use in the
library. You should double check that this is your intent.
Example: setUsefulSkewMode -useCells {CLKBUFX8 CLKBUFX12 CLKINVX8 CLKINVX12}
setOptMode -usefulSkew true optDesign
check for all don't use cells : get_lib_cells * -filter "is_dont_use == true" or dbGet [dbGet
head.allCells.isDontUse 1 -p].name
ENCOPT-3050(14.20)

October 2014 553 Product Version 14.20


EDI System Error Message Reference
ENCOPT-3058

463
ENCOPT-3058

NAME
ENCOPT-3058

SYNOPSIS
Cell %s/%s already has a dont_use attribute %s.

DESCRIPTION
This message occurs because you set dont_use attribute on a cell that has same value as what you
are specifying. This is not harmful and can be ignored if the value of dont_use attribute is what you
want it to be.
Example: * The following example sets library cell AND2 as dont_use: encounter> setDontUse
libSlow/AND2 true encounter> set_dont_use libSlow/AND2 true * The following example turns off
dont_use attribute of library cell AND2: encounter> setDontUse libSlow/AND2 false encounter>
set_dont_use libSlow/AND2 false
ENCOPT-3058(14.20)

October 2014 554 Product Version 14.20


EDI System Error Message Reference
ENCOPT-3080

464
ENCOPT-3080

NAME
ENCOPT-3080

SYNOPSIS
All delay cells are dont_use. Buffers will be used to fix hold viola- tions.

DESCRIPTION
This Warning message means all delay cells in the loaded libraries have "dont_use" attribute and
the tool will use only Buffer cells to fix hold violations 0xample:0o fix this issue and to avoid the
Warning message the user can either set "set_dont_use false *dlylibCell*" in the SDC or if he wants
do the same in the EDI shell for MMMC design can run "set_interac- tive_constraint_modes
[all_constraint_modes -active]" followed by "set_dont_use" command and then re-run "optDesign -
hold"
ENCOPT-3080(14.20)

October 2014 555 Product Version 14.20


EDI System Error Message Reference
ENCOPT-3115

465
ENCOPT-3115

NAME
ENCOPT-3115

SYNOPSIS
Netlist is not uniquified, optimization will be ignoring nets around modules that are not uniquified.

DESCRIPTION
Nets connected to non uniquified modules will be ignore for optimiza- tion.0ou can Run
uniquifyNetlist outside EDI environment in a unix shell to generate a uniquified netlist. You can also
direct the tool to automatically uniquify the design after flattening by setting global variable
init_design_uniquify to 1.0o see if the design is uniquified run checkUnique.
ENCOPT-3115(14.20)

October 2014 556 Product Version 14.20


EDI System Error Message Reference
ENCOPT-3121

466
ENCOPT-3121

NAME
ENCOPT-3121

SYNOPSIS
No usable buffer cell.

DESCRIPTION
This warning message is related to MMMC setup. If the standard cell library is missing from any
library set or when creating a delay corner the "-library_set" option is missing, then any active view
that uses the library set will not have a buffer cell defined. So while running optDesign the tool
issues a warning saying no usable buffer cell is found. 0xample:0n order to avoid this Warning
message the user needs to make sure that when library sets are defined in the viewDefinition.tcl,
make sure that the library set for all active views are properly defined and all libraries are included.
ENCOPT-3121(14.20)

October 2014 557 Product Version 14.20


EDI System Error Message Reference
ENCOPT-3129

467
ENCOPT-3129

NAME
ENCOPT-3129

SYNOPSIS
Unable to delete instance %s.

DESCRIPTION
This warning message is issued when the user tries to delete a buffer using 'ecoDeleteRepeater'
command.0ossible causes for the error:- inst is dont_touch'ed or FIXED- any net connect to the inst
is dont_touch'ed- library cell of the inst is dont_touch'ed- the inst is an inverter. 0xample:0n order to
brute force the deletion: feedthrough buffer can be deleted using dbDeleteBuffer command. An
example command would be 'dbDeleteBuffer [dbGetInstByName inst_name]'
ENCOPT-3129(14.20)

October 2014 558 Product Version 14.20


EDI System Error Message Reference
ENCOPT-3135

468
ENCOPT-3135

NAME
ENCOPT-3135

SYNOPSIS
ecoAddRepeater -relativeDistToSink works with -term or -net with 1-sink net on routed design.

DESCRIPTION
This warning message comes when user runs ecoAddRepeater -relativeDist- ToSink on a multifan-
out net. In order to fix this warning message user needs to use either -term or provide 1-sink net with
-net option. 0xample:0coAddRepeater -cell C12T32_LL_BFX33_P10 -net
SOCE_PRECTS_NET_INCR_SETUP_DRV_FE_OFCN1278_SOCE_INPUT_BOUNDARY_ISOL
A- TION_4949_tst_io_testmode_N -relativeDistToSink 0.50*WARN: (ENCOPT-3135):
ecoAddRepeater -relativeDistToSink works with -term or -net with 1-sink net on routed design.
ENCOPT-3135(14.20)

October 2014 559 Product Version 14.20


EDI System Error Message Reference
ENCOPT-3137

469
ENCOPT-3137

NAME
ENCOPT-3137

SYNOPSIS
Functionality check has been turned off for this %s operation.

DESCRIPTION
There are no further details for this message
ENCOPT-3137(14.20)

October 2014 560 Product Version 14.20


EDI System Error Message Reference
ENCOPT-3142

470
ENCOPT-3142

NAME
ENCOPT-3142

SYNOPSIS
Could not open the file %s. Option '-reportIgnoredNets' will be ignored.

DESCRIPTION
The message occurs because EDI can not write the file that you have specified with '-
reportIgnoredNets'. Please check if you have necessary permission to write the file on target
directory (usually working directory) and have sufficient disk space or if you specify sub-direc- tory -
that it exists. 0xample:0 The following example checks if you have permission to write on current
working directory:0ncounter 20> file writable [pwd]10 The following example checks if you have
sufficient disk space:0ncounter 21> df -kH [pwd]Filesystem Size Used Avail Use% Mounted
on/disk/dir 2.2T 2.0T 271G 88% /disk/dir
ENCOPT-3142(14.20)

October 2014 561 Product Version 14.20


EDI System Error Message Reference
ENCOPT-3144

471
ENCOPT-3144

NAME
ENCOPT-3144

SYNOPSIS
Could not open the file %s. Option '-outFile' will be ignored.

DESCRIPTION
The message occurs because EDI can not write the file that you have specified with '-outFile'.
Please check if you have necessary permis- sion to write the file on target directory and have
sufficient disk space or if you specify sub-directory - that it exists. 0xample:0 The following example
checks if you have permission to write on current working directory:0ncounter 20> file writable
[pwd]10 The following example checks if you have sufficient disk space:0ncounter 21> df -kH
[pwd]Filesystem Size Used Avail Use% Mounted on/disk/dir 2.2T 2.0T 271G 88% /disk/dir
ENCOPT-3144(14.20)

October 2014 562 Product Version 14.20


EDI System Error Message Reference
ENCOPT-3180

472
ENCOPT-3180

NAME
ENCOPT-3180

SYNOPSIS
Could not open the file %s to report ignored nets.

DESCRIPTION
The message occurs because you may not have permission to write a file or run out of disk space.
Please check if you have necessary permission to write a file on target directory (usually the
working directory) and have sufficient disk space or if you specify sub-directory - that it exists.
0xample:0 The following example checks if you have permission to write on current working
directory:0ncounter 20> file writable [pwd]10 The following example checks if you have sufficient
disk space:0ncounter 21> df -kH [pwd]Filesystem Size Used Avail Use% Mounted on/disk/dir 2.2T
2.0T 271G 88% /disk/dir
ENCOPT-3180(14.20)

October 2014 563 Product Version 14.20


EDI System Error Message Reference
ENCOPT-3186

473
ENCOPT-3186

NAME
ENCOPT-3186

SYNOPSIS
*** switching analysis mode to "setAnalysisMode -usefulskew true" so that newly generated
latencies are taken in account by the timing engine***

DESCRIPTION
This warning message is issued when 'setOptMode -usefulSkew true' and the user tries to run
optDesign command.
Example: When usefulSkew is set to true the tool produces scheduling and latency files before CTS
runs, or adds buffers and inverters after CTS, just as skewClock does. This parameter sets
'setAnalysisMode -usefulSkew true', so that timing analysis can read the latency file.
ENCOPT-3186(14.20)

October 2014 564 Product Version 14.20


EDI System Error Message Reference
ENCOPT-3194

474
ENCOPT-3194

NAME
ENCOPT-3194

SYNOPSIS
Option -targetSlack is obsolete and replaced by -setupTargetSlack and -holdTargetSlack for setup
and hold target slacks respectively.

DESCRIPTION
There are no further details for this message
ENCOPT-3194(14.20)

October 2014 565 Product Version 14.20


EDI System Error Message Reference
ENCOPT-3195

475
ENCOPT-3195

NAME
ENCOPT-3195

SYNOPSIS
Analysis mode has changed.

DESCRIPTION
You have this message because Encounter temporarily changes the analy- sis mode for
optimization use. This is usually not harmful and you may ignore this message.0ne of these 5
analysis mode changes will cause this:-clkSrcPath true-clockPropagation sdcControl-usefulSkew
false-vir- tualIPO false-skew true
ENCOPT-3195(14.20)

October 2014 566 Product Version 14.20


EDI System Error Message Reference
ENCOPT-3213

476
ENCOPT-3213

NAME
ENCOPT-3213

SYNOPSIS
The netlist contains multi-instanciated modules. The optimization engine will consider these
modules as dont touch. Uniquify the netlist to get better quality of results.

DESCRIPTION
optDesign requires the netlist to be unique. If you are running the post-assembly closure (PAC) flow
using master/clone partitions, the master/clone partitions must either be ILMs or set to read only
(set- ModuleView -partition partitionName -type readOnly).0o uniquify the netlist, run uniquifyNetlist
outside of EDI System. Then import the resulting, uniquified netlist into EDI System.
0xample:0niquifyNetlist -top top non_unique.v unique.v0rom 10.1USR2 the ordering of input and
output files are different. In other words first the output file and then non-unique input
file:0niquifyNetlist -top top unique.v non_unique.v
ENCOPT-3213(14.20)

October 2014 567 Product Version 14.20


EDI System Error Message Reference
ENCOPT-3225

477
ENCOPT-3225

NAME
ENCOPT-3225

SYNOPSIS
SpefIn flow is used. Re-extract RC and spefIn for accuracy.

DESCRIPTION
This warning message is issued when the user tries to run optimization through spef based flow.
For each corer spef needs to be read in sepa- rately, if spef is missing for any corner tool will issue
above warning and will re-extract Parasitics.0pefIn command has an option to read corner spef file
using '-rc_corner' option. For each of the corner in the design user needs to read in separate spef
files before performing spef based optimization.
ENCOPT-3225(14.20)

October 2014 568 Product Version 14.20


EDI System Error Message Reference
ENCOPT-3313

478
ENCOPT-3313

NAME
ENCOPT-3313

SYNOPSIS
Skipping %s which is an assign net.

DESCRIPTION
This message pops-up when the user tries to run 'attachIOBuffer' com- mand on an assigned net.0n
order to avoid this Warning message the user needs to first set 'setDoAssign on -buffer buf_name'
before importing the design and then run 'attachIOBuffer' command.
ENCOPT-3313(14.20)

October 2014 569 Product Version 14.20


EDI System Error Message Reference
ENCOPT-3314

479
ENCOPT-3314

NAME
ENCOPT-3314

SYNOPSIS
Skipping net '%s' which has fewer than two connected pins.

DESCRIPTION
This warning is issued by the 'attachIOBuffer' command when nets with only one or zero connected
pins are encountered. 'attachIOBuffer' does not add buffers on such nets.
ENCOPT-3314(14.20)

October 2014 570 Product Version 14.20


EDI System Error Message Reference
ENCOPT-3315

480
ENCOPT-3315

NAME
ENCOPT-3315

SYNOPSIS
Common path pessimism removal is not enabled even though timing derates are applied. This can
cause optimization to see more pessimistic tim- ing than expected. To enable CCPR for both setup
and hold use "set- AnalysysMode -cppr both".

DESCRIPTION
CPPR (Common Path Pessimism Removal) removes pessimism from clock paths that have a
portion of the clock network in common between launch and capture paths. This error message
indicates that timing derates are applied, so that the clock part of the launch and capture paths may
be timed differently, but that removal of resulting pessimism in the com- mon clock path is not
enabled. 0xample:0etAnalysisMode -analysisType onChipVariation0etAnalysisMode -cppr both
ENCOPT-3315(14.20)

October 2014 571 Product Version 14.20


EDI System Error Message Reference
ENCOPT-3318

481
ENCOPT-3318

NAME
ENCOPT-3318

SYNOPSIS
Missing -preCTS|-postCTS|-postRoute option.

DESCRIPTION
This warning message is issued when the user tries to run optDesign command without specifying
the design stage, meaning preCTS, postCTS or postRoute. 0xample:0his message can be avoided
by running optDesign with correct options depending upon on the stage of the design. As an
example if the clock tree is not placed in the design then 'optDesign -preCTS' can be run to
optimize the design for the set-up.
ENCOPT-3318(14.20)

October 2014 572 Product Version 14.20


EDI System Error Message Reference
ENCOPT-3319

482
ENCOPT-3319

NAME
ENCOPT-3319

SYNOPSIS
The -hold option is not available with -preCTS.

DESCRIPTION
This warning message is issued when the user tries to run preCTS opti- mization in hold mode and
the command exits without running preCTS optimization. The '-hold' option cannot be specified with
preCTS opti- mization.0n order to avoid this warning message '-hold' option should be removed
while running preCTS optimization meaning only setup opti- mization makes sense at preCTS
stage.
ENCOPT-3319(14.20)

October 2014 573 Product Version 14.20


EDI System Error Message Reference
ENCOPT-3326

483
ENCOPT-3326

NAME
ENCOPT-3326

SYNOPSIS
The -drv option not allowed in -hold mode.

DESCRIPTION
This warning message is issued when the user tries to run optDesign in hold mode with '-drv'
option. The tool issues the warning and comes out without running optimization.0hen running hold
fixing, optDesign will maintain exiting setup and drv in the setup recovery step. 0xample:0his issue
can be fixed by removing '-drv' option. As an exam- ple the user cannot run 'optDesign -postCTS -
hold -drv', the correct command options are 'optDesign -postCTS -hold'
ENCOPT-3326(14.20)

October 2014 574 Product Version 14.20


EDI System Error Message Reference
ENCOPT-3327

484
ENCOPT-3327

NAME
ENCOPT-3327

SYNOPSIS
The -drv option not allowed with -incr option.

DESCRIPTION
This warning message is issued when user tries to run drv fixing with -incr option and the command
exits without performing DRV fixing. The DRV fixing cannot be run with -incr option. 0xample:0he
correct command will be 'optDesign -postCTS -drv' or run- ning DRV fixing on selected nets/terms
by specifying them in a file.
ENCOPT-3327(14.20)

October 2014 575 Product Version 14.20


EDI System Error Message Reference
ENCOPT-3328

485
ENCOPT-3328

NAME
ENCOPT-3328

SYNOPSIS
The -incr option is ignored with -hold option.

DESCRIPTION
This warning message is issued when hold optimization is run with '-incr' option. The tool issues
this warning message and performs regu- lar hold fixing on the design.
Example: In order to avoid this warning message '-incr' option can be avoided while running hold
fixing.
ENCOPT-3328(14.20)

October 2014 576 Product Version 14.20


EDI System Error Message Reference
ENCOPT-3396

486
ENCOPT-3396

NAME
ENCOPT-3396

SYNOPSIS
Cannot determine how to connect %s. There is a mismatch in number of pins between the cells
being swapped.

DESCRIPTION
Here is no direct way to do this in EDI System currently. The recommen- dation is to handle this in
RTL Compiler.
ENCOPT-3396(14.20)

October 2014 577 Product Version 14.20


EDI System Error Message Reference
ENCOPT-3465

487
ENCOPT-3465

NAME
ENCOPT-3465

SYNOPSIS
The buffer cells were automatically identified. The command setBufFoot- Print is ignored. If you
want to force the tool to honor this setting, you have to load a footprint file through the loadFootPrint
command.

DESCRIPTION
This warning message is issued when the user uses footprintless flow and tries to run the command
setBufFootPrint to specify the buffer cell footprint. In order to use footprint flow the user needs to
specify the footprints using loadFootPrint command and then run setBufFootPrint command, this
will fix the warning issue.
ENCOPT-3465(14.20)

October 2014 578 Product Version 14.20


EDI System Error Message Reference
ENCOPT-3466

488
ENCOPT-3466

NAME
ENCOPT-3466

SYNOPSIS
The inverter cells were automatically identified. The command set- InvFootPrint is ignored. If you
want to force the tool to honor this setting, you have to load a footprint file through the loadFootPrint
command.

DESCRIPTION
This warning message is issued when the user uses footprintless flow and tries to run the command
setInvFootPrint to specify the Inverter cell footprint. In order to use footprint flow the user needs to
spec- ify the footprints using loadFootPrint command and then run setInvFoot- Print command, this
will fix the warning issue.
ENCOPT-3466(14.20)

October 2014 579 Product Version 14.20


EDI System Error Message Reference
ENCOPT-3467

489
ENCOPT-3467

NAME
ENCOPT-3467

SYNOPSIS
The delay cells were automatically identified. The command setDelay- FootPrint is ignored. If you
want to force the tool to honor this set- ting, you have to load a footprint file through the
loadFootPrint com- mand.

DESCRIPTION
This warning message is issued when the user uses footprintless flow and then tries to runs
setDelayFootPrint command to specifies the delay cell footprints. In order to use footprint flow the
user needs to spec- ify footprints using loadFootPrint command and then run setDelayFoot- Print
command, this will remove the warning issued.
ENCOPT-3467(14.20)

October 2014 580 Product Version 14.20


EDI System Error Message Reference
ENCOPT-3468

490
ENCOPT-3468

NAME
ENCOPT-3468

SYNOPSIS
The command loadFootPrint has disabled the footprintless flow. Now using the classes of
equivalence defined in the footprint file.

DESCRIPTION
This message is seen when the command "loadFootPrint" is executed. EDI by default follows the
footprintless flow. If the user want to define the foot prints and disable the footprintless flow, it can be
done using the command"loadFootPrint".
Example: <CMD> loadFootPrint -infile modified_foot_print.file **WARN: (ENCOPT-3468): The
command loadFootPrint has disabled the footprintless flow. Now using the classes of equivalence
defined in the footprint file. Clearing footprints for all libraries Loading footprints for all corners.
ENCOPT-3468(14.20)

October 2014 581 Product Version 14.20


EDI System Error Message Reference
ENCOPT-3481

491
ENCOPT-3481

NAME
ENCOPT-3481

SYNOPSIS
There is no buffer defined for the following power domain(s):%s.

DESCRIPTION
This warning message is issued while running optDesign command in preCTS/postCTS/etc..., if
there are library binding issues with power domain and the cells have dont_use attribute
0xample:0n order to fix this issue the user needs to check if the library binding with respective
Power domain is o.k, this can be veri- fied by running 'verifyPowerDomain -bind' and also ensure
that the required cells are available for optimization, meaning there are no dont_use attribute
associated to them
ENCOPT-3481(14.20)

October 2014 582 Product Version 14.20


EDI System Error Message Reference
ENCOPT-3531

492
ENCOPT-3531

NAME
ENCOPT-3531

SYNOPSIS
No usable inverter has been found. It could be that there are no inverters defined in the libraries or
all inverters are set as dont-use. Ensure that allrequired libraries have been loaded & check the
dont-use settings for inverter cells.

DESCRIPTION
Example:0o get a list of all cells marked dont-use: reportDontUseCells To disable a dont-use? on a
library cell: setDontUse <cell-name> false
ENCOPT-3531(14.20)

October 2014 583 Product Version 14.20


EDI System Error Message Reference
ENCOPT-3532

493
ENCOPT-3532

NAME
ENCOPT-3532

SYNOPSIS
No usable buffer has been found. It could be that there are no buffers defined in the libraries or all
buffers are set as dont-use. Ensure that all required libraries have been loaded & check the dont-
use settings for buffer cells.

DESCRIPTION
To get a list of all cells marked dont-use: reportDontUseCells0o dis- able a dont-use? on a library
cell: setDontUse <cell-name> false
ENCOPT-3532(14.20)

October 2014 584 Product Version 14.20


EDI System Error Message Reference
ENCOPT-3535

494
ENCOPT-3535

NAME
ENCOPT-3535

SYNOPSIS
OptDesign command could not find any buffers which could be used for optimization. It could be
that a) There are no buffers in libraries (Ensure that all required libraries have been loaded) OR b)
All buffers are set as dont use (Check the dont use settings for buffer cells within the libraries).

DESCRIPTION
0or example: 0o get a list of all cells marked dont-use, issue command: reportDontUseCells 0o
disable a dont-use on a library cell, issue command: setDontUse <cell_name> false
ENCOPT-3535(14.20)

October 2014 585 Product Version 14.20


EDI System Error Message Reference
ENCOPT-3543

495
ENCOPT-3543

NAME
ENCOPT-3543

SYNOPSIS
Option setOptMode -resizeShifterAndIsoInsts is set to true (default false).

DESCRIPTION
This warning message is issued when 'resizeShifterAndIsoInsts' is set to true in setOptMode. This
means even if they are marked as dont_touch, optDesign may resize some shifter and isolation
cells for timing optimization 0xample:0on't touch instances and are not changed during timing opti-
mization. When this parameter is set to true, the shifters and iso- lation cells are resized for timing
optimization by using optDesign.
ENCOPT-3543(14.20)

October 2014 586 Product Version 14.20


EDI System Error Message Reference
ENCOPT-3547

496
ENCOPT-3547

NAME
ENCOPT-3547

SYNOPSIS
Net %s is connected to unplaced instance(s). Drv(s) cannot be fixed on it.

DESCRIPTION
Please ensure that the design is legally placed before running opti- mization.0se the checkPlace
command.0xample:0o report the instances to which a net connects:0bGet [dbGet -p top.nets.name
<net-name>].inst- Terms.inst.name.0o report a list of unplaced instances:0bGet [dbGet -p
top.insts.pStatus unplaced].name.
ENCOPT-3547(14.20)

October 2014 587 Product Version 14.20


EDI System Error Message Reference
ENCOPT-3560

497
ENCOPT-3560

NAME
ENCOPT-3560

SYNOPSIS
SDF based flow is used. The final timing report summary cannot be printed out in this mode.

DESCRIPTION
SDF based flow does not report the final timing summary after optimiza- tion. This is due to the fact
the original SDF(s) are not valid after optimization and have to be regenerated after optimization.
0xample:0o report the timing after optimization, regenerate the SDFs, load them and time the
design:read_sdf -view <view1> ...read_sdf -view <view2> ...timeDesign -reportOnly
ENCOPT-3560(14.20)

October 2014 588 Product Version 14.20


EDI System Error Message Reference
ENCOPT-3563

498
ENCOPT-3563

NAME
ENCOPT-3563

SYNOPSIS
"setTrialRouteMode -keepExistingRoutes true" is not supported by optDe- sign/timeDesign. This
trialRoute option will be disabled.

DESCRIPTION
The optDesign or timeDesign commands cannot honour "setTrialRouteMode -keepExistingRoutes
true", the reason being the design is at pre-route stage and these commands are going to re-run
trialRoute and estimate parasitics hence existing routes in the design cannot be preserved. In order
to avoid this message either the user needs to change "setTrial- RouteMode -keepExistingRoutes
false" before running optDesign or timeDesign command or else the tool will automatically disable
it.
ENCOPT-3563(14.20)

October 2014 589 Product Version 14.20


EDI System Error Message Reference
ENCOPT-3579

499
ENCOPT-3579

NAME
ENCOPT-3579

SYNOPSIS
Instance or cell %s is marked as don't touch, skipping %s command.

DESCRIPTION
This warning message is issued by an attempt to run the interactive ECO"ecoChangeCell"
command on a don't touch instance or library cell. This can be overridden with "setEcoMode -
honorDontTouch false". Alternatively adjust the don't touch status on the instance(s) or cell(s).
ENCOPT-3579(14.20)

October 2014 590 Product Version 14.20


EDI System Error Message Reference
ENCOPT-3580

500
ENCOPT-3580

NAME
ENCOPT-3580

SYNOPSIS
cell %s is marked as don't use. %s command will be skipped.

DESCRIPTION
This warning message is issued when the user tries to run "ecoChange- Cell" command on a Don't
use cell. In order to fix this issue and to perform ECO user needs to set "setEcoMode -
honorDontUse false".
ENCOPT-3580(14.20)

October 2014 591 Product Version 14.20


EDI System Error Message Reference
ENCOPT-3581

501
ENCOPT-3581

NAME
ENCOPT-3581

SYNOPSIS
%s is marked as dont_touch. %s command will be skipped for this instance.

DESCRIPTION
This error message come when user runs an ECO command on dont_touch objects such as a net
or an instance. To get rid of this message user should do either of the following:
1. Check whether the object name is specified correctly. 2. Change dont_touch attribute on object
using set_dont_touch or dbSet command.
Example: To alter this setting on library cells use: set_dont_touch [get_lib_cells <cell-name>] false |
true
ENCOPT-3581(14.20)

October 2014 592 Product Version 14.20


EDI System Error Message Reference
ENCOPT-3585

502
ENCOPT-3585

NAME
ENCOPT-3585

SYNOPSIS
%s is marked as fixed. %s command will be skipped for this instance.

DESCRIPTION
This error comes when user runs an optimization command on a FIXED instance.
To get rid of this error message user should specify instance whose placement status is not FIXED.
User can also use dbSet command to change placement status of instance from FIXED to
PLACED.
ENCOPT-3585(14.20)

October 2014 593 Product Version 14.20


EDI System Error Message Reference
ENCOPT-3588

503
ENCOPT-3588

NAME
ENCOPT-3588

SYNOPSIS
%s is not a cell!

DESCRIPTION
When trying to change the cell for a specific instance using ecoChange- Cell. It reports that the
specific cell "is not a cell!"
The cell exists in the LEF. ecoChangeCell requires that the cell you specify, cellXYZ in this
example, must be defined in the timing library. If it does not have a timing definition for it then this
mes- sage will get issued. Create a timing definition in the .lib for this cell to resolve this issue.
Use checkDesign to confirm if all cells in the design has all timing library bounded.
ENCOPT-3588(14.20)

October 2014 594 Product Version 14.20


EDI System Error Message Reference
ENCOPT-3593

504
ENCOPT-3593

NAME
ENCOPT-3593

SYNOPSIS
The cell %s is not defined in any library file. If you wish to use this cell please ensure a timing
library file defining this cell is bound to each timing analysis-view.

DESCRIPTION
0xample:0o see a list of defined timing analysis-views: all_analy- sis_views |
all_setup_analysis_views | all_hold_analysis_views0o see the library-sets defined for each
analysis-view: get_delay_corner [get_analysis_view <analysis-view> -delay_corner] -library_set | -
early_library_set | -late_library_set0o see the list of library files defined for each library-set:
get_library_set <library-set> -tim- ing0lternatively check your 'viewDefinition.tcl' file.
ENCOPT-3593(14.20)

October 2014 595 Product Version 14.20


EDI System Error Message Reference
ENCOPT-3594

505
ENCOPT-3594

NAME
ENCOPT-3594

SYNOPSIS
%s is inside ILM block. %s command will be skipped.

DESCRIPTION
This warning message comes when user tries to use an ECO command on ILM net.ILM nets are
read-only and cannot be modified by ECO commands.
Example: <CMD> ecoAddRepeater -cell HS65_LS_BFX9 -net FE_OFN4333_des_inter-
face_n_3736
**WARN: (ENCOPT-3594): FE_OFN4333_des_interface_n_3736 is inside ILM block.
ecoAddRepeater command will be skipped.
ENCOPT-3594(14.20)

October 2014 596 Product Version 14.20


EDI System Error Message Reference
ENCOPT-3596

506
ENCOPT-3596

NAME
ENCOPT-3596

SYNOPSIS
Running 'timeDesign -postRoute -si (-hold)' with 'setAnalysisMode -analysisType bcwc' is not
recommended.

DESCRIPTION
Running 'timeDesign -postRoute -si (-hold)' with 'setAnalysisMode -analysisType bcwc' might lead
to incorrect timing analysis results. This is because the SI delay push-outs (or pull-ins for -hold
mode) on the clock nets get annotated to both the launch and the capture clock paths resulting in
optimistic slacks to be reported. To get accurate timing analysis results, use 'setAnalysisMode -
analysisType onChipVari- ation', which requires the design to be run in either in multi-mode multi-
corner (MMMC) or single-mode single-corner (SMSC) mode. For more information, see the
'Specifying the MMMC Environment' section in the 'Optimizing Timing' chapter of the Encounter
User Guide.
ENCOPT-3596(14.20)

October 2014 597 Product Version 14.20


EDI System Error Message Reference
ENCOPT-3600

507
ENCOPT-3600

NAME
ENCOPT-3600

SYNOPSIS
The setSiMode "-analysisType pessimistic" can only be set in conjonc- tion with the
setAnalysisMode -analysisMode -onChipVariation

DESCRIPTION
To get accurate SI timing analysis results, use "setAnalysisMode -anal- ysisType onChipVariation",
which requires the design to be set either in multi-mode multi-corner (MMMC) or single-mode
single-corner (SMSC) mode. If the MMMC and OCV modes are not enabled, and if the "optDesign -
postRoute -si" and "timeDesign -postRoute -si" commands will exit with an error. 0xample:0CMD>
setDelayCalMode -engine Aae<CMD> setAnalysisMode -analy- sisType single<CMD> setSiMode
-analysisType pessimistic00hould be changed to :<CMD> setDelayCalMode -engine Aae<CMD>
setAnalysisMode -analysisType onChipVariation<CMD> setSiMode -analysisType pessimistic
ENCOPT-3600(14.20)

October 2014 598 Product Version 14.20


EDI System Error Message Reference
ENCOPT-3602

508
ENCOPT-3602

NAME
ENCOPT-3602

SYNOPSIS
The specified path group name %s is not defined.

DESCRIPTION
This error occurs when an unknown path group name is specified to0etPathGroupOptions. Check
the name or create the path group as required. 0xample:0he following example defines a path
group and sets the path group optimization effort level to high: 0roup_path -name
PATH_GROUP_A -to [get_pins ff1/D] 0etPathGroupOptions PATH_GROUP_A -effortLevel high
ENCOPT-3602(14.20)

October 2014 599 Product Version 14.20


EDI System Error Message Reference
ENCOPT-3610

509
ENCOPT-3610

NAME
ENCOPT-3610

SYNOPSIS
Multi-CPU optimization is only supported in setDistributeHost -local mode.

DESCRIPTION
Multi-Cpu optimization supports multi-threading on the local host only. This can be setup using
setDistributeHost -local and setMultiCpuUsage -localCpu <num of cpus>
0xample:0etDistributeHost -local 0etMultiCpuUsage -localCpu <num of cpus>
ENCOPT-3610(14.20)

October 2014 600 Product Version 14.20


EDI System Error Message Reference
ENCOPT-3611

510
ENCOPT-3611

NAME
ENCOPT-3611

SYNOPSIS
Multi-CPU optimization is disabled.

DESCRIPTION
This option is for internal use only. To enable the multi-cpu optimiza- tion use setMultiCpuUsage -
enable opt 0xample:0o enable multi-cpu optimization use: setMultiCpuUsage -enable opt0o disable
multi-cpu optimization use: setMultiCpuUsage -disable opt
ENCOPT-3611(14.20)

October 2014 601 Product Version 14.20


EDI System Error Message Reference
ENCOPT-3626

511
ENCOPT-3626

NAME
ENCOPT-3626

SYNOPSIS
NanoRoute option "-routeWithTimingDriven" is set to "false" by user."optDesign" will automatically
set this option to "true" in nanoRoute based flow.

DESCRIPTION
This warning message is issued while running preCTS optimization in NRGR based flow when '-
routeWithTimingDriven' is set to false
ENCOPT-3626(14.20)

October 2014 602 Product Version 14.20


EDI System Error Message Reference
ENCOPT-3629

512
ENCOPT-3629

NAME
ENCOPT-3629

SYNOPSIS
Option "-criticalRange <value>" for command setOptMode is obsolete and has been replaced by "-
allEndPoints true|false".

DESCRIPTION
This message comes when user tries to use option "-criticalRange <value>" of setOptMode
command with the latest version of the tool. In order to get rid of this message user should use
setOptMode -allEnd- Points true|false
ENCOPT-3629(14.20)

October 2014 603 Product Version 14.20


EDI System Error Message Reference
ENCOPT-3631

513
ENCOPT-3631

NAME
ENCOPT-3631

SYNOPSIS
Option -criticalRange for the command setPathGroupOptions is obsolete and is being ignored.

DESCRIPTION
The message occurs because the option '-criticalRange <value>' for the command
setPathGroupOptions is obsolete. Please update your script with 'setOptMode -allEndPoint
<boolean>'. 0xample:0he following example enables WNS/TNS optimization: setOptMode -
allEndPoint true0he following example enable WNS optimization only: setOptMode -allEndPoints
false
ENCOPT-3631(14.20)

October 2014 604 Product Version 14.20


EDI System Error Message Reference
ENCOPT-3634

514
ENCOPT-3634

NAME
ENCOPT-3634

SYNOPSIS
reg2reg optimization will not be run because there are no reg2reg paths in the design.

DESCRIPTION
This warning message is issued during optimization when the design doesn't have0lock definition
meaning there are no "create_clock" state- ments in the SDC0ile. The issue can be resolved by
fixing this problem.
ENCOPT-3634(14.20)

October 2014 605 Product Version 14.20


EDI System Error Message Reference
ENCOPT-3638

515
ENCOPT-3638

NAME
ENCOPT-3638

SYNOPSIS
Cell %s is not defined in any timing analysis view. This cell will be marked dont_use.

DESCRIPTION
This warning comes when the cell %s defined by user does not exist in any timing analysis view.0o
remove the warning user needs to check the following0. Correct cell name is used.0. Cell library is
added to at least one timing analysis view. To review the library binding user can either check
viewDefinition.tcl file or run the following commands0) Use all_analysis_views |
all_setup_analysis_views | all_hold_analy- sis_views to get the name of all analysis views.0) Use
get_delay_corner [get_analysis_view <analysis-view> -delay_corner]0ibrary_set | -
early_library_set | -late_library_set to see the library-sets defined for each analysis-view.0) Use
get_library_set <library-set> -timing to see the list of library files defined for each library-set.
ENCOPT-3638(14.20)

October 2014 606 Product Version 14.20


EDI System Error Message Reference
ENCOPT-3657

516
ENCOPT-3657

NAME
ENCOPT-3657

SYNOPSIS
"-layerAwareOpt" setOptMode option will be obsoleted. This option still works in this release, but to
avoid this warning and to ensure compati- bility with future releases, updating your script is
recommended.

DESCRIPTION
This warning message is issued when the user tries to set 'setOptMode -layerAwareOpt true" in
EDI-14.1 to perform layer aware optimization while running optDesign command. 0xample:0n EDI-
14.1 onwards layer aware optimization is made default, there is no need for the user to set this
option separately. Hence it's recommended to update the user scripts accordingly to avoid this
warn- ing message.
ENCOPT-3657(14.20)

October 2014 607 Product Version 14.20


EDI System Error Message Reference
ENCOPT-6022

517
ENCOPT-6022

NAME
ENCOPT-6022

SYNOPSIS
%s will not do any insertions on %s as this net has been set to dont_touch.

DESCRIPTION
Please confirm if it was intentional to set this net as "dont_touch". 0xample:0o alter the "dont_touch"
setting on a net:set_dont_touch [get_nets <net_name>] false|true
ENCOPT-6022(14.20)

October 2014 608 Product Version 14.20


EDI System Error Message Reference
ENCOPT-6055

518
ENCOPT-6055

NAME
ENCOPT-6055

SYNOPSIS
The following cells have a "dont-touch" property but without being "dont-use". This could lead to
optimization problems if a cell is inserted by the tool but then cannot be modified. Please check the
dont-touch and dont-use settings for these cells within your libraries.

DESCRIPTION
0xample:0o alter these settings on library cells use:setDontUse <cell- name> false |
trueset_dont_touch [get_lib_cells <cell-name>] false | true
ENCOPT-6055(14.20)

October 2014 609 Product Version 14.20


EDI System Error Message Reference
ENCOPT-6056

519
ENCOPT-6056

NAME
ENCOPT-6056

SYNOPSIS
Option "-extractionEngine" for command setSIMode is obsolete. This option has been mapped to
the following option based on your selection of extraction engine for use in SI flow: %s Modify your
script to use the above setting instead of setSIMode -extractionEngine.

DESCRIPTION
How do I control which extractor is used to generate spef file? It seems the behavior of
setExtractRCMode -engine and -effortLevel have changed indicated by the warnings above. Using
setExtractRCMode options -engine and -effortLevel to control which extractor is used by extrac- tRC
is described below. The -engine option indicates whether to use the preRoute or postRoute
extraction engine. The -engine values default, detail and CCE are now obsolete.
Use -engine preRoute when the design has not been detail routed by NanoRoute yet. This is
equivalent to -engine default in previous ver- sions of Encounter. When -engine preRoute is set RC
extraction is done by the fast density measurements of the surrounding wires; coupling is not
reported. Use -engine postRoute after the design has been detail routed by NanoRoute.RC
extraction is done by the detailed measurement of the dis- tance to the surrounding wires; coupling
is reported. The effortLevel parameter further specifies which postroute engine is used for balanc-
ing performance versus accuracy needs.
The -effortLevel value controls which extractor is used when the postRoute engine is used.
low - Invokes the native detailed extraction engine. This is the same as specifying the -engine
postRoute setting. medium - Invokes the Turbo QRC (TQRC) extraction mode. TQRC perfor- mance
and accuracy falls between native detailed extraction and IQRC engine. This engine supports
distributed processing. TQRC engine is recommended for process nodes < 65nm. Note: This
setting does not require a QRC license. high - Invokes the Integrated QRC (IQRC) extraction
engine. IQRC provides superior accuracy compared to TQRC. IQRC is recommended for extraction

October 2014 610 Product Version 14.20


EDI System Error Message Reference
ENCOPT-6056

after ECO. In addition, IQRC supports distributed process- ing.Note: IQRC requires a QRC license.
signoff - Invokes the Standalone QRC extraction engine. This engine choice provides the highest
accuracy. The engine has several runModes, thereby,providing maximum flexibility.
The default value depends on the value of setDesignMode. The default for nodes above 65nm is
low. TQRC (effortLevel medium) is the default extraction engine in the postroute flow for 65 nm and
below design. However, TQRC and IQRC do not support the obsoleted 3 corner flow
(defineRCCorner flow) and require a QRCTechfile. Therefore, Native Detailed (effortLevel low)
engine remains the default engine if no QRCTechfile has been defined or if the defineRCCorner
command has been used.
Should you use Turbo QRC (tQRC) or standalone QRC?
The extraction time with tQRC is typically a small percentage of the total flow time. With standalone
QRC, the runtime would be longer. Standalone QRC requires the usage of a QRC license (tQRC
uses the EDI license). It writes out a DEF and invokes the standalone tool. It is slower than tQRC. It
is not incremental like tQRC, so the runtime impact may be high. tQRC is incremental and if
changes are small, extraction runtime drops to almost zero. The delta between standalone QRC
and tQRC in terms of accuracy is typically small, so there is usu- ally no flow advantage or end QoR
gain by doing it. Cadence's suggestion is to use TurboQRC for 65nm and below nodes in the
postRoute flow, and for signoff use standalone QRC. Note: setSIMode -extractionEngine is
obsolete and extraction during SI fixing will use the values of setExtractRCMode -engine and -
effortLevel to determine the extractor to use.
ENCOPT-6056(14.20)

October 2014 611 Product Version 14.20


EDI System Error Message Reference
ENCOPT-6064

520
ENCOPT-6064

NAME
ENCOPT-6064

SYNOPSIS
honorFixedStatus is set to false. Fixed instance %s will be deleted.

DESCRIPTION
This warning message is issued when the user tries to delete a fixed instance using
'ecoDeleteRepeater' command.
Example: When the 'setEcoMode -honorFixedStatus false' and the user tries to delete a fixed
Buffer/Inverter using 'ecoDeleteRepeater -inst <inst_name>' command the tool issues above
warning and deletes the specified Buffer/Inverter.
ENCOPT-6064(14.20)

October 2014 612 Product Version 14.20


EDI System Error Message Reference
ENCOPT-6072

521
ENCOPT-6072

NAME
ENCOPT-6072

SYNOPSIS
Timing after commit may not match evaluate timing as spef for net %s is disabled with
"evaluateOnly".

DESCRIPTION
This warning message in EDI indicates that the RC for this particular net is not available, even if the
SPEF is loaded. Please note that in the absence of SPEF, evaluation timings might not match the
timing that you get after commit. 0xample:0*WARN: (ENCOPT-6072): Timing after commit may not
match evalu- ate timing as spef for net n1 is disabled.---- Cell: BUFX1 ----Through- object Slack:
8.067Global Slack: 4.913Max Tran Slack: 2.25470For exam- ple, in this case the net 'n1' does not
have detail parasitics:0 get_property [get_net n1] has_detailed_parasiticsfalse0ou would not get
this warning message if you read the parasitics for this net, like using below example:0 rcOut -spef
test.spef> spefIn test.spef0ou can also check the parasitics for this net is now available.0
get_property [get_net n1] has_detailed_parasiticstrue0unning ecoAddRepeater now would not
issue above warning message:0 ecoAddRepeater -net n1 -cell BUFX1 -evaluateOnlyUsing master
clock 'ck' for generated clock 'gck'Calculate delays in Single mode...Topological Sorting (CPU =
0:00:00.0, MEM = 325.7M)Number of Loop : 0Start delay calculation (mem=325.660M)...Delay
calculation completed. (cpu=0:00:00.0 real=0:00:00.0 mem=325.660M 0)*** CDM Built up
(cpu=0:00:00.0 real=0:00:00.0 mem= 325.7M) ***---- Cell: BUFX1 ----Through-object Slack:
8.067Global Slack: 4.913Max Tran Slack: 2.25470
ENCOPT-6072(14.20)

October 2014 613 Product Version 14.20


EDI System Error Message Reference
ENCOPT-6080

522
ENCOPT-6080

NAME
ENCOPT-6080

SYNOPSIS
AAE-SI Optimization can only be turned on when the timing analysis mode is set to OCV.

DESCRIPTION
The Advanced Analysis Engine (AAE) requires timing analysis to be run in On-Chip Variation
(OCV) mode. To enable OCV mode run: setAnalysisMode -analysisType onChipVariation With
OCV mode you typically want to enable Common Path Pessimism Removal (CPPR) as well:
setAnalysisMode -cppr both
ENCOPT-6080(14.20)

October 2014 614 Product Version 14.20


EDI System Error Message Reference
ENCOPT-6089

523
ENCOPT-6089

NAME
ENCOPT-6089

SYNOPSIS
Cannot delete instance %s as instance is resize only.

DESCRIPTION
This message is reported when user tried to delete an instance which have"ResizeOnly" attribute.
This property was set by optDesign with the option setOptMode -sizeOnlyFile <file>, where <file> is
user define list of cell to have this attribute.
Remove ResizeOnly property for the instance to delete. The property can be removed as follows:
set inst B1 dbDelProp [dbGet -p [dbGet -p top.insts.name $inst].props.name ResizeOnly]
Note : starting 14.2 these property will be revised to a new scheme.
Example: Once the "resizeOnly" attributes get removed , we can now delete the buffer using
"ecoDeleteRepeater" command , as shown below: ecoDeleteRepeater -inst B1
ENCOPT-6089(14.20)

October 2014 615 Product Version 14.20


EDI System Error Message Reference
ENCOPT-6095

524
ENCOPT-6095

NAME
ENCOPT-6095

SYNOPSIS
ecoDeleteRepeater cannot delete instance %s as cell %s failed buffer sanity check. Specify the
correct buffer/inverter instances to be deleted.

DESCRIPTION
This error comes when ecoDeleteRepeater does not find the specified instance as a buffer/inverter.
In order to get rid of this error use should do the following:
1. Check the name of buffer/inverter instance specified. 2. Dump out the footprint of the cell of
instance using reportFootPrint command. If the cell is not interpreted as buffer/inverter check .lib for
Buffer/Inverter footprint and function.
ENCOPT-6095(14.20)

October 2014 616 Product Version 14.20


EDI System Error Message Reference
ENCOPT-6108

525
ENCOPT-6108

NAME
ENCOPT-6108

SYNOPSIS
Used -term with -relativeDistToSink option set to value 1. Ignoring -relativeDistToSink option.

DESCRIPTION
This warning message is issued when the user tries to run ecoAd- dRepeater command with '-term'
option and using '-relativeDistToSink 1'. Relative distance to sink 1 means that the repeater needs
to be placed close to driver. If not all sink terms of the net are specified with -term option, -
relativeDistToSink option is ignored.
ENCOPT-6108(14.20)

October 2014 617 Product Version 14.20


EDI System Error Message Reference
ENCOPT-6111

526
ENCOPT-6111

NAME
ENCOPT-6111

SYNOPSIS
The location {%.2f %.2f} specified in -loc option is outside the design boundary. Command %s will
ignore the -loc option.

DESCRIPTION
This message comes when user specifies a location outside the design boundary. In order to get rid
of this message user should make sure the instance location within the design boundary. The
design boundary can be checked using dbGet top.fplan.box.
ENCOPT-6111(14.20)

October 2014 618 Product Version 14.20


EDI System Error Message Reference
ENCOPT-6116

527
ENCOPT-6116

NAME
ENCOPT-6116

SYNOPSIS
ECO operation to delete a buffer fails if the buffer is a feedthrough buffer.

DESCRIPTION
Feedthrough buffers deletion is prohibited by the tool as in some cases, this will result in an assign
statement, which is prohibited by default. This check is strict and will also prevent feedthrough
buffer deletion on cases that don't require assign creation.
To remove this error in 13.x, user should add -allowCreateAssign option to ecoDeleteRepeater
(source is CCR 1043429) In 14.1, this should apparently be fixed through HECO, still according to
the same CCR.
Example: [DEV]encounter 3> ecoDeleteRepeater -inst physi-
cal_lake_3/digrf_1/digrf_core_inst/regsp_top/digrf_regsp/FE_MHHOLD_ECO_DB100o_6489
**ERROR: (ENCOPT-6116): Cannot delete instance physi-
cal_lake_3/digrf_1/digrf_core_inst/regsp_top/digrf_regsp/FE_MHHOLD_ECO_DB100o_6489 as
instance is a feed through buffer. **WARN: (ENCOPT-3129): Unable to delete instance physi-
cal_lake_3/digrf_1/digrf_core_inst/regsp_top/digrf_regsp/FE_MHHOLD_ECO_DB100o_6489.
ENCOPT-6116(14.20)

October 2014 619 Product Version 14.20


EDI System Error Message Reference
ENCOPT-6206

528
ENCOPT-6206

NAME
ENCOPT-6206

SYNOPSIS
Net %s is a special net.

DESCRIPTION
This Warning message is issued when user tries to run interactive ECO using ecoChangeCell,
ecoDeleteRepeater or ecoAddRepeater commands when one of the pins of the cell is connected to
a special net.
ENCOPT-6206(14.20)

October 2014 620 Product Version 14.20


EDI System Error Message Reference
ENCOPT-7063

529
ENCOPT-7063

NAME
ENCOPT-7063

SYNOPSIS
Leakage flow is enabled with an inactive power view "%s". EDI is switching to stateless leakage
flow with set_power_analysis_mode -state_dependent_leakage false. To avoid this message in
future either set the state_dependent_leakage to false or add the view supplied to
set_power_analysis_mode to the active set of views using the set_analy- sis_view command.

DESCRIPTION
There are no further details for this message
ENCOPT-7063(14.20)

October 2014 621 Product Version 14.20


EDI System Error Message Reference
ENCOPT-7071

530
ENCOPT-7071

NAME
ENCOPT-7071

SYNOPSIS
The distributed optimization engine is obsolete and has been replaced by the GigaOpt optimization
engine which is default in this release. Please update your scripts to remove any explicit settings of
'setDe- layCalMode -engine feDc'or 'setDelayCalMode -engine signalStorm' so you get the default
Advanced Analysis Engine (AAE) delay calculator to enable the GigaOpt engine.

DESCRIPTION
feDC delay calculation engine is not available in EDI 14.1. When"setDe- layCalMode -engine
feDC" is executed, this error is seen. In EDI 14.1,default delay calculation engine is AAE. If feDC
delay calculation is required,revert to EDI 13.2.
Example: **ERROR: (ENCOPT-7071): The distributed optimization engine is obsolete and has
been replaced by the GigaOpt optimization engine which is default in this release. Please update
your scripts to remove any explicit settings of 'setDelayCalMode -engine feDc' or 'setDelay-
CalMode -engine signalStorm' so you get the default Advanced Analysis Engine (AAE) delay
calculator to enable the GigaOpt engine. **ERROR: Batch process failed.
ENCOPT-7071(14.20)

October 2014 622 Product Version 14.20


EDI System Error Message Reference
ENCOPT-7075

531
ENCOPT-7075

NAME
ENCOPT-7075

SYNOPSIS
Timing data-to-data checks are present and will be disabled during optimization. To enable data-to-
data checks during optimization use'setOptMode -enableDataToDataChecks true'.

DESCRIPTION
Timing data-to-data checks are found in the design and are disabled during optimization so that
optimization focuses on regular data-to- clock timing checks. To enable data-to-data checks during
optimization use 'setOptMode-enableDataToDataChecks true'.
ENCOPT-7075(14.20)

October 2014 623 Product Version 14.20


EDI System Error Message Reference
ENCOPT-7077

532
ENCOPT-7077

NAME
ENCOPT-7077

SYNOPSIS
Some of the LEF equivalent cells have different ANTENNAGATEAREA/ANTEN-
NADIFFAREA/PINS etc... attributes. They will not be swapped for fixed instances and for lefsafe
operations like optLeakagePower in postroute mode. To find out what cells are LEF equivalent use
the findLefEquiva- lentCells command.

DESCRIPTION
Due to differences between cells in the design physical library such as OBS,PINS,
ANTENNAGATE, ANTENNADIFF etc... swapping is not allowed for such instances. For more
information use the new findLefEquivalentCells command. It requires the name of a LEF cell to
check, and optionally an output file for the results:findLefEquivalentCells -cells <lib_cell> -outfile
<out_file> During normal timing optimization, optDesign will resize instances as required.Cells of
different sizes but the same function are not equiva- lent, because you cannot safely swap one for
the other without risk of overlap or other DRV.Commands like optLeakagePower, or timing
optimiza- tion on fixed instances, will attempt what is known as "lefsafe" swap- ping, where you
replace one cell with another of the same size, pin geometry, antenna gate area, etc. You can do
this postRoute without risk of overlaps or need to re-legalize the placement.If two cells have the
same function and size but are not lefsafe,findLefEquivalentCells will explain why.
Example: findLefEquivalentCells -cells INVXL -outfile myfile -------------------------------------------------------
------- LEF Equivalent cells { INVXL } Non-LEF Equivalent cells of same size but different pin
geometries { INVX1 } -------------------------------------------------------------- findLefEquivalentCells -cells
DFND4BWP22P90 -------------------------------------------------------------- LEF Equivalent cells {
DFND4BWP22P90 DFND4BWP22P90ULVT } --------------------------------------------------------------
ENCOPT-7077(14.20)

October 2014 624 Product Version 14.20


EDI System Error Message Reference
ENCPP-170

533
ENCPP-170

NAME
ENCPP-170

SYNOPSIS
The power planner failed to create a wire at (%.2f, %.2f) (%.2f, %.2f) because same wire already
exists.

DESCRIPTION
There are no further details for this message
ENCPP-170(14.20)

October 2014 625 Product Version 14.20


EDI System Error Message Reference
ENCPP-193

534
ENCPP-193

NAME
ENCPP-193

SYNOPSIS
The currently specified %s spacing %.4f %s might create min enclosed area violation. The required
min enclosed area for layer %s is %.4f. If violation happens, increase the spacing to around %.4f.
The recommended spacing is the square root of min enclosure area.

DESCRIPTION
There are no further details for this message
ENCPP-193(14.20)

October 2014 626 Product Version 14.20


EDI System Error Message Reference
ENCPP-220

535
ENCPP-220

NAME
ENCPP-220

SYNOPSIS
The power planner does not create core rings outside the design bound- ary. Check the design
boundary, or specify valid offsets.

DESCRIPTION
There are no further details for this message
ENCPP-220(14.20)

October 2014 627 Product Version 14.20


EDI System Error Message Reference
ENCPP-333

536
ENCPP-333

NAME
ENCPP-333

SYNOPSIS
%s can not be selected at the same time as stripe boundary. The power planner will generate
stripes only over %s.

DESCRIPTION
Selected objects can not be stripe boundary at the same time in one addStripe command. Check
the selected objects if stripes are not gener- ated in desired one.
ENCPP-333(14.20)

October 2014 628 Product Version 14.20


EDI System Error Message Reference
ENCPP-354

537
ENCPP-354

NAME
ENCPP-354

SYNOPSIS
The power planner did not generate %s stripe at %.2f either because the stripe is merged with rings,
or because stripe could not be connected to any legal targets, or because stripe is blocked by
different net geometry.

DESCRIPTION
There are no further details for this message
ENCPP-354(14.20)

October 2014 629 Product Version 14.20


EDI System Error Message Reference
ENCPP-358

538
ENCPP-358

NAME
ENCPP-358

SYNOPSIS
The %s edge of the area you specified is out of design boundary and only stripes in design
boundary will be generated. Use option -extend_to_closest_target {area_boundary} if stripes must
be generated in specified area.

DESCRIPTION
There are no further details for this message
ENCPP-358(14.20)

October 2014 630 Product Version 14.20


EDI System Error Message Reference
ENCPP-362

539
ENCPP-362

NAME
ENCPP-362

SYNOPSIS
The area specified in option -area intersects both the default and non- default power domains. The
power planner will create stripes only over the default power domain.

DESCRIPTION
When area specified in option -area intersects both the default domain and nondefault domains,
power planner only creates stripes over default domain. If stripes are desired to be generated in
nondefault domain, the specified area should be refined.
ENCPP-362(14.20)

October 2014 631 Product Version 14.20


EDI System Error Message Reference
ENCPP-527

540
ENCPP-527

NAME
ENCPP-527

SYNOPSIS
ViaGen failed to modify via %s.

DESCRIPTION
This message may be reported because specified via size violates rules. Reasonable values
should be specified.
ENCPP-527(14.20)

October 2014 632 Product Version 14.20


EDI System Error Message Reference
ENCPP-543

541
ENCPP-543

NAME
ENCPP-543

SYNOPSIS
Inconsistent cut size definition in VIARULE '%s' and '%s'.

DESCRIPTION
This warning indicates that there are multiple via sizes on the same cut layer without cut class
definition.
ENCPP-543(14.20)

October 2014 633 Product Version 14.20


EDI System Error Message Reference
ENCPP-557

542
ENCPP-557

NAME
ENCPP-557

SYNOPSIS
A single-layer VIARULE GENERATE for turn-vias is obsolete and is being ignored. Remove this
statement from LEF file: VIARULE %s GENERATE.

DESCRIPTION
In old versions, special turn vias were defined to fill the corner with metal when a special route
changed directions. Turn vias are no longer required in EDI System as the router automatically
makes a proper con- nection.
ENCPP-557(14.20)

October 2014 634 Product Version 14.20


EDI System Error Message Reference
ENCPP-613

543
ENCPP-613

NAME
ENCPP-613

SYNOPSIS
Any via in the intersection area will cause DRC violation, no via was created %s

DESCRIPTION
There are no further details for this message
ENCPP-613(14.20)

October 2014 635 Product Version 14.20


EDI System Error Message Reference
ENCPP-4033

544
ENCPP-4033

NAME
ENCPP-4033

SYNOPSIS
The net '%s' is not a primary but secondary net of the power domain %s.

DESCRIPTION
Tool can generate stripes of secondary net of a power domain. This mes- sage is to warn user for
checking whether the net setting is right.
ENCPP-4033(14.20)

October 2014 636 Product Version 14.20


EDI System Error Message Reference
ENCPPR-638

545
ENCPPR-638

NAME
ENCPPR-638

SYNOPSIS
In line %d of constraint file '%s', the PAIR constraint does not have available bump or instance
name, so it will be ignored.

DESCRIPTION
At least a PAIR constraint should have one available bump and one available instance for the net.
ENCPPR-638(14.20)

October 2014 637 Product Version 14.20


EDI System Error Message Reference
ENCPPR-641

546
ENCPPR-641

NAME
ENCPPR-641

SYNOPSIS
In line %d of constraint file '%s', bump or IO instance '%s' cannot be found or not suitable for
viewBumpConnection, so it will be ignored for net '%s'.

DESCRIPTION
The instance or bump name, pin name or port number does not exist; the net of IO port is different
from PAIR net; or the IO port is too small or narrow.
ENCPPR-641(14.20)

October 2014 638 Product Version 14.20


EDI System Error Message Reference
ENCPSO-109

547
ENCPSO-109

NAME
ENCPSO-109

SYNOPSIS
addPowerSwitch failed. Please check the log for the error messages.

DESCRIPTION
There are no further details for this message
ENCPSO-109(14.20)

October 2014 639 Product Version 14.20


EDI System Error Message Reference
ENCPSO-123

548
ENCPSO-123

NAME
ENCPSO-123

SYNOPSIS
Option -continuePattern must be specified with -globalPattern option.

DESCRIPTION
In power switch ring insertion, Option "-continuePattern 1" should be used along with -globalPattern
option so that the power switch inser- tion pattern continues along the power domain edges. If "-
continePat- tern 1" option is not specified, the pattern specified by -globalPat- tern stops at the edge
and a new pattern starts from next edge.
Example: In below example, a global pattern of switches is defined using -glob- alPattern and same
will continue around the power domain switch_pd as option -continuePattern is specified.
addPowerSwitch -ring .br -powerDomain switch_pd .br -enablePinIn {enIn} -enablePinOut {enOut}
.br -enableNetIn "Ctrl1_ip_0" .br -enableNetOut "power_en_out" .br -globalSwitchCellName
{{PSW65_1 sw1} {PSW65_2 sw2}} .br -globalPattern {sw1 sw2 sw2 sw1} .br -globalFillerCellName
{FILLERcell} .br -continuePattern 1
ENCPSO-123(14.20)

October 2014 640 Product Version 14.20


EDI System Error Message Reference
ENCPSO-133

549
ENCPSO-133

NAME
ENCPSO-133

SYNOPSIS
Power and/or Ground pin of inserted power switches might not be con- nected power or ground
nets. Please check the CPF/power intent.

DESCRIPTION
When power switches are added in design, the power and ground pins are also logically connected
to power and ground nets based on CPF/power intent specification. In case this specification is
found to be missing during power switch insertion using addPowerSwitch this warning message is
shown.
Example: To debug this issue, user should check below CPF specifications for debug: Example :
create_power_domain -name PD_VIRTUAL update_power_domain -name PD_VIRTUAL -
primary_power_net VDDR -primary_ground_net VSS
create_power_domain -name PD3 -instances inst1/b -shutoff_condition {pse3} -base_domains
PD_VIRTUAL
create_power_switch_rule -name psr_pd3 -domain PD3 -external_power_net VDDR
update_power_switch_rule -name psr_pd3 -cells HDRDID1BWPHVT -prefix CDN_SW_PD3_
ENCPSO-133(14.20)

October 2014 641 Product Version 14.20


EDI System Error Message Reference
ENCPSO-134

550
ENCPSO-134

NAME
ENCPSO-134

SYNOPSIS
Adding power switches to an always on power domain.

DESCRIPTION
The power switches are supposed to be added for a switchable power domain for which -
shufoff_condition is defined in the CPF. This warning message is showed in case where power
domain specified in-powerDomain option of addPowerSwitch does not have shutoff condition
specified in CPF file.
Example: To debug this issue, please check create_power_domain specification of the power
domain specified in addPowerSwich.
addPowerSwitch -powerDomain PD2 -column ...
## In CPF , there is no shutoff condition. create_power_domain -name PD2 -instances inst1/a
ENCPSO-134(14.20)

October 2014 642 Product Version 14.20


EDI System Error Message Reference
ENCPSO-136

551
ENCPSO-136

NAME
ENCPSO-136

SYNOPSIS
Power domain is not yet placed or is not inside the design boundary. Please use
modifyPowerDomainAttr or setObjFPlanBox to modify the floor- plan of the power domain.

DESCRIPTION
There are no further details for this message
ENCPSO-136(14.20)

October 2014 643 Product Version 14.20


EDI System Error Message Reference
ENCPSO-155

552
ENCPSO-155

NAME
ENCPSO-155

SYNOPSIS
CPF option -acknowledge_receiver of update_power_switch_rule ignored due to -enableNetOut
option specified.

DESCRIPTION
This warning will be seen when there is -acknowledge_receiver_pin is defined in
update_power_switch_rule for the power domain specified in addPowerSwitch command. In case -
enableNetOut is not specified in addPowerSwitch command, then the last enable net out from last
power switch cell will get auto connected to the pin specified in acknowl- edge_receiver_pin. ( Here
assumption is that there will be single enable net out from power switches) Since user is overriding
this spec by giving -enableNetOut, this warning is given.
Example: addPowerSwitch -powerDomain PD -ring -globalSwitchCellName CDN_RING_SW .br -
enablePinIn NSLEEPIN -enableNetIn Net1 -enablePinOut NSLEEPOUT .br -enableNetOut n_5 -
globalOffset 10 -switchModuleInstance mod11
**WARN: (ENCPSO-155): CPF option -acknowledge_receiver update_power_switch_rule ignored
due to -enableNetOut option specified. If you intended for the CPF -acknowledge_receiver
definition to be hon- ored, do not use the -enableNetOut option.
ENCPSO-155(14.20)

October 2014 644 Product Version 14.20


EDI System Error Message Reference
ENCPSO-170

553
ENCPSO-170

NAME
ENCPSO-170

SYNOPSIS
Fewer power switches inserted than specified on side: %s

DESCRIPTION
This warning message is seen during power switch ring insertion when user specifies number
power switches to be inserted per power domain side. In case user specifies more number of power
switches than the number that can be placed on a specific power domain edge/side, this warning
message will be seen.
Example: Here user did ring type power switch insertion on power domain HEAD and specified 4
switches per side. But on top and bottom edge only 3 switches were inserted and so the warning
message.
Number of switches inserted for all sides: 4 3 4 3 **WARN: (ENCPSO-170): Fewer switches
inserted than specified on side: 2 (Top) : 3 < 4 **WARN: (ENCPSO-170): Fewer switches inserted
than specified on side: 4 (Bottom) : 3 < 4 Total number of switches added to HEAD : 14
ENCPSO-170(14.20)

October 2014 645 Product Version 14.20


EDI System Error Message Reference
ENCPSO-186

554
ENCPSO-186

NAME
ENCPSO-186

SYNOPSIS
The specified module in -switchModuleInstance is in a different domain: %s

DESCRIPTION
From the warning message it means that, the module user specified in- switchModuleInstance does
not belong to the power domain user want to add using addPowerSwitch -powerDomain. User
need to correct the '-switchModuleInstancea' or update your CPF if user miss defining the instance
in power domain.
Example: addPowerSwitch -powerDomain PD_sw1 -column .br -globalSwitchCellName
HDswHVT .br -switchModuleInstance INST/U_CPU_core/u_wrapper1 .br
(Where PD_sw1a's hier. instance name is: INST/U_CPU_core/u_wrapper, see the 1 at the end)
**WARN: (ENCPSO-186): The specified module in -switchModuleInstance is in a different domain:
PD_default != PD_sw1 ...
ENCPSO-186(14.20)

October 2014 646 Product Version 14.20


EDI System Error Message Reference
ENCPSO-187

555
ENCPSO-187

NAME
ENCPSO-187

SYNOPSIS
The specified module in -switchModuleInstance domain and -powerDomain are different: %s

DESCRIPTION
From the warning message, the module user specified does not belong to the power domain user
want to add power switches i.e. as specified in addPowerSwitch -powerDomain. User need to
correct the '-switchModule- Instancea', or update CPF if used missed defining the instance in power
domain.
Example: addPowerSwitch -powerDomain PD_sw1 -ring .br -globalSwitchCellName HDswHVT .br
-switchModuleInstance INST/soc_core/u_wrapper1 .br
(Where PD_sw1a's hierarchical instance name is: INST/soc_core/u_wrapper , see the 1 in end of
instance)
**WARN: (ENCPSO-187): The specified -switchModuleInstance domain and -powerDomain are
different: PD_default != PD_sw1.
ENCPSO-187(14.20)

October 2014 647 Product Version 14.20


EDI System Error Message Reference
ENCPSO-188

556
ENCPSO-188

NAME
ENCPSO-188

SYNOPSIS
The power switches are added in domain %s which is different from the -powerDomain %s. You
can use -switchModuleInstance to specify a correct module hierachy of the power domain.

DESCRIPTION
By default , addPowerSwitch will insert power switch instances into top design if user doesn't
provide -switchModuleInstance . When the default domain of the top design is not equal to -
powerDomain , we will meet this message that the power switch instance is added into a domain
which is different from-powerDomain . It's required to specify -switch- ModuleInstance to ensure that
the power switch instances are inserted to the correct logic of the power domain.
ENCPSO-188(14.20)

October 2014 648 Product Version 14.20


EDI System Error Message Reference
ENCPSO-192

557
ENCPSO-192

NAME
ENCPSO-192

SYNOPSIS
The specified -enableNetIn is different from the cpf rule net: %s. The net name defined in cpf rule
will be ignored. Please correct the net name if it's not the expected enable input net.

DESCRIPTION
If you have already specified update_power_switch_rule -enable_condi- tion or
create_power_domain -shutoff_condition in your CPF file, you do not need to specify this
parameter. update_power_switch_rule -enable_condition takes precedence over
create_power_domain -shut- off_condition for enable net In connection. This message appears
when the net name defined in -enableNetIn is different from the net in the power switch rule in the
cpf file. You need to check if it's a correct net and correct it either in -enableNetIn or
update_power_switch_rule in cpf file.
ENCPSO-192(14.20)

October 2014 649 Product Version 14.20


EDI System Error Message Reference
ENCPSO-206

558
ENCPSO-206

NAME
ENCPSO-206

SYNOPSIS
First instance is not placed because it was blocked at location: %s. Please use offset options in
addPowerSwitch to place the power switch instance in an availabe location.

DESCRIPTION
addPowerSwitch will try to insert the power switch instances according to the offset options in
addPowerSwitch. The issue usually appears when the offset value is not suitable. Please check the
location in the mes- sage and tune the offset value to fix the issue.
ENCPSO-206(14.20)

October 2014 650 Product Version 14.20


EDI System Error Message Reference
ENCPSO-306

559
ENCPSO-306

NAME
ENCPSO-306

SYNOPSIS
row @%s with site %s is not covered by a switch. Type 'man ENCPSO-306' for more details.

DESCRIPTION
The message could happen in addPowerSwitch or verifyPowerSwitch. addPowerSwitch will verify
row coverage at end of a column insertion and print which row is not covered by a switch. You can
turn it off with the option "-noRowVerify" in addPowerSwitch. You can use "addPow- erSwitch -
incremental -area" to insert the power switch to the location if you need the row to be covered by a
switch.
ENCPSO-306(14.20)

October 2014 651 Product Version 14.20


EDI System Error Message Reference
ENCPSO-627

560
ENCPSO-627

NAME
ENCPSO-627

SYNOPSIS
Option '-reportViolationsOnly' for optPowerSwitch command is obsolete and has been replaced by
'-reportOnly'. The obsolete option still works in this release, but to avoid this warning and to ensure
compatibility with future releases, update your script to use '-reportOnly'.

DESCRIPTION
This option '-reportViolationsOnly' of CMD 'optPowerSwitch' still works in this release(14.1), but to
avoid this warning and to ensure compati- bility with future releases, user should update the script
to use option '-reportOnly'.
Example: If use below CMD and option in TCL file, ENCPSO-627 will exist.
<CMD> optPowerSwitch -reportViolationsOnly WARNING (ENCPSO-627): Option '-
reportViolationsOnly' for optPower- Switch command is obsolete and has been replaced by '-
reportOnly'. The obso- lete option still works in this release, but to avoid this warning and to ensure
compatibility with future releases, update your script to use '-repor- tOnly'.
This WARN will disappear after modify the TCL file to below: <CMD> optPowerSwitch -reportOnly
ENCPSO-627(14.20)

October 2014 652 Product Version 14.20


EDI System Error Message Reference
ENCPSO-800

561
ENCPSO-800

NAME
ENCPSO-800

SYNOPSIS
Power switch verification completed with %s. Please check the issue in above messages.

DESCRIPTION
verifyPowerSwitch will print all the warning messages after verifica- tion is completed and will
summarize the total warning numbers. Please check the issues in the above messages.
ENCPSO-800(14.20)

October 2014 653 Product Version 14.20


EDI System Error Message Reference
ENCPSO-806

562
ENCPSO-806

NAME
ENCPSO-806

SYNOPSIS
Number of warnings on domain %s. Please check the issues in above warn- ing messages.

DESCRIPTION
Print the total number of warnings in the end of verifyPowerSwitch. User can check the issues in
above warning messages.
ENCPSO-806(14.20)

October 2014 654 Product Version 14.20


EDI System Error Message Reference
ENCPSO-808

563
ENCPSO-808

NAME
ENCPSO-808

SYNOPSIS
Power switch instance pin is floating: %s. It's usually caused by addPowerSwitch could not find a
available enable net to connect to the pin. Please use rechainPowerSwitch to reconnect the power
switch instance pins.

DESCRIPTION
The warning message usually appears when addPowerSwitch is completed. Please check the
enable net connections of the power switch instances in the message. Use rechainPowerSwitch or
update options in addPower- Switch to fix the issue.
ENCPSO-808(14.20)

October 2014 655 Product Version 14.20


EDI System Error Message Reference
ENCPSO-809

564
ENCPSO-809

NAME
ENCPSO-809

SYNOPSIS
Power switch chain forms a loop involving the following: %s. The enable signal loops maybe
formed accidently during enable net chaining. Please check the enable net connections of the
power switch chain.

DESCRIPTION
The message appears when verifyPowerSwitch -checkLoop to report if power switch enable chain
forms a loop.
ENCPSO-809(14.20)

October 2014 656 Product Version 14.20


EDI System Error Message Reference
ENCPSO-906

565
ENCPSO-906

NAME
ENCPSO-906

SYNOPSIS
Option -unchainByInstances of command addPowerSwitch will become obso- lete in the next major
release as same option function is now available command rechainPowerSwitch -
unchainByInstances. The obsolete option still works in this release, but to avoid this warning and to
ensure compatibility with future release, update the scripts to use the com- mand
rechainPowerSwitch-unchainByInstances.

DESCRIPTION
The power switch enable chain and unchain feature was first implemented as part of
addPowerSwitch command.Now the power switch chain and unchain feature options are available
in command rechainPowerSwitch.
ENCPSO-906(14.20)

October 2014 657 Product Version 14.20


EDI System Error Message Reference
ENCPSO-907

566
ENCPSO-907

NAME
ENCPSO-907

SYNOPSIS
Option -chainByInstances of command addPowerSwitch will become obsolete in the next major
release as same option function is now available under command rechainPowerSwitch -
chainByInstances. The obsolete option still works in this release, but to avoid this warning and to
ensure compatibility with future release, update the scripts to use the command
rechainPowerSwitch-chainByInstances.

DESCRIPTION
The power switch enable net chain and unchain feature options were pre- viously made available
using addPowerSwitch command. But later this functionality was moved to new command
rechainPowerSwitch.So its recom- mended for user to use the feature with rechainPowerSwitch
command
ENCPSO-907(14.20)

October 2014 658 Product Version 14.20


EDI System Error Message Reference
ENCPTN-90

567
ENCPTN-90

NAME
ENCPTN-90

SYNOPSIS
Pin %s of abutted partition %s could not be assigned. Could not find a feasible slot for the pin.
Create more feasible location before for pin assignment by inserting feedthrough buffers using
insertPtnFeedthrough command or allowing more layers for assigning pins or using setPinAs-
signMode -strict_abutment false for relaxing this check.

DESCRIPTION
In order to resolve this issue, do the following before pin assign- ment:#1 In abutted designs, pins of
following type can not be placed on common edges between two adjoining partitions. A. Pins of net
not con- nected to adjacent partition (fences of the two partitions being con- nected are not touching
each other in floorplan). B. Pins of nets hav- ing connections to two or more partitions any pin
belonging to net of above type will have to route over an unconnected partition and result in illegal
routing. Feedthrough step is required to get rid of pins which connect non-adjacent partitions or
multiple partitions, by chang- ing netlist and making all pins connect to only one pin on adjacent
partition to make the routing of net feasible/legal #2. Create addi- tional location for pins A. By
removing blockages b. By removing PG stripes c. Allow more layers for pin assignment i. By using
the definePartition command to allow more layers ii. By choosing higher layer for
setPinAssignMode -maxLayer #3. Use setPinAssignMode -strict_abutment false to relax abutment
violations checks for placing multi partition pin of a net and non neighbor pins of a net on abutted
edges. Example: -------- eg. if pins are not assigned for a net which has more than two partition pins
to connect use insertPtnFeedthrough command to change netlist to have new nets added and older
net modified in way that, now nets only connect two pins of adjacent partitions only.
ENCPTN-90(14.20)

October 2014 659 Product Version 14.20


EDI System Error Message Reference
ENCPTN-100

568
ENCPTN-100

NAME
ENCPTN-100

SYNOPSIS
The net %s is not connected to any terminal. This net will not be con- sidered for feedthrough buffer
insertion. Correct the netlist to get this net considered for feedthrough buffer insertion.

DESCRIPTION
An unconnected net will not be considered for feedthrough insertion. The net should be connected
to an output port and an input port to be considered for feedthrough insertion.
ENCPTN-100(14.20)

October 2014 660 Product Version 14.20


EDI System Error Message Reference
ENCPTN-426

569
ENCPTN-426

NAME
ENCPTN-426

SYNOPSIS
Adjusting partition %s core to left from %f to %f because specified core spacing value is not multiple
of placement grid.

DESCRIPTION
This is happening because the core spacing values specified (I/O to core distance of partition block)
are not multiple of placement grid. Same issue for ENCPTN-427, ENCPTN-428 and ENCPTN-429
based or the side. Example: -------- <CMD> definePartition -hinst uCORE/uPKTSS/uPK-
TSS_PPCS_PMA_SYS -coreSpacing {2.7 2.7 1.9 1.9} -reservedLayer {1 2 3 4 5 6 7 8} -routingHalo
1.9 -routingHaloTopLayer 7 -routingHaloBottom- Layer 1 -placementHalo {2.7 2.7 1.9 1.9} -railWidth
0.1 -minPitchLeft 3 -minPitchRight 3 -minPitchTop 3 -minPitchBottom 3 -pinLayerTop {3 5} -
pinLayerBottom {3 5} -pinLayerLeft {4 6} -pinLayerRight {4 6} Creating partition
PKTSS_PPCS_PMA_SYS. **WARN: (ENCPTN-426): Adjusting partition
PKTSS_PPCS_PMA_SYS core to left from 1.900000 to 2.025000. **WARN: (ENCPTN-427):
Adjusting partition PKTSS_PPCS_PMA_SYS core to right from 1.900000 to 2.025000. **WARN:
(ENCPTN-428): Adjusting partition PKTSS_PPCS_PMA_SYS core to top from 2.700000 to
2.850000. **WARN: (ENCPTN-429): Adjusting partition PKTSS_PPCS_PMA_SYS core to bottom
from 2.700000 to 2.850000. 0lacement grid is at 0.135 x-direction, 0.095 y-direction.
ENCPTN-426(14.20)

October 2014 661 Product Version 14.20


EDI System Error Message Reference
ENCPTN-427

570
ENCPTN-427

NAME
ENCPTN-427

SYNOPSIS
Adjusting partition %s core to right from %f to %f because specified core spacing value is not
multiple of placement grid.

DESCRIPTION
During partitioning, the warnings above are issued. How does Encounter determine these
adjustments? Encounter snaps the partition coreBox (area where rows are created and placement
can be done) boundary to the placement grid. The remaining difference between coreBox and
partition box is adjusted in core to right, core to top values. Example: -------- **WARN: (ENCPTN-
427): Adjusting partition lbrx_top_0 core to right from 0.000000 to 0.100000.
ENCPTN-427(14.20)

October 2014 662 Product Version 14.20


EDI System Error Message Reference
ENCPTN-428

571
ENCPTN-428

NAME
ENCPTN-428

SYNOPSIS
Adjusting partition %s core to top from %f to %f because specified core spacing value is not multiple
of placement grid.

DESCRIPTION
During partitioning, the warnings above are issued. How does Encounter determine these
adjustments? Encounter snaps the partition coreBox (area where rows are created and placement
can be done) boundary to the placement grid. The remaining difference between coreBox and
partition box is adjusted in core to right, core to top values. Example: -------- **WARN: (ENCPTN-
428): Adjusting partition lbrx_top_0 core to top from 0.000000 to 3.680000.
ENCPTN-428(14.20)

October 2014 663 Product Version 14.20


EDI System Error Message Reference
ENCPTN-555

572
ENCPTN-555

NAME
ENCPTN-555

SYNOPSIS
A feasible legal location was not found for %d (out of %d) pins. Conse- quently, the following pins
could not be assigned:

DESCRIPTION
{In order to resolve this issue, do the following before pin assign- ment:1. Create additional location
by removing blockages or by using the definePartition command. 2. Insert feedthrough buffers using
the insertPtnFeedthrough command.}
ENCPTN-555(14.20)

October 2014 664 Product Version 14.20


EDI System Error Message Reference
ENCPTN-646

573
ENCPTN-646

NAME
ENCPTN-646

SYNOPSIS
insertPtnFeedthrough is trying to find a feedthrough path for net %s. It could not find a path to
partition or terminal [%s]. Partitions con- nected to this net may not be adjacent to each other.

DESCRIPTION
Automatic feedthrough insertion derives the feedthrough topology using the placement. It assumes
a channel-less design. The possibility of routing through channels is considered minimal. In this
design a path to a partition or terminal connected to the above mentioned net could not be found
without avoiding the channels.
ENCPTN-646(14.20)

October 2014 665 Product Version 14.20


EDI System Error Message Reference
ENCPTN-647

574
ENCPTN-647

NAME
ENCPTN-647

SYNOPSIS
insertPtnFeedthrough skipping net [%s] because a path to some of the partitions or terminals could
not be found. It might not be necessary to insert feedthrough buffers for this net. If you wish to insert
feedthrough buffers for this net, then use the topology file for guided feedthrough buffer insertion or
use the -routeBased option after rout- ing the net.

DESCRIPTION
insertPtnFeedthrough assumes the design to be channel-less and parti- tions to be in the line of
sight for feedthrough path to pass from one partition to another. In case of channel based designs
where this is not true, the named net is ignored. In case feedthrough insertion is required for the net,
either route the design and used insertPtn- Feedthrough -routeBased or define the path for the net
in a topology file and use insertPtnFeedthrough -topoFile <filename>0xam- ple:0------
0rialRoute0nsertPtnFeedthrough -routeBased0r0nsertPtn- Feedthrough -topoFile <filename>
ENCPTN-647(14.20)

October 2014 666 Product Version 14.20


EDI System Error Message Reference
ENCPTN-652

575
ENCPTN-652

NAME
ENCPTN-652

SYNOPSIS
The pushdownBuffer command cannot create an instance with name [%s%s]. An instance with this
name already exists in the design. Using default prefix and name [%s] for this instance.

DESCRIPTION
This message is issued if the pushdownBuffer uses the prefix provided with the -prefix option and
the resulting name has a conflict with an existing instance name. No action needs to be taken as it
will make another name with the default prefix.
ENCPTN-652(14.20)

October 2014 667 Product Version 14.20


EDI System Error Message Reference
ENCPTN-780

576
ENCPTN-780

NAME
ENCPTN-780

SYNOPSIS
Selected pin assignment: could not assign %d (out of %d) pins because feasible legal location was
not found for following pins:

DESCRIPTION
{In order to resolve this issue, do the following before pin assign- ment:1. Create additional location
by removing blockages or by using the definePartition command. 2. Insert feedthrough buffers using
the insertPtnFeedthrough command.}
ENCPTN-780(14.20)

October 2014 668 Product Version 14.20


EDI System Error Message Reference
ENCPTN-882

577
ENCPTN-882

NAME
ENCPTN-882

SYNOPSIS
The insertPtnFeedthrough command was invoked with the -routeBased option, but the design has
not yet been routed. The insertPtn- Feedthrough command will skip the nets that are not routed.
Route the design using trialRoute for these nets to be considered for feedthrough insertion.

DESCRIPTION
The insertPtnFeedthrough command invoked with the -routeBased option requires routing for the
net to find a path based on which it will insert the feedthrough ports.0he net reported is not routed so
it gets ignored. Route the design prior to running this command. The trialRoute command can be
used to route the design.0therwise insertPtnFeedthrough should be invoked without using the -
routeBased option in which case the command will try to find a path for the net based on the
floorplan and placement (placement based feedthrough insertion).0xam- ple:0------
0rialRouteinsertPtnFeedthrough -routeBased.
ENCPTN-882(14.20)

October 2014 669 Product Version 14.20


EDI System Error Message Reference
ENCPTN-946

578
ENCPTN-946

NAME
ENCPTN-946

SYNOPSIS
Pin named [%s] does not exist in cell [%s]. Ignoring the pin. Check and correct the pin name.

DESCRIPTION
Pin name specified for the specified partition does not exist. Check the pin name and partition name
and correct accordingly. Problem could be case sensitivity. Command requires exact name which is
case sensi- tive or incorrect manipulation of "alphabet l or numeric 1" "likewise "alphabet o and
numeric 0" Example: -------- Pin name could be "isCas- eSensitive" but pin name supplied could be
"iscasesensitive"
ENCPTN-946(14.20)

October 2014 670 Product Version 14.20


EDI System Error Message Reference
ENCPTN-1250

579
ENCPTN-1250

NAME
ENCPTN-1250

SYNOPSIS
Pin placement has been enabled on metal layer 1.

DESCRIPTION
You have this message because you have enabled metal layer 1 for pin placement. However, metal
layer 1 is generally reserved for follow pins. Make sure follow pins are already routed, to ensure that
the pins do not block follow pins creation. Example: -------- setPinAssignMode -minLayer 1 enables
pins in M1
ENCPTN-1250(14.20)

October 2014 671 Product Version 14.20


EDI System Error Message Reference
ENCPTN-1520

580
ENCPTN-1520

NAME
ENCPTN-1520

SYNOPSIS
Pin [%s] of partition [%s] cannot be placed at the constrained location [%0.2f %0.2f] due to a
blocked pin slot close to the location. Placing the pin at location [%0.2f %0.2f].

DESCRIPTION
There are no further details for this message
ENCPTN-1520(14.20)

October 2014 672 Product Version 14.20


EDI System Error Message Reference
ENCPTN-1605

581
ENCPTN-1605

NAME
ENCPTN-1605

SYNOPSIS
Unsupported data '%s' for '%s'. This is probably due to data is gener- ated from new version of
software.

DESCRIPTION
There are no further details for this message
ENCPTN-1605(14.20)

October 2014 673 Product Version 14.20


EDI System Error Message Reference
ENCPTN-1669

582
ENCPTN-1669

NAME
ENCPTN-1669

SYNOPSIS
Ptn %s does not have any reserved slots for assigning ptn pins. Check the allowed layers for the
partition and make sure that layers based on preferred routing tracks are reserved.

DESCRIPTION
This warning message is issued while assigning pins on a partition using the EDI GUI with Partition
=> Assign Pin... or when using the assignPtnPin Tcl command. 0he floorplan likely contains a
problem with routing tracks and/or pin layer definitions. The problem can be debugged graphically
using: Partition => Specify Partition Select the offending partition and review the Partition Pin Layer
Used section. Make sure the layers defined for pins are included in the Layers Reserved For
Partition. Corrections may be made and applied with this form. check the min max layer allowed
though getPinAssignMode another reason could be presence of route blockage or PG on partition
edge blocking the routing tracks. Next, confirm there are preferred routing tracks defined for the pin
layers using the Layer Control => Track => Pref Track and the Wire&Via layer defined for the pins. If
the tracks are incorrect, they may be regenerated using the "generateTracks" Tcl command.
Example: -------- getPinConstraint -cell c -side all -layer Constraint on partition c : Allowed layer on
side [top] : 2 4 6 Allowed layer on side [left] : 3 5 Allowed layer on side [bottom] : 2 4 6 Allowed layer
on side [right] : 3 5 0etPinAssignMode -minLayer -maxLayer -minLayer 2 # string, default=2 -
maxLayer 15 # string, default=15 {minLayer 2} {maxLayer 15} 0ook for the Tracks in a section with:
Track: 0hile corrections can be made to the tmp.fp file and reloaded with the "loadFPlan tmp.fp" Tcl
command, it is generally easier to do make changes with the EDI GUI.
ENCPTN-1669(14.20)

October 2014 674 Product Version 14.20


EDI System Error Message Reference
ENCPTN-1699

583
ENCPTN-1699

NAME
ENCPTN-1699

SYNOPSIS
Selective-pin-assignment by specifying just partition name(s) to com- mand assignPtnPin is
obsolete and will be removed in future releases. The old usage still works in this release, but to
avoid this warning and to ensure compatibility with future releases, replace the obsolete usage with
"assignPtnPin -ptn -pin ".

DESCRIPTION
To avoid this warning and to ensure compatibility with future releases, replace the obsolete usage
with 'assignPtnPin -ptn <ptnName> -pin <pin- Name>' Example: -------- * The following command
assign pins name starting with "in" of partition "A" and pins name starting with "out" of partition "B"
assignPtnPin -ptn {A} -pin {in*} -ptn {B} -pin {out*} * The following command accept a file pinLst.txt
that contains the list of pins to be placed for partition A and partition B. assignPtnPin -ptn A -ptn B -
pin_file pinLst.txt
ENCPTN-1699(14.20)

October 2014 675 Product Version 14.20


EDI System Error Message Reference
ENCPTN-1704

584
ENCPTN-1704

NAME
ENCPTN-1704

SYNOPSIS
The options [-row] and [-bringBackRow] are obsolete. Rows are brought back automatically, without
using any of these options. To avoid this warning and ensure compatibility with future releases,
update your script to not use any of these options.

DESCRIPTION
This messages is issued when obsolete options are used.Using these options will have no impact
in this case. Example: -------- eg. use flattenPartition
ENCPTN-1704(14.20)

October 2014 676 Product Version 14.20


EDI System Error Message Reference
ENCPTN-1708

585
ENCPTN-1708

NAME
ENCPTN-1708

SYNOPSIS
The cell [%s] has unplaced instantiation [%s]. Partition cannot be cre- ated for this cell. Place all the
instances of this cell and create partition.

DESCRIPTION
There are no further details for this message
ENCPTN-1708(14.20)

October 2014 677 Product Version 14.20


EDI System Error Message Reference
ENCPTN-1717

586
ENCPTN-1717

NAME
ENCPTN-1717

SYNOPSIS
The specifyPartition command will be obsolete in the next release. Use the definePartition
command to define the partitions.

DESCRIPTION
The message occurs because you are using 'specifyPartition' which is obsolete.Please use the
'definePartition' command to define the parti- tions.Example: -------- The following example defines a
parti- tion:definePartition -hinst ctr_inst -coreSpacing 0.56 0.56 0.0 0.0 -railWidth 0.0 -minPitchLeft 2
-min- PitchRight 2 -minPitchTop 2 -minPitchBottom 2 -reservedLayer {1 2 3 4} -pinLayerTop {2 4} -
pinLayer- Left {3} -pinLayerBottom {2 4} -pinLayerRight {3} -placementHalo 1.0 1.0 1.0 1.0 -
routingHalo 1.0 -routing- HaloTopLayer 7 -routingHaloBottomLayer 1
ENCPTN-1717(14.20)

October 2014 678 Product Version 14.20


EDI System Error Message Reference
ENCQTF-4005

587
ENCQTF-4005

NAME
ENCQTF-4005

SYNOPSIS
Cannot find item '%s' in form '%s'.This is probably an inner GUI action error.Use direct TCL
command instead of GUI interactive.

DESCRIPTION
{DETAILMESSAGE}
ENCQTF-4005(14.20)

October 2014 679 Product Version 14.20


EDI System Error Message Reference
ENCQTF-4044

588
ENCQTF-4044

NAME
ENCQTF-4044

SYNOPSIS
Error happens when execute '%s' with error message: '%s'.This is proba- bly an inner GUI action
error.Use direct TCL command instead of GUI interactive.

DESCRIPTION
{DETAILMESSAGE}
ENCQTF-4044(14.20)

October 2014 680 Product Version 14.20


EDI System Error Message Reference
ENCREPO-102

589
ENCREPO-102

NAME
ENCREPO-102

SYNOPSIS
Instance %s of the cell %s has no physical library or has wrong dimen- sion values (<=0). Check
your design setup to make sure the physical library is loaded in and the attributes specified in
physical library are correct.

DESCRIPTION
There are no further details for this message
ENCREPO-102(14.20)

October 2014 681 Product Version 14.20


EDI System Error Message Reference
ENCRM-128

590
ENCRM-128

NAME
ENCRM-128

SYNOPSIS
Total %d bad sites (size is not multiple of H/V pitch).

DESCRIPTION
There are no further details for this message
ENCRM-128(14.20)

October 2014 682 Product Version 14.20


EDI System Error Message Reference
ENCRM-143

591
ENCRM-143

NAME
ENCRM-143

SYNOPSIS
%s is not defined on cut layer "%s" in the technology LEF. VIARULE GEN- ERATE values will be
used instead. The generated vias, using the VIARULE GENERATE values, are probably not
optimal for signal routing and pin access.

DESCRIPTION
This command requires correct enclosure rules to auto-generate correct vias. This warning reports
that enclosure rules are not defined on the layer and/or for the cut class, so the cut enclosure values
in the VIARULE GENERATE statement will be used. This is not recommended usage except for
old LEF technology files (LEF version 5.5 and older), because the VIARULE values are often larger
than the DRC rules require, which will cause the vias to be too large. Routing results with these
vias will likely be more congested and produce longer wires. Check the LAYER section of the
technology LEF (the first file listed in the init_lef_file variable), to ensure enclosure rules are defined
cor- rectly.Please note: the ENCLOSURE rule is used by generateVias, not ENCLOSUREEDGE
rule. You can look at the LEF manual to find the correct syntax. Example: **WARN: (ENCRM-143):
ENCLOSURE ABOVE for CUTCLASS VSINGLECUT is not defined on cut layer "VIA4" in the
technology LEF. VIARULE GEN- ERATE values will be used instead. The generated vias, using
the VIARULE GENERATE values, are probably not optimal for signal routing and pin access.
0xample: 0------ 0xample of ENCLOSURE rule used by generateVias: 0ROPERTY
LEF58_ENCLOSURE 0 ENCLOSURE CUTCLASS VX ABOVE 0 0.03 ; ENCLOSURE
CUTCLASS VX ABOVE 0.02 0.02 ; ENCLOSURE CUTCLASS VX BELOW 0 0.03 ; ENCLOSURE
CUTCLASS VX BELOW 0.02 0.02 ; ENCLOSURE CUTCLASS VXBAR ABOVE END 0.02 SIDE
0.02 ; ENCLOSURE CUTCLASS VXBAR ABOVE END 0.03 SIDE 0.01 ; ENCLOSURE
CUTCLASS VXBAR ABOVE END 0.04 SIDE 0 ; ENCLOSURE CUTCLASS VXBAR BELOW
END 0.02 SIDE 0.02 ; ENCLOSURE CUTCLASS VXBAR BELOW END 0.03 SIDE 0.01 ;
ENCLOSURE CUTCLASS VXBAR BELOW END 0.04 SIDE 0 ; 0 ;

October 2014 683 Product Version 14.20


EDI System Error Message Reference
ENCRM-143

0f the ENCLOSURE rule is missing, the VIARULE GENERATE value will be used: 0IARULE
M2_M1 GENERATE LAYER M1 ; ENCLOSURE 0.03 0.03 ; WIDTH 0.05 TO 4.5 ; LAYER M2 ;
ENCLOSURE 0.03 0.03 ; WIDTH 0.05 TO 4.5 ; LAYER VIA1 ; RECT -0.025 -0.025 0.025 0.025 ;
SPACING 0.13 BY 0.13 ; 0ND M2_M1
ENCRM-143(14.20)

October 2014 684 Product Version 14.20


EDI System Error Message Reference
ENCRM-148

592
ENCRM-148

NAME
ENCRM-148

SYNOPSIS
Command 'generateVias' is normally used for debugging and testing. The vias are only generated
in this EDI session, and will not be kept dur- ing save/restore for nanoroute to use the next time. Use
'setGenerate- ViaMode Cauto true' before 'init_design' or 'restoreDesign' if you want generated vias
to be used by nanoroute in later EDI sessions.

DESCRIPTION
Command 'generateVias' can generate all the vias for signal routing automatically, including single
cut vias, double cut vias, MAR vias, and even DFM vias. It is used in the EDI flow, to help insure
good routing results. Users don't need to manually create vias to meet the complex rules in the tech
LEF, 'genereateVias' will consider the rules (including non-default rules) and create the vias
needed for routing. Since the vias are only generated in current EDI session, and will not be kept
during save/restore for NanoRoute to use the next time, this command is only used for debugging
and testing. The standard usage flow is to set 'setGenerateViaMode -auto true' before 'init_design'
or 'restoreDesign'. It will call 'generateVias' whenever the design is initialized or restored in
encounter. This mode option setting can be saved in database by saveDesign. You can get more
information about 'setGenerateViaMode' by 'man setGenerateViaMode'.
0xample: 0------ 0ebug flow example: restoreDesign generateVias -deleteViaBeforeGeneration all
routeDesign 10tandard usage flow example: setGenerateViaMode -auto true -
deleteViaBeforeGeneration all restoreDesign routeDesign
ENCRM-148(14.20)

October 2014 685 Product Version 14.20


EDI System Error Message Reference
ENCSC-1001

593
ENCSC-1001

NAME
ENCSC-1001

SYNOPSIS
Unable to trace scan chain "%s". Check the information during tracing.

DESCRIPTION
Possible reason for scan trace failure is that instance in the scan chain has multiple corresponding
pins for scan tracing.
How to solve the problem:
1. Use a Scan DEF file to define the exact instance pins scanTrace should trace through. Most
modern synthesis tools can export a Scan DEF file which can then be imported into EDI System
using the defIn command: defIn scanchain.def
2. If the design contains bidirectional pads, try defining the start/stop points of the scan chain on the
core side of the pad so the tracer does not have to trace through the pad.
3. If instances in the scan chain has complex logic, set "setScanRor- derMode -compLogic true"
before scanTrace.
Example: -------- If the message is preceded with the following warnings: **WARN: (ENCSC-1020):
Instance's output pin "reg_1/Z" (Cell "DFFX12") has multiple corresponding input pins for scan
tracing. Please either specify the instance in the DEF scanchain, or use "setScanReorderMode -
compLogic true". Otherwise scan trace may not succeed.
Then set setScanRorderMode -compLogic true prior to scan tracing. This will enable an advanced
algorithm for tracing complex logic (gates with multiple inputs)
ENCSC-1001(14.20)

October 2014 686 Product Version 14.20


EDI System Error Message Reference
ENCSC-1010

594
ENCSC-1010

NAME
ENCSC-1010

SYNOPSIS
During incremental tracing, scan chain "%s" cannot trace from "%s" to "%s". Perform regular trace.

DESCRIPTION
Incremental tracing will perform register to register tracing without other scan flops in between.
Check if any other scan flops were inserted between them in the flow.
ENCSC-1010(14.20)

October 2014 687 Product Version 14.20


EDI System Error Message Reference
ENCSC-1020

595
ENCSC-1020

NAME
ENCSC-1020

SYNOPSIS
Instance's output pin "%s/%s" (Cell "%s") has multiple corresponding input pins for scan tracing.
Either specify the instance in the DEF scanchain, or use "setScanReorderMode -compLogic true".
Otherwise, scan trace may not succeed.

DESCRIPTION
There are no further details for this message
ENCSC-1020(14.20)

October 2014 688 Product Version 14.20


EDI System Error Message Reference
ENCSC-1116

596
ENCSC-1116

NAME
ENCSC-1116

SYNOPSIS
The start or stop point of scan chain "%s" specified in scan DEF could not be found in DB. This
chain will be ignored.

DESCRIPTION
There are no further details for this message
ENCSC-1116(14.20)

October 2014 689 Product Version 14.20


EDI System Error Message Reference
ENCSC-1135

597
ENCSC-1135

NAME
ENCSC-1135

SYNOPSIS
In scan chain "%s" DEF ordered section, buffers or logics following scan instance "%s" are
corrected to match the netlist.

DESCRIPTION
There are no further details for this message
ENCSC-1135(14.20)

October 2014 690 Product Version 14.20


EDI System Error Message Reference
ENCSC-1138

598
ENCSC-1138

NAME
ENCSC-1138

SYNOPSIS
In scan chain "%s" DEF ordered section, buffers or logics following scan instance "%s" are
corrected to match the netlist. Check and modify your input scan DEF to avoid such message.

DESCRIPTION
This message is generated when the original SCAN DEF does not match the design netlist. One
possible reason this may happen is because of an ECO operation which adds a buffer to a scan
chain for hold fixing.
Example -------- Sample SCAN DEF (excerpt) : ... ... u1/u2/u3/reg_0_6 ( IN SI ) ( OUT Q )
u1/u2/u3/reg_0_7 ( IN SI ) ( OUT Q ) u1/u2/u3/reg_0_8 ( IN SI ) ( OUT Q ) ...
Adding a buffer between reg_0_7 and reg_0_8 (to possibly fix a hold violation) will generate the
above message.
ENCSC-1138(14.20)

October 2014 691 Product Version 14.20


EDI System Error Message Reference
ENCSC-1151

599
ENCSC-1151

NAME
ENCSC-1151

SYNOPSIS
In DEF scan chain "%s", " %s ( IN %s ) ( OUT %s ) " is skipped because the %s cannot be found in
DB.

DESCRIPTION
There are no further details for this message
ENCSC-1151(14.20)

October 2014 692 Product Version 14.20


EDI System Error Message Reference
ENCSE-31

600
ENCSE-31

NAME
ENCSE-31

SYNOPSIS
Tool name list given is not a proper TCL list: '%s'.

DESCRIPTION
"Please correct the list and try again."
ENCSE-31(14.20)

October 2014 693 Product Version 14.20


EDI System Error Message Reference
ENCSE-32

601
ENCSE-32

NAME
ENCSE-32

SYNOPSIS
Failed to locate '%s' in Cadence Online Support documents.

DESCRIPTION
"The documents may not be installed correctly, or the content you are searching for is not in the
documents. Try searching for a command you know exists to see if the installation is correct. If that
fails, look at the User Guide Installation chapter for how to install the documents."
ENCSE-32(14.20)

October 2014 694 Product Version 14.20


EDI System Error Message Reference
ENCSIP-3210

602
ENCSIP-3210

NAME
ENCSIP-3210

SYNOPSIS
Illegal extension for Stacked Die configure file name '%s'. Use .xml file extension.

DESCRIPTION
There are no further details for this message
ENCSIP-3210(14.20)

October 2014 695 Product Version 14.20


EDI System Error Message Reference
ENCSIP-6072

603
ENCSIP-6072

NAME
ENCSIP-6072

SYNOPSIS
Illegal extension for top level netlist verilog file name '%s'. Use .v file extension.

DESCRIPTION
There are no further details for this message
ENCSIP-6072(14.20)

October 2014 696 Product Version 14.20


EDI System Error Message Reference
ENCSP-101

604
ENCSP-101

NAME
ENCSP-101

SYNOPSIS
setPlaceMode Option '%s' is obsolete in current release. Use setPlace- Mode option '%s' instead to
access the same functionality.

DESCRIPTION
In EDI some setPlaceMode options are made obsolete because another set- PlaceMode option is
developed to provide better functionality or usability. This message tells user that equivalent
setPlaceMode option %s should be used instead of obsolete setPlaceMode option %s.
ENCSP-101(14.20)

October 2014 697 Product Version 14.20


EDI System Error Message Reference
ENCSP-105

605
ENCSP-105

NAME
ENCSP-105

SYNOPSIS
'setPlaceMode -maxRouteLayer' will become obsolete from next release. Use 'setTrialRouteMode -
maxRouteLayer N' to set maximum routing layer.

DESCRIPTION
The message occurs because there is a command usage to set maximum routing layer, which is
'setTrialRouteMode -maxRouteLayer N'. The original command 'setPlaceMode -maxRouteLayer'
will become obsolete from next release. Please update your script with the new command usage.
Example: -------- The following example sets the maximum routing layer to metal 7:
encounter> setTrialRouteMode -maxRouteLayer 7
ENCSP-105(14.20)

October 2014 698 Product Version 14.20


EDI System Error Message Reference
ENCSP-159

606
ENCSP-159

NAME
ENCSP-159

SYNOPSIS
Instance '%s' is not inserted in section.

DESCRIPTION
There are no further details for this message
ENCSP-159(14.20)

October 2014 699 Product Version 14.20


EDI System Error Message Reference
ENCSP-182

607
ENCSP-182

NAME
ENCSP-182

SYNOPSIS
Placeable area is 0. Stopping placement.

DESCRIPTION
This error is issued during placeDesign. Placement does not proceed when the available space for
placing instances is zero. This can be confirmed through the following message from placeDesign
which shows the allocated area is 0: Density for the design = 2311140.000. = stdcell_area 2311140
(330225 um^2) / alloc_area 0 (0 um^2).
Please check if the design is completely blocked by placement blockage. User may find that a
placement blockage cover the block. Also, make sure that there are sites / rows defined in the
design.
ENCSP-182(14.20)

October 2014 700 Product Version 14.20


EDI System Error Message Reference
ENCSP-183

608
ENCSP-183

NAME
ENCSP-183

SYNOPSIS
Design has %s associated with some modules. So software will override the current '0' value of '-
keepEmptyModule' of import_mode. Empty modules will not be deleted.

DESCRIPTION
Default value 1 of -keepEmptyModule, is being applied to this mode option, as deleting an empty
module which has constraint or power-domain asso- ciated with it can result in DB integrity issues.
Hence, to ensure that soft- ware does not seg-fault, this option is being reverted back to its default
value.
ENCSP-183(14.20)

October 2014 701 Product Version 14.20


EDI System Error Message Reference
ENCSP-259

609
ENCSP-259

NAME
ENCSP-259

SYNOPSIS
**Info: (ENCSP-259): %s %s was not on the placement grid. It has been moved from (%d,%d) to
(%d,%d).

DESCRIPTION
There are no further details for this message
ENCSP-259(14.20)

October 2014 702 Product Version 14.20


EDI System Error Message Reference
ENCSP-263

610
ENCSP-263

NAME
ENCSP-263

SYNOPSIS
Cannot find access pin for fterm '%s' (cell '%s').

DESCRIPTION
This warning message is generated when there is no pin geometry for a cell's pin defined in the
LEF file. This needs to be corrected so the router can route to the pin. Review the LEF being read in
for this cell and confirm the physical shape of the pin is described in the PORT statement.
Example: -------- Warning message was generated when running placeDesign.
The RECT statement below describes the geometry of the pin shape. If this is missing, the warning
above is issued:
MACRO INVD1 PIN I DIRECTION INPUT ; PORT LAYER M1 ; RECT .5 2 1 2.5 ; END END I
If the pin is defined properly in the LEF, confirm this LEF file is specified in the configuration file and
is read in before an antenna LEF files for this cell.
ENCSP-263(14.20)

October 2014 703 Product Version 14.20


EDI System Error Message Reference
ENCSP-270

611
ENCSP-270

NAME
ENCSP-270

SYNOPSIS
Cannot find a legal location for MASTER CELL '%s'.

DESCRIPTION
Possible reasons for not finding any legal location for a cell are:
1. Cell's techSite has no rows in floorplan. To fix - re-init floorplan
2. Width of cell is too large for the dense power stripes' pitch. Make sure that stripe's layer is not
being treated as obstruction - setPlaceMode -prerouteAsObs setting may need to change.
ENCSP-270(14.20)

October 2014 704 Product Version 14.20


EDI System Error Message Reference
ENCSP-281

612
ENCSP-281

NAME
ENCSP-281

SYNOPSIS
Physical library for inst '%s' of cell type '%s' is not defined. Most probably the .lef file is not input for
the cell type %s. Input the .lef library for cell type %s and re-run placement.

DESCRIPTION
There are no further details for this message
ENCSP-281(14.20)

October 2014 705 Product Version 14.20


EDI System Error Message Reference
ENCSP-288

613
ENCSP-288

NAME
ENCSP-288

SYNOPSIS
Power domain %s has no fence constraint. This power domain will be ignored ...

DESCRIPTION
There are no further details for this message
ENCSP-288(14.20)

October 2014 706 Product Version 14.20


EDI System Error Message Reference
ENCSP-300

614
ENCSP-300

NAME
ENCSP-300

SYNOPSIS
No layer1-to-layer2 spacing rule specified for any Implant layer in- use. Ignoring -
honorImplantSpacing option.

DESCRIPTION
-honorImplantSpacing has no effect unless there is more than one Implant layer, and there is a
spacing rule between different Implant layers, and your cell data has the corresponding Implant
layer shapes in them.
Placer does not look at same-layer spacing rules for implant layers. It only enforces rules for
spacing between different implant layers. -honorImplantSpacing will be ignored until spacing rules
between dif- ferent implant layers are added to your technology rules.
For LEF, look for SPACING rules on the TYPE IMPLANT layers; and ensure that a spacing rule to a
different layer exists as per syntax and exam- ple below: SPACING minSpacing [LAYER
layerName2] ;
SPACING 0.28 LAYER HVT ;
For OpenAccess rules, look for the oacMinClearanceRule on the implant layers.
ENCSP-300(14.20)

October 2014 707 Product Version 14.20


EDI System Error Message Reference
ENCSP-307

615
ENCSP-307

NAME
ENCSP-307

SYNOPSIS
**Info: (ENCSP-307): Design contains fractional %d cell%s.

DESCRIPTION
Fractional cells are cells where the width is not an integer multiple of the site and/or the height is not
an integer multiple of the row height.
You can use command "checkDesign -physicalLibrary" to get a list of cells considered fractional.
ENCSP-307(14.20)

October 2014 708 Product Version 14.20


EDI System Error Message Reference
ENCSP-308

616
ENCSP-308

NAME
ENCSP-308

SYNOPSIS
**Info: (ENCSP-308): Cell %s is fractional: %d:%d

DESCRIPTION
Given cell's width is not an integer multiple of its techSite width. The values given are <cell-width %
techSite-width> : <techSite-width>
ENCSP-308(14.20)

October 2014 709 Product Version 14.20


EDI System Error Message Reference
ENCSP-309

617
ENCSP-309

NAME
ENCSP-309

SYNOPSIS
Pin %s in cell %s will cause issue in router access. Most probably the enclosure of via is larger than
pin %s. Input the correct library cell %s and re-run placement.

DESCRIPTION
Example: -------- The via enclosure is larger than pin %s. Please correct the library cell %s and re-
run placement.
ENCSP-309(14.20)

October 2014 710 Product Version 14.20


EDI System Error Message Reference
ENCSP-311

618
ENCSP-311

NAME
ENCSP-311

SYNOPSIS
From restore design about %.2f%% of instances are not placed. Most probably the design imported
through DEF file is partially placed. Either run placeDesign to place these instances or re-import
fully placed DEF file.

DESCRIPTION
There are no further details for this message
ENCSP-311(14.20)

October 2014 711 Product Version 14.20


EDI System Error Message Reference
ENCSP-352

619
ENCSP-352

NAME
ENCSP-352

SYNOPSIS
SIZEY %d of SiteCore '%s' is not a multiple of the standard cell height %d. Most likely there is a
problem in floorplan creation and the correct basic site is not being set as default. Check for
warnings from floorplan command, redo row creation and re-run placement again.

DESCRIPTION
There are no further details for this message
ENCSP-352(14.20)

October 2014 712 Product Version 14.20


EDI System Error Message Reference
ENCSP-357

620
ENCSP-357

NAME
ENCSP-357

SYNOPSIS
Could not initialize placement legalization data for power domain %s.

DESCRIPTION
Possible reasons for not initializing placement legalization data for a power domain are:
1. Power domain has no tech site information.
2. Invalid power domain constraint box.
3. Row information missing for the power domain.
ENCSP-357(14.20)

October 2014 713 Product Version 14.20


EDI System Error Message Reference
ENCSP-362

621
ENCSP-362

NAME
ENCSP-362

SYNOPSIS
Site '%s' has '%s'std.Cell height, so ignoring its X-symmetry.

DESCRIPTION
In a floorplan with flipped rows, with supply followpins being shared between rows, the cells of a
single (or odd multiple) row height can- not be flipped in Y-direction, as that would cause power to
short with ground. As a result, the techSite needs to be defined with only symmetry Y, and must not
have symmetry X - allowing cells to be flipped around Y axis (R0->MY), but not X axis (R0->MX).
This message is reported when a core site definition includes the sym- metry X which is typically
not intended. SYMMETRY X means the site is symmetric about the x axis. This means that N and
FS sites are equivalent, and FN and S site are equivalent. A macro with an orientation of N matches
N or FS rows. Typically, this is not intended because it would allow the power rails to not align (i.e.
the cell would be allowed to flip so a VDD rail aligns with a VSS rail). Typically, only time X
symmetry might be used for a site is with double height cells. This message simply is indicating that
Encounter does not believe the X symmetry was intended and therefore ignoring it. To avoid this
message remove the X symmetry from the site. For more information on defining symmetry, please
refer to the "LEF language reference" manual.
Example: -------- Example site definition that causes this warning : -----------------------------------------------
----------------------
SITE core SYMMETRY x y ; CLASS core ; SIZE 0.660 BY 5.040 ; END core
ENCSP-362(14.20)

October 2014 714 Product Version 14.20


EDI System Error Message Reference
ENCSP-364

622
ENCSP-364

NAME
ENCSP-364

SYNOPSIS
Placer could not determine rows for '%s' and will use stdCellHgt for flooded rows. Most probably the
rows were not created during floor- planning. Re-create the rows in floorplan for %s and run
placement again.

DESCRIPTION
There are no further details for this message
ENCSP-364(14.20)

October 2014 715 Product Version 14.20


EDI System Error Message Reference
ENCSP-365

623
ENCSP-365

NAME
ENCSP-365

SYNOPSIS
Design has inst(s) with SITE '%s', but the floorplan has no rows defined for this site. Any locations
found for such insts will be ille- gal; create rows for this site to avoid this.

DESCRIPTION
This warning will be reported by commands such as checkFPlan and placeDesign when the
floorplan does not contain any rows for the specified site. Each standard cell in the LEF should
have a SITE defined for it. Instances of this cell can only be placed in rows defined for this site.
Example: -------- Run the following command(replace RAM_site with your specific site name) to
report the instances which use this site:
dbGet [dbGet -p3 top.insts.cell.site.name RAM_site].name
When you create the initial floorplan, it should automatically create rows for the standard cells
defined in the netlist. You can add additional rows using Floorplan - Row - Create Core Row or
using the createRow text command. If this warning is occurring on standard cells you must define
rows for them or else they will not be placed.
If this problem occurs for hard macros such as memories you can ignore it. Rows are not required
by the hard macros. Remove the SITE definition in the LEF for the hard macros to avoid this
message for them.
If this occurs for IO pads you can also ignore it. Rows for IO pads are optional. You can create IO
rows using Floorplan - Row - Create I/O Row or using the createIoRow text command.
ENCSP-365(14.20)

October 2014 716 Product Version 14.20


EDI System Error Message Reference
ENCSP-368

624
ENCSP-368

NAME
ENCSP-368

SYNOPSIS
Found %d %s mis-aligned rows. Use 'checkFPlan -row' to report their locations.%s

DESCRIPTION
There are no further details for this message
ENCSP-368(14.20)

October 2014 717 Product Version 14.20


EDI System Error Message Reference
ENCSP-374

625
ENCSP-374

NAME
ENCSP-374

SYNOPSIS
Expected %s to have rows of basic site %s, but found %d rows only of other site(s). Check if the
%s's row creation is correct before proceeding.

DESCRIPTION
A floorplan object's basic site is usually the smallest site of all instances to be placed within that
object.
Here, a floorplan object can be a power-domain, a partition, or the entire core of a top-level or block
design.
There should be at least one row of the basic site in a given floorplan object; while other rows can
be of sites whose dimensions are integer multiples of those of its basic site.
In this case, the floorplan object has no rows of its basic site. Thus, instances of the cells using the
basic site will find no legal location within the confines of this floorplan object.
ENCSP-374(14.20)

October 2014 718 Product Version 14.20


EDI System Error Message Reference
ENCSP-433

626
ENCSP-433

NAME
ENCSP-433

SYNOPSIS
%s '%s' has no available space left and will be ignored by placement. Most probably this is caused
due to complete overlapping of this con- straint with fence constraints. Remove the overlapping of
this con- straint and fence constraints and re-run placement with modified con- straints.

DESCRIPTION
There are no further details for this message
ENCSP-433(14.20)

October 2014 719 Product Version 14.20


EDI System Error Message Reference
ENCSP-452

627
ENCSP-452

NAME
ENCSP-452

SYNOPSIS
Density for module '%s' is greater than 100% (%.3f) since the available site area for this module is
smaller than sum of module instance area and blockage area. Most probably this is caused due to
overlapping floorplan constraints such as guides, regions or fences. Correct the floorplanning
constraints for this module and re-run placement again.

DESCRIPTION
This message is to check density of module. If the density of the mod- ule is greater than 100%, it
will issue this message.
placeDesign handles differently based on whether the region is a fence or power domain. In both
cases the user should increase the size of the fence or power domain (PD) to accommodate the
cells.If a PD is not large enough to accommodate the standard cell logic then placeDesign will
reports this message.
Example: -------- (1) Seed file VERSION 1.0 BEGIN SEED name=U_IOP/U_IOP_MPI
createfence=true util=1.1 END SEED (2) <CMD> planDesign -constraints tn_seed.11 **WARN:
(ENCSP-452): Density for module 'U_IOP/U_IOP_MPI' is greater than 100% (1.001) since the
available site area for this module is smaller than sum of module instance area and blockage area.
Most proba- bly this is caused due to overlapping floorplan constraints such as guides, regions or
fences. Correct the floorplanning constraints for this module and re-run placement again.
ENCSP-452(14.20)

October 2014 720 Product Version 14.20


EDI System Error Message Reference
ENCSP-1760

628
ENCSP-1760

NAME
ENCSP-1760

SYNOPSIS
Buffer footprint does not have non-inverting buffers. Using inverter footprint for Virtual IPO. Verify
you have buffers defined in the libraries you have read in and confirm they are usable by running
reportDontUseCells. Run 'setDontUse bufferName false' to enable buffers which are currently
unusable.

DESCRIPTION
This message is issued when placeDesign cannot find any usable buffers during timing driven
placement.
Timing driven placement runs a virtual In-Place Optimization (VIPO) to predict how buffering will be
done in order to achieve a better place- ment. If it cannot find any usable buffers it will use inverters
instead.
Verify that you have: 1. Buffers defined in your library, 2. The timing libraries for the buffers have
been read in, 3. The buffers are usable.
Run reportDontUseCells or reportFootPrint -dontTouchNUse -outfile footprint.rpt
to report don't use cells.
Use the setDontUse command to control usability of cells. To enable a buffer which is currently
unusable, run
setDontUse bufferName false
ENCSP-1760(14.20)

October 2014 721 Product Version 14.20


EDI System Error Message Reference
ENCSP-2002

629
ENCSP-2002

NAME
ENCSP-2002

SYNOPSIS
Density too high (%.1f%%), stopping detail placement.

DESCRIPTION
The most likely reasons for excessive density are:
1. The presence of unfixed hard macros in the design.
2. Too many instances being inserted without legal locations.
3. The design being filled with filler cells.
ENCSP-2002(14.20)

October 2014 722 Product Version 14.20


EDI System Error Message Reference
ENCSP-2020

630
ENCSP-2020

NAME
ENCSP-2020

SYNOPSIS
Cannot find a legal location for instance '%s' (Cell %s).

DESCRIPTION
Possible reasons are: 1. Width of instance's cell is too large for the dense power stripes' pitch. Make
sure that stripe's layer is not being treated as obstruction -setPrerouteAsObs setting may need a
change. 2. Floor- plan rows are created incorrectly, making rows for this instance's cell not be
placeable. Check correct basic site is set in floorplan creation. 3. Power/Ground followpin pre-
routes do not match rows' orientations. Either re-create the rows, or re-route followpin wires.
This will cause Run time issues during detail placement step called by placeDesign or refinePlace.
Example: -------- This issue can be seen when there is a problem with globalNetConnects. If the
power/ground pins are not properly connected to the rail, then the rail appears as obstruction and
the cells cannot be legalized. The issue can be fixed by having proper orientation of rows in the
floor-plan and by [having] or re-routing follow pin wires matching row orientation.
For example, M2 followpin width is too large which causes spacing vio- lations with M2 pins/obs of
certain cells no matter where these cells are placed. This causes long runtimes during legalization
and the resulting placement will not be illegal. In order to debug this turn off DRC checking dur- ing
placeDesign or refinePlace using setPlaceMode -checkCellDRCFromPreRoute false. Once design
is placed turn on DRC checking, run checkPlace and look for DRC violations on these cells
between M2 pins/obs and follow pin. Correct the follow pin routing to make sure the DRC violations
are gone between cells and fol- low pin. Rerun placeDesign/refinePlace again for legalized
placement.
Check for verifyGeometry for Std. cell check and make sure your Std. cell is in Sync. with Tech file,
to avoid legalization issues.

October 2014 723 Product Version 14.20


EDI System Error Message Reference
ENCSP-2020

ENCSP-2020(14.20)

October 2014 724 Product Version 14.20


EDI System Error Message Reference
ENCSP-2021

631
ENCSP-2021

NAME
ENCSP-2021

SYNOPSIS
Could not legalize <%d> instances in the design. Check warning message ENCSP-270 or ENCSP-
2020 in log file for more details.

DESCRIPTION
There are no further details for this message
ENCSP-2021(14.20)

October 2014 725 Product Version 14.20


EDI System Error Message Reference
ENCSP-2702

632
ENCSP-2702

NAME
ENCSP-2702

SYNOPSIS
There is no techSite '%s' in the library.

DESCRIPTION
The message is reported when a stand cell library does not have core site definition. To fix the
warning check std cell lef and correct the core site.
Example: --------
ENCSP-2702(14.20)

October 2014 726 Product Version 14.20


EDI System Error Message Reference
ENCSP-2704

633
ENCSP-2704

NAME
ENCSP-2704

SYNOPSIS
No GA fillers have been detected in the design for replacement. Any new GA cells will remain
unplaced.

DESCRIPTION
This error message is issued when no physical-only instances of the specified GA filler cell type(s)
are present in the design. For ecoPlace to make use gate array fillers they must be physical-only.
Example: --------
ENCSP-2704(14.20)

October 2014 727 Product Version 14.20


EDI System Error Message Reference
ENCSP-2707

634
ENCSP-2707

NAME
ENCSP-2707

SYNOPSIS
TechSite '%s' used by the GAFiller list does not have rows in the design.

DESCRIPTION
The message is reported when the corresponding core site rows are not created, Check and create
the corresponding rows to over come this warning. Use createRow -site <Site name>.
Example: --------
ENCSP-2707(14.20)

October 2014 728 Product Version 14.20


EDI System Error Message Reference
ENCSP-2901

635
ENCSP-2901

NAME
ENCSP-2901

SYNOPSIS
%i %s height segment%s too small to resolve.

DESCRIPTION
Segments that are too small to resolve are likely caused by fixed cells that are too close together.
These cells must be manually adjusted, or unfixed so they may be moved by addFillerGap.
ENCSP-2901(14.20)

October 2014 729 Product Version 14.20


EDI System Error Message Reference
ENCSP-2902

636
ENCSP-2902

NAME
ENCSP-2902

SYNOPSIS
%i %s height segment%s impossible to resolve.

DESCRIPTION
Segments that are impossible to resolve have an amount of free space that is nonzero and less
than the provided minGap value. These seg- ments may be resolved by enabling the 'medium' effort
mode of addFillerGap. These segments may still be impossible to resolve under 'medium' effort if
no nearby segments can be found for cell movement or swapping.
ENCSP-2902(14.20)

October 2014 730 Product Version 14.20


EDI System Error Message Reference
ENCSP-2903

637
ENCSP-2903

NAME
ENCSP-2903

SYNOPSIS
%i %s height segment%s too difficult to resolve.

DESCRIPTION
Segments that are too difficult to resolve are probably caused by pin access constraints that
interfered with the cell sliding mechanism. The cells in these segments will probably have to be
manually adjusted.
ENCSP-2903(14.20)

October 2014 731 Product Version 14.20


EDI System Error Message Reference
ENCSP-5101

638
ENCSP-5101

NAME
ENCSP-5101

SYNOPSIS
The design must be completely placed before adding filler cell(s).

DESCRIPTION
When running addFiller the warnings or error above are issued. When addFiller runs it checks
whether the entire design is placed or not. If it is not, you will see this messages. When user would
like to work on floorplan and want to run DRC checks on it in PVS or other tool, you may like to fill
all the entire row area with fillers instead of running an entire place- ment.
If user receive the ENCSP-5101 warning it will still fill the rows with filler cells. It is simply warning
you that the design is not entirely placed with below warnings. **WARN: (ENCSP-5102): There 'are'
10 unplaced instances in the design.. OR **ERROR: (ENCSP-503): Design must be placed before
running "addFiller". If you receive the ENCSP-503 error you can still place fillers by spec- ifying the
core area.
Example: -------- addfiller -area [dbGet top.fplan.coreBox]
addfiller -area [dbGet top.fplan.coreBox]
ENCSP-5101(14.20)

October 2014 732 Product Version 14.20


EDI System Error Message Reference
ENCSP-5106

639
ENCSP-5106

NAME
ENCSP-5106

SYNOPSIS
AddEndCap cannot place end cap cells at the ends of the site rows. Most probably there are pre-
placed std-cell inst%c at '%s' of '%s' row%c. Remove pre-placed instances and re-run addEndCap
again.

DESCRIPTION
The addEndCap command won't add end caps if there are existing cells at the end of rows, but if
there are placement blockages and no existing cells it will add end caps next to the placement
blockage.
Example: --------
ENCSP-5106(14.20)

October 2014 733 Product Version 14.20


EDI System Error Message Reference
ENCSP-5113

640
ENCSP-5113

NAME
ENCSP-5113

SYNOPSIS
Maximum of only two tie-cells can only be provided.

DESCRIPTION
When user provides more than two cells for -cell < > option, EDI will error out with message
ENCSP-5113. User has to provide only two cells as in the example given below, Where one cell
must be a tie-high driver, and the other is a tie-low driver.
Example: --------
Right Approach:
addTieHiLo -cell "tieoff_hi tieoff_lo" setTieHiLoMode -cell "tieoff_hi tieoff_lo"
Wrong Approach:
addTieHiLo -cell "tieoff_hi tieoff_lo tieoff_hi_pm tieoff_lo_pm" setTieHiLoMode -cell "tieoff_hi
tieoff_lo tieoff_hi_pm tieoff_lo_pm"
ENCSP-5113(14.20)

October 2014 734 Product Version 14.20


EDI System Error Message Reference
ENCSP-5119

641
ENCSP-5119

NAME
ENCSP-5119

SYNOPSIS
AddEndCap is unable to add %s-cap cell (%s) at (%0.3f, %0.3f).

DESCRIPTION
Most likely reason is:
The end location is already occupied by a fixed instance or placement blockage.
It is illegal to add cap cell at this location.
Fix these issues and re-run addEndCap command again.
Example: --------
ENCSP-5119(14.20)

October 2014 735 Product Version 14.20


EDI System Error Message Reference
ENCSP-5123

642
ENCSP-5123

NAME
ENCSP-5123

SYNOPSIS
Cell is not found.

DESCRIPTION
The message occurs when the filler cell(s) your specified for set- FillerMode or addFiller can not be
found. Please make sure the cell(s) exist in the design.
Example: -------- * The following example checks the existence of cells with prefix 'FILL':
encounter 20> get_lib_cells FILL* lib1/FILL1 lib1/FILL2 lib1/FILL4
ENCSP-5123(14.20)

October 2014 736 Product Version 14.20


EDI System Error Message Reference
ENCSP-5125

643
ENCSP-5125

NAME
ENCSP-5125

SYNOPSIS
No filler cell provided.

DESCRIPTION
Previously in the ECO flows, the filler cells used to be deleted before ecoPlace and then they would
be added back.
With the supercommand "ecoDesign", the filler cells have to be identi- fied by the user so that
internally the commands for addition and dele- tion of FILL cells can be called.
Example: -------- CMD> ecoDesign -noEcoRoute
FOO_RUNDIR/FOO_top.enc.dat
FOO_top ../../../eco_v/FOO_top.v
Statistics of distance of Instance movement in
detailed placement:
maximum (X+Y) = 0.00 um
mean (X+Y) = 0.00 um
Total instances moved : 0
*** cpu=0:00:14.0 mem=2240.1M mem(used)=4.9M*** ERROR (SOCSP-5125): No filler cell
provided.
To resolve use below approch :
The FILL cells are identified by setting this :

October 2014 737 Product Version 14.20


EDI System Error Message Reference
ENCSP-5125

setFillerMode -core {corefill_3 corefill_2 corefill_1} -corePrefix xofiller_


If the design is being restored from a previously saved session, the .mode file in the .enc.dat dir will
need to edited to add this command to it.
ENCSP-5125(14.20)

October 2014 738 Product Version 14.20


EDI System Error Message Reference
ENCSP-5130

644
ENCSP-5130

NAME
ENCSP-5130

SYNOPSIS
Unable to determine tie-high and tie-low pins for the provided tie- cell(s). Most probably the pin
function has been incorrectly defined in the timing library file (e.g. .lib). Correct the pin function in
timing library and re-run with the modified timing library.

DESCRIPTION
There are no further details for this message
ENCSP-5130(14.20)

October 2014 739 Product Version 14.20


EDI System Error Message Reference
ENCSP-5140

645
ENCSP-5140

NAME
ENCSP-5140

SYNOPSIS
Global net connect rules have not been created. Added %sinsts would have no supply connectivity,
and would fail DRC.

DESCRIPTION
New instances created rely on Global-Net-Connect rules to determine which supply net to connect
the power and ground pins. With missing GNC rules, the supply pins are left unconnected. When
there are followpin stripes in design, the followpin wires will be shorting to unconnected supply pins
on the instances. These instances will fail legality checking.
One way to add GNC rules is by using command globalNetConnect. For example,
globalNetConnect VDD -type pgpin -pin VDD globalNetConnect VSS -type pgpin -pin VSS
ENCSP-5140(14.20)

October 2014 740 Product Version 14.20


EDI System Error Message Reference
ENCSP-5144

646
ENCSP-5144

NAME
ENCSP-5144

SYNOPSIS
The specified value of -reportGap is less than the basic tech site width. You should use a value
equal to or greater than the basic tech site width to avoid this message.

DESCRIPTION
The command "checkFiller -reportGap" is used to check gaps with the specified gap size,
especially for 1 site gaps. As the placement grid is based on the tech site, the minimum -reportGap
can be set to is the tech spec site width. If the value of -reportGap is less than the basic tech site
width, then the tool will continue but will use the basic tech site width to check the gaps instead,
reporting this warning message.
Example: --------
When running 'checkFiller -reportGap 0.10' for a design whose basic tech site is 0.14 micron,
checkFiller will report this warning message and continue to check gaps using the value 0.14
instead.
ENCSP-5144(14.20)

October 2014 741 Product Version 14.20


EDI System Error Message Reference
ENCSP-5157

647
ENCSP-5157

NAME
ENCSP-5157

SYNOPSIS
Design has cells with user specified padding. This could result in gaps next to the instances of
these cells.

DESCRIPTION
This warning message is issued while running addFiller command in a design that has cells with
user specified cell padding. Since the cell padding is going to remain in the flow after placement
also in order to avoid this message and to fill the gaps the user can delete cell padding using
deleteCellPad com- mand and rerun addFiller command.
Example: --------
ENCSP-5157(14.20)

October 2014 742 Product Version 14.20


EDI System Error Message Reference
ENCSP-5169

648
ENCSP-5169

NAME
ENCSP-5169

SYNOPSIS
No AON Tie-%s cell found for power domain %s.

DESCRIPTION
Design does not have AON Tie cells for the specified power domain. Check if the libary has AON
Tie cells and if the AON Tie Cells are specified in the CPF for the power domain.
ENCSP-5169(14.20)

October 2014 743 Product Version 14.20


EDI System Error Message Reference
ENCSP-5178

649
ENCSP-5178

NAME
ENCSP-5178

SYNOPSIS
Endcap cells for %s don't have same site.

DESCRIPTION
Endcap cells of the given type need to have the same techSite, as they share the row they will be
placed in. As such, if site type is differ- ent than rows cannot be succesfully cap-ed on all required
locations.
ENCSP-5178(14.20)

October 2014 744 Product Version 14.20


EDI System Error Message Reference
ENCSP-6014

650
ENCSP-6014

NAME
ENCSP-6014

SYNOPSIS
I/O pin '%s' does not connect to placed Area I/O instance or hard macro and will be ignored for
bump assignment. Most likely reason is: 1. The Area I/O instance or hard macro is not placed. 2. I/O
pin does not connect to I/O instance or hard macro in Verilog netlist. Fix these issues and re-run
assignBump command again.

DESCRIPTION
assignBump command assigns the bumps closest to the I/O cells. For assigning I/O cells to bump,
IO pads need to be with the Class type definition "PAD AREAIO"
Example: -------- MACRO iopad CLASS PAD AREAIO ; ORIGIN 0.000 0.000 ; SIZE 35.000 BY
246.000 ; SYMMETRY x y r90 ; SITE pad ; PIN PAD PORT CLASS BUMP a?|a?|a?|a?|a?|
If the CLASS is not defined as "AREAIO" then tool will give WARN: (ENCSP-6014) warning
message. Users need to check the LEF file to make sure that CLASS type for that cell is specified
as CLASS PAD AREAIO.
ENCSP-6014(14.20)

October 2014 745 Product Version 14.20


EDI System Error Message Reference
ENCSP-7201

651
ENCSP-7201

NAME
ENCSP-7201

SYNOPSIS
Obsolete message!

DESCRIPTION
There are no further details for this message
ENCSP-7201(14.20)

October 2014 746 Product Version 14.20


EDI System Error Message Reference
ENCSP-7207

652
ENCSP-7207

NAME
ENCSP-7207

SYNOPSIS
Shifter '%s' has no connections outside its power domain.

DESCRIPTION
This message represents the detection of a level shifter or isolation cell which is connected only to
instances in the same power domain (disregarding any enable nets). The cell in question may have
been improperly inserted into the netlist, or may be a spare. Use of the 'reportPowerDomain'
command may be helpful in analyzing the connectivity of this cell. The level shifter placement
algorithm will skip this cell (i.e. not move it to the power domain boundary), and let it be handled
through normal processes.
ENCSP-7207(14.20)

October 2014 747 Product Version 14.20


EDI System Error Message Reference
ENCSP-7208

653
ENCSP-7208

NAME
ENCSP-7208

SYNOPSIS
Term '%s' of %s '%s' is outside its power domain.

DESCRIPTION
This message represents the detection of a term that is connected by a net to a level shifter or
isolation cell, but is physically outside the constraints of its expected power domain. This may be
caused by a failure in floorplanning or an earlier placement step, and analysis of the location of the
reported instance or block is recommended.
ENCSP-7208(14.20)

October 2014 748 Product Version 14.20


EDI System Error Message Reference
ENCSP-9025

654
ENCSP-9025

NAME
ENCSP-9025

SYNOPSIS
No scan chain specified/traced.

DESCRIPTION
By default, scan chains are traced and reordered during placeDesign if it is specified by scan DEF
or specifyScanChain command. If there is no scan chain specification, placer will skip this step and
report the warning message.
Example: --------
The following commands load the scan DEF file:
setPlaceMode -ignoreScan true setPlaceMode -reorderScan false
ENCSP-9025(14.20)

October 2014 749 Product Version 14.20


EDI System Error Message Reference
ENCSP-9042

655
ENCSP-9042

NAME
ENCSP-9042

SYNOPSIS
Scan chains were not defined, -ignoreScan option will be ignored. Define the scan chains before
using this option.

DESCRIPTION
This warning occurs when setPlaceMode -ignoreScan true is set (default is true) but no scan chains
are defined. Run getPlaceMode -ignoreScan to report its current setting. This option instructs
placeDesign to disregard scan connections while running placement but it requires you to define
scan chains first. Otherwise, the option is ignored. You can define scan chains by importing a scan
DEF file using defIn or using the command specifyScanChain.
Example -------- The following command load scan specification from a DEF file:
defIn mySCAN.def
The following command sets scan chain test_si with a starting I/O pin name and a stopping pin
name:
specifyScanChain test_si -start test23 -stop test_so
ENCSP-9042(14.20)

October 2014 750 Product Version 14.20


EDI System Error Message Reference
ENCSP-9514

656
ENCSP-9514

NAME
ENCSP-9514

SYNOPSIS
Non-TimingDriven placement will be performed.

DESCRIPTION
Placement is being run without taking timing into account. Placement of instances on timing critical
paths may not be effiective.
Example: --------
To consider timing driven placmenet make sure constraints are loaded along with the following
command:
setPlaceMode -timingDriven true
ENCSP-9514(14.20)

October 2014 751 Product Version 14.20


EDI System Error Message Reference
ENCSP-9516

657
ENCSP-9516

NAME
ENCSP-9516

SYNOPSIS
-prePlaceOpt is disabled when -incremental option is on.

DESCRIPTION
placeDesign -incremental works on an already placed design and hence prePlaceOpt (buffer tree
deleteion) is disabled.
Example: --------
To run Incremental placeDesign on an already placed data: placeDesign -incremental
ENCSP-9516(14.20)

October 2014 752 Product Version 14.20


EDI System Error Message Reference
ENCSPR-17

658
ENCSPR-17

NAME
ENCSPR-17

SYNOPSIS
Failed to read Special Route Database. This problem occurs when saveDesign or savePartition
cannot save some of the lef files due to some reason and when user restores the saved database
back into EDI.

DESCRIPTION
There are no further details for this message
ENCSPR-17(14.20)

October 2014 753 Product Version 14.20


EDI System Error Message Reference
ENCSPR-19

659
ENCSPR-19

NAME
ENCSPR-19

SYNOPSIS
Tried to read old version of .spr file saved by SOCE2.2 or earlier. The Unit information and viacell
pattern name may not exist. Use command saveSpecialRoute to output files by the tool with version
later than SOCE2.2

DESCRIPTION
There are no further details for this message
ENCSPR-19(14.20)

October 2014 754 Product Version 14.20


EDI System Error Message Reference
ENCSPR-20

660
ENCSPR-20

NAME
ENCSPR-20

SYNOPSIS
Tried to read old version of .spr file saved by SOCE2.3 or earlier. The viacell pattern name may not
exist. Use command saveSpecialRoute to output files by the tool with version later than SOCE2.3

DESCRIPTION
There are no further details for this message
ENCSPR-20(14.20)

October 2014 755 Product Version 14.20


EDI System Error Message Reference
ENCSPR-25

661
ENCSPR-25

NAME
ENCSPR-25

SYNOPSIS
Cannot delete COVER status object. Use command editChangeStatus to change status before
delete object.

DESCRIPTION
There are no further details for this message
ENCSPR-25(14.20)

October 2014 756 Product Version 14.20


EDI System Error Message Reference
ENCSPR-26

662
ENCSPR-26

NAME
ENCSPR-26

SYNOPSIS
Cannot modify COVER status object. Use command editChangeStatus to change status before
modify object.

DESCRIPTION
There are no further details for this message
ENCSPR-26(14.20)

October 2014 757 Product Version 14.20


EDI System Error Message Reference
ENCSPR-31

663
ENCSPR-31

NAME
ENCSPR-31

SYNOPSIS
You have this message because a viacell '%s' is named with a name that has been taken by
another viacell which was loaded earlier. If the two viacells are identical, you may simply remove
one of them to avoid the message. Or you may rename one of them if they are different.

DESCRIPTION
There are no further details for this message
ENCSPR-31(14.20)

October 2014 758 Product Version 14.20


EDI System Error Message Reference
ENCSPR-33

664
ENCSPR-33

NAME
ENCSPR-33

SYNOPSIS
Failed to create viacell '%s'.

DESCRIPTION
There are no further details for this message
ENCSPR-33(14.20)

October 2014 759 Product Version 14.20


EDI System Error Message Reference
ENCSPR-59

665
ENCSPR-59

NAME
ENCSPR-59

SYNOPSIS
Polygon not created because it would be self-intersecting. The last point coordinate should be the
vertice or corner of a wire polygon. Define the last point by double click mouse in GUI or command
editCom- mitPoly in script.

DESCRIPTION
There are no further details for this message
ENCSPR-59(14.20)

October 2014 760 Product Version 14.20


EDI System Error Message Reference
ENCSPR-65

666
ENCSPR-65

NAME
ENCSPR-65

SYNOPSIS
Command %s can not be executed for the design should be in memory before this command.
Restore or initiate design and then try command %s again.

DESCRIPTION
There are no further details for this message
ENCSPR-65(14.20)

October 2014 761 Product Version 14.20


EDI System Error Message Reference
ENCSPR-122

667
ENCSPR-122

NAME
ENCSPR-122

SYNOPSIS
Incorrect usage of command setEdit -%s. '%s' is not a valid routing layer name.

DESCRIPTION
There are no further details for this message
ENCSPR-122(14.20)

October 2014 762 Product Version 14.20


EDI System Error Message Reference
ENCSPR-154

668
ENCSPR-154

NAME
ENCSPR-154

SYNOPSIS
Via at (%.3f, %.3f) is too small against wire/pin's intersection area resulting in a narrow connection.
Create bigger size via or multi-cut via relative to the intersection area to make a wider connection.

DESCRIPTION
There are no further details for this message
ENCSPR-154(14.20)

October 2014 763 Product Version 14.20


EDI System Error Message Reference
ENCSPR-160

669
ENCSPR-160

NAME
ENCSPR-160

SYNOPSIS
The total number of polygons exceeds the limit (1024x65536). The possi- ble reasons are too many
special wire polygon shapes or standard cell polygon shapes in the design. Ensure the design data
is correct or object selection is meaningful.

DESCRIPTION
There are no further details for this message
ENCSPR-160(14.20)

October 2014 764 Product Version 14.20


EDI System Error Message Reference
ENCSR-172

670
ENCSR-172

NAME
ENCSR-172

SYNOPSIS
The design doesn't have available bumps for fcroute. Check if bumps are created in the design,
placed inside the die and bump cell have correct definition with CLASS COVER BUMP in LEF file.

DESCRIPTION
The design doesn't have available bumps for fcroute. Check if bumps are created in the design,
placed inside the die and bump cell have correct definition with CLASS COVER BUMP in LEF file.
ENCSR-172(14.20)

October 2014 765 Product Version 14.20


EDI System Error Message Reference
ENCSR-187

671
ENCSR-187

NAME
ENCSR-187

SYNOPSIS
Net '%s' does not have bump or pad to connect.

DESCRIPTION
There are no further details for this message
ENCSR-187(14.20)

October 2014 766 Product Version 14.20


EDI System Error Message Reference
ENCSR-211

672
ENCSR-211

NAME
ENCSR-211

SYNOPSIS
Cannot find a bump named '%s' in Encounter DB.

DESCRIPTION
The macro of bump instance is 'CLASS COVER BUMP', but the 'Object Type' of bump instance is
not 'Bump Cell'. Or attempting to optimize non- bump's assignment by "placePIO -assignBump",
such as TSV, pad, ...
ENCSR-211(14.20)

October 2014 767 Product Version 14.20


EDI System Error Message Reference
ENCSR-474

673
ENCSR-474

NAME
ENCSR-474

SYNOPSIS
Net %s: cannot find core pin which has a width of %.3lf to route.

DESCRIPTION
There are no further details for this message
ENCSR-474(14.20)

October 2014 768 Product Version 14.20


EDI System Error Message Reference
ENCSR-486

674
ENCSR-486

NAME
ENCSR-486

SYNOPSIS
Ring/Stripe at (%.3f, %.3f) (%.3f, %.3f) on layer %s is out of layer range and is ignored. The ports
will route to other nearby rings/stripes. (Same type of warning will be suppressed)

DESCRIPTION
There are no further details for this message
ENCSR-486(14.20)

October 2014 769 Product Version 14.20


EDI System Error Message Reference
ENCSR-562

675
ENCSR-562

NAME
ENCSR-562

SYNOPSIS
Since this design has PAD cells, it is not block level and IO pin will not be added.

DESCRIPTION
There are no further details for this message
ENCSR-562(14.20)

October 2014 770 Product Version 14.20


EDI System Error Message Reference
ENCSR-563

676
ENCSR-563

NAME
ENCSR-563

SYNOPSIS
Since this design has PAD cells, it is not block level and wires will not be extended to die boundary.

DESCRIPTION
There are no further details for this message
ENCSR-563(14.20)

October 2014 771 Product Version 14.20


EDI System Error Message Reference
ENCSR-663

677
ENCSR-663

NAME
ENCSR-663

SYNOPSIS
No net has been selected. Indicate which net to route by -nets option. All nets by default.

DESCRIPTION
There are no further details for this message
ENCSR-663(14.20)

October 2014 772 Product Version 14.20


EDI System Error Message Reference
ENCSR-794

678
ENCSR-794

NAME
ENCSR-794

SYNOPSIS
On bump '%s', IO port in '%s' is not found or suitable for routing to net '%s'. Check the bump property
to correct it.

DESCRIPTION
The IO port is too small or narrow, blocked by obstruction, or has already been routed, or the port
number does not exist, or there are typos on the bump property.
ENCSR-794(14.20)

October 2014 773 Product Version 14.20


EDI System Error Message Reference
ENCSR-1253

679
ENCSR-1253

NAME
ENCSR-1253

SYNOPSIS
Cannot find any standard cell pin connected to net %s. Run globalNetConnect command or change
CPF file to make sure that the netlist reflects the correct power ground connections. The standard
cell pins must be defined as 'USE POWER' or 'USE GROUND'.

DESCRIPTION
There are no further details for this message
ENCSR-1253(14.20)

October 2014 774 Product Version 14.20


EDI System Error Message Reference
ENCSR-1254

680
ENCSR-1254

NAME
ENCSR-1254

SYNOPSIS
Cannot find any block pin of net %s. Check netlist, or change option to include the pin.

DESCRIPTION
There are no further details for this message
ENCSR-1254(14.20)

October 2014 775 Product Version 14.20


EDI System Error Message Reference
ENCSR-1255

681
ENCSR-1255

NAME
ENCSR-1255

SYNOPSIS
Cannot find any non 'CLASS CORE' pad pin of net %s. Use 'CLASS CORE' pad pins of net %s to
create pad ring.

DESCRIPTION
There are no further details for this message
ENCSR-1255(14.20)

October 2014 776 Product Version 14.20


EDI System Error Message Reference
ENCSR-1256

682
ENCSR-1256

NAME
ENCSR-1256

SYNOPSIS
Cannot find any CORE class pad pin of net %s. Change routing area or layer to include the
expected pin, or check netlist, or change port class in LEF file.

DESCRIPTION
This message is reported when routing pad pins. Pad pins are power pins on the pad which should
connect to the core power grid or ring. By default, sroute considers all power pins on the pads to
connect to the power pad ring and not the core unless the pin's PORT definition is specified with
CLASS CORE in the LEF. A power pin of a pad which is to drive the core power grid should be
defined.
ENCSR-1256(14.20)

October 2014 777 Product Version 14.20


EDI System Error Message Reference
ENCSR-1972

683
ENCSR-1972

NAME
ENCSR-1972

SYNOPSIS
***[%.3f %.3f] in '%s': Can't be routed because '%s' is blocked by cell or routing blockages
(obstructions) preventing from routing.

DESCRIPTION
This message indicates the pin is blocked by cell or routing blockages (obstructions) preventing
fcroute from routing to them. Zoom to the pin and observe what blockages are at the same layer as
the pin or above. The blockages need to be removed from around and on top of the pin so fcroute
can access it without creating a violation. If the pin is blocked by a routing blockage, edit the
blockage so the pin can be accessed. If it is a cell blockage, you will need to update the LEF
abstract model so the obstruction does not block the pin.
ENCSR-1972(14.20)

October 2014 778 Product Version 14.20


EDI System Error Message Reference
ENCSR-1974

684
ENCSR-1974

NAME
ENCSR-1974

SYNOPSIS
%d port%s blocked by cell or routing blockages in M%d-M%d layer. Check the design if cell or
routing blockages need be removed.

DESCRIPTION
This message indicates the pin is blocked by cell or routing blockages (obstructions) preventing
fcroute from routing to them. Zoom to the pin and observe what blockages are at the same layer as
the pin or above. The blockages need to be removed from around and on top of the pin so fcroute
can access it without creating a violation. If the pin is blocked by a routing blockage, edit the
blockage so the pin can be accessed. If it is a cell blockage, you will need to update the LEF
abstract model so the obstruction does not block the pin.
ENCSR-1974(14.20)

October 2014 779 Product Version 14.20


EDI System Error Message Reference
ENCSR-2031

685
ENCSR-2031

NAME
ENCSR-2031

SYNOPSIS
For net %s, no suitable cell can be taken as reference cell for follow- pin generation at (%.3lf %.3lf)
(%.3lf %.3lf). Specify option -corePin- Layer to generate followpin on %s or above layers. Similar
warnings suppressed.

DESCRIPTION
There are no further details for this message
ENCSR-2031(14.20)

October 2014 780 Product Version 14.20


EDI System Error Message Reference
ENCSR-2617

686
ENCSR-2617

NAME
ENCSR-2617

SYNOPSIS
The spacing value %s in line %d is smaller than allowed MINSPACING in layer %s in LEF.

DESCRIPTION
When the spacing value in fcroute constraint file is smaller than allowed MINSPACING in that layer
in LEF. MINSPACING in LEF will be used.
ENCSR-2617(14.20)

October 2014 781 Product Version 14.20


EDI System Error Message Reference
ENCSR-4006

687
ENCSR-4006

NAME
ENCSR-4006

SYNOPSIS
Failed to open extra config file %s to read.

DESCRIPTION
The message occurs because there is no reading permission or the file does not exist.
ENCSR-4006(14.20)

October 2014 782 Product Version 14.20


EDI System Error Message Reference
ENCSR-4072

688
ENCSR-4072

NAME
ENCSR-4072

SYNOPSIS
Extra config %s does not exist. Check and modify the extra config file.

DESCRIPTION
There are no further details for this message
ENCSR-4072(14.20)

October 2014 783 Product Version 14.20


EDI System Error Message Reference
ENCSR-4073

689
ENCSR-4073

NAME
ENCSR-4073

SYNOPSIS
Extra config %s is obsolete and replaced by %s. Update the script with the new option.

DESCRIPTION
There are no further details for this message
ENCSR-4073(14.20)

October 2014 784 Product Version 14.20


EDI System Error Message Reference
ENCSR-4301

690
ENCSR-4301

NAME
ENCSR-4301

SYNOPSIS
Illegal MAXVIASTACK specification. In LEF syntax: MAXVIASTACK maxStack [NOSINGLE]
[RANGE bottomLayer topLayer], maxStack should not be greater than the number of cut layers
defined in RANGE.

DESCRIPTION
There are no further details for this message
ENCSR-4301(14.20)

October 2014 785 Product Version 14.20


EDI System Error Message Reference
ENCSYC-2

691
ENCSYC-2

NAME
ENCSYC-2

SYNOPSIS
Timing information is not defined for cell %s; Check the timing library (.lib) file and make sure the
timing information exists for the cell and you can run the checkTimingLibrary command to verify if
the timing library has complete information after the design is loaded.

DESCRIPTION
There are no further details for this message
ENCSYC-2(14.20)

October 2014 786 Product Version 14.20


EDI System Error Message Reference
ENCSYC-179

692
ENCSYC-179

NAME
ENCSYC-179

SYNOPSIS
The %s name '%s' is not a legal verilog identifier. If the identifier has special characters, use
escaped format which start with '\&' and end with ' '.

DESCRIPTION
There are no further details for this message
ENCSYC-179(14.20)

October 2014 787 Product Version 14.20


EDI System Error Message Reference
ENCSYC-194

693
ENCSYC-194

NAME
ENCSYC-194

SYNOPSIS
Incorrect usage for command '%s'.

DESCRIPTION
There are no further details for this message
ENCSYC-194(14.20)

October 2014 788 Product Version 14.20


EDI System Error Message Reference
ENCSYC-274

694
ENCSYC-274

NAME
ENCSYC-274

SYNOPSIS
Cannot create non-integral multiple site height row.

DESCRIPTION
There are no further details for this message
ENCSYC-274(14.20)

October 2014 789 Product Version 14.20


EDI System Error Message Reference
ENCSYC-288

695
ENCSYC-288

NAME
ENCSYC-288

SYNOPSIS
Cell %s not defined.

DESCRIPTION
There are no further details for this message
ENCSYC-288(14.20)

October 2014 790 Product Version 14.20


EDI System Error Message Reference
ENCSYC-289

696
ENCSYC-289

NAME
ENCSYC-289

SYNOPSIS
Cannot add instance %s.

DESCRIPTION
There are no further details for this message
ENCSYC-289(14.20)

October 2014 791 Product Version 14.20


EDI System Error Message Reference
ENCSYC-557

697
ENCSYC-557

NAME
ENCSYC-557

SYNOPSIS
Cell '%s' cannot be set to top cell because it does not have a corrsponding flat cell. Flatten the cell
before running the command.

DESCRIPTION
There are no further details for this message
ENCSYC-557(14.20)

October 2014 792 Product Version 14.20


EDI System Error Message Reference
ENCSYC-993

698
ENCSYC-993

NAME
ENCSYC-993

SYNOPSIS
Cannot find site '%s'

DESCRIPTION
There are no further details for this message
ENCSYC-993(14.20)

October 2014 793 Product Version 14.20


EDI System Error Message Reference
ENCSYC-1592

699
ENCSYC-1592

NAME
ENCSYC-1592

SYNOPSIS
No default tech site found for power domain '%s'. It might be due to no stdandard cell found in the
power domain.

DESCRIPTION
There are no further details for this message
ENCSYC-1592(14.20)

October 2014 794 Product Version 14.20


EDI System Error Message Reference
ENCSYC-1594

700
ENCSYC-1594

NAME
ENCSYC-1594

SYNOPSIS
No site specified. Check the LEF file to make sure a site is specified for core cell.

DESCRIPTION
There are no further details for this message
ENCSYC-1594(14.20)

October 2014 795 Product Version 14.20


EDI System Error Message Reference
ENCSYC-1615

701
ENCSYC-1615

NAME
ENCSYC-1615

SYNOPSIS
No site found for power domain: %s.

DESCRIPTION
There are no further details for this message
ENCSYC-1615(14.20)

October 2014 796 Product Version 14.20


EDI System Error Message Reference
ENCSYC-1636

702
ENCSYC-1636

NAME
ENCSYC-1636

SYNOPSIS
No library found for level shifter cell '%s' with input '%f' and output '%f' volts, placed in power
domain '%s'. The first available library cell of the same name will be used, irrespective of input and
output voltages.

DESCRIPTION
Why is this message issued during timing analysis? Following are excerpts from the library
showing a level shifter and associated pins and their voltage. If a level shifter with the appropriate
volt- ages is not found the error above will be issued. At the top of the file the voltage levels are
defined using voltage_map statements:
voltage_map (VDD, 1.08); voltage_map (ExtVDD, 0.9); voltage_map (VSS, 0); voltage_map (GND,
0); voltage_map (ExtVSS, 0);
Example: -------- Within the cell definition define power pins and respective voltage names within a
pg_pin statement:
cell (LSHLX1_FROM) { is_level_shifter : "true"; level_shifter_type : "HL"; area : 0; pg_pin (VSS) {
pg_type : primary_ground; voltage_name : "VSS"; } pg_pin (VDD) { pg_type : primary_power;
std_cell_main_rail : true; voltage_name : "VDD"; } pg_pin (ExtVDD) { pg_type : backup_power;
voltage_name : "ExtVDD"; }
Lastly, define the signal_level and related power and ground pins for the input and output pins:
pin (Y) { output_signal_level : ExtVDD; direction : output; function : "A"; related_ground_pin : VSS;
related_power_pin : ExtVDD;
pin (A) { input_signal_level : VDD; direction : input; related_ground_pin : VSS; related_power_pin :
VDD;

October 2014 797 Product Version 14.20


EDI System Error Message Reference
ENCSYC-1636

ENCSYC-1636(14.20)

October 2014 798 Product Version 14.20


EDI System Error Message Reference
ENCSYC-1797

703
ENCSYC-1797

NAME
ENCSYC-1797

SYNOPSIS
Number of design hinsts %d does not match wire file %d.

DESCRIPTION
There are no further details for this message
ENCSYC-1797(14.20)

October 2014 799 Product Version 14.20


EDI System Error Message Reference
ENCSYC-1814

704
ENCSYC-1814

NAME
ENCSYC-1814

SYNOPSIS
Restore route file "%s failed. Check error messages in log file for detail information.

DESCRIPTION
There are no further details for this message
ENCSYC-1814(14.20)

October 2014 800 Product Version 14.20


EDI System Error Message Reference
ENCSYC-1816

705
ENCSYC-1816

NAME
ENCSYC-1816

SYNOPSIS
Netlist mismatches with saved route file. Check Verilog and current loaded route file.

DESCRIPTION
There are no further details for this message
ENCSYC-1816(14.20)

October 2014 801 Product Version 14.20


EDI System Error Message Reference
ENCSYC-1863

706
ENCSYC-1863

NAME
ENCSYC-1863

SYNOPSIS
Failed to create PG pin. The pin '%s' is not a PG pin because a logical PG net '%s' does not exist or
it could be a regular net. Specify a cor- rect PG pin name.

DESCRIPTION
There are no further details for this message
ENCSYC-1863(14.20)

October 2014 802 Product Version 14.20


EDI System Error Message Reference
ENCSYC-1871

707
ENCSYC-1871

NAME
ENCSYC-1871

SYNOPSIS
Failed to run the command because it attempts to change the top cell bounding box. Use the
'floorPlan' command to modify top cell bounding box first before calling this command.

DESCRIPTION
There are no further details for this message
ENCSYC-1871(14.20)

October 2014 803 Product Version 14.20


EDI System Error Message Reference
ENCSYC-3161

708
ENCSYC-3161

NAME
ENCSYC-3161

SYNOPSIS
Ignoring the -syncRelativePath setting in the configuration file. The -syncRelativePath parameter of
the set_import_mode command is set to true in ETS by default. All relative paths in the
configuration file will be synchronized to the current working directory. To avoid this warning,
remove the syncRelativePath setting from the configuration file.

DESCRIPTION
{This warning is displayed because the ETS software ignores the -syn- cRelativePath setting in the
configuration file and uses the default value (true) for the -syncRelativePath parameter of the
set_import_mode command. When the -syncRelativePath parameter of the set_import_mode
command is set to true, all the relative paths in the configuration file are synchronized to the current
working directory. As a result, the configuration file can be loaded from any directory without chang-
ing the current working directory to the directory in which the config- uration file was saved. You can
use the set_import_mode -syncRela- tivePath false command in ETS if you do not want to
synchronize the relative paths in the configuration file to the current working direc- tory. Use this
command after invoking ETS and before reading the design. To avoid this warning, remove the -
syncRelativePath setting in the configuration file.}
ENCSYC-3161(14.20)

October 2014 804 Product Version 14.20


EDI System Error Message Reference
ENCSYC-6114

709
ENCSYC-6114

NAME
ENCSYC-6114

SYNOPSIS
Could not add padding to given cell <%s>.

DESCRIPTION
"Cell padding can only be added to standard cells of the library. Padding on hierarchical module,
macro block, io pads, etc. is not sup- ported.0
ENCSYC-6114(14.20)

October 2014 805 Product Version 14.20


EDI System Error Message Reference
ENCSYC-6118

710
ENCSYC-6118

NAME
ENCSYC-6118

SYNOPSIS
No -loc option given to command '%s'; the instance '%s' status will be set to unplaced.

DESCRIPTION
There are no further details for this message
ENCSYC-6118(14.20)

October 2014 806 Product Version 14.20


EDI System Error Message Reference
ENCSYC-6152

711
ENCSYC-6152

NAME
ENCSYC-6152

SYNOPSIS
Net %s is marked as unrouteable by NanoRoute. There may be an internal error or issue with the
input data that trig- gers this net to be set as open. Please check the net objects for issues.

DESCRIPTION
If the pre route data contains issues, partial routes with FIXED vias, routes or other issues that will
cause routing problems, NanoRoute sets the Nets attribute to skip the net and routes the other nets
in the design. If the pre route is custom and complete the message can be ignored. If the preroute
needs to be completed by NanoRoute the FIXED segments and vias may need to be converted to
routed status. If you need the partial preroutes to be locked the preroutes may need to be converted
to special nets for completion by the router.
Example:
convertNetToSNet $net1
setAttribute -net $net1 skip_routing false setNanoRouteMode -routeWithEco true ecoRoute
ENCSYC-6152(14.20)

October 2014 807 Product Version 14.20


EDI System Error Message Reference
ENCSYT-901

712
ENCSYT-901

NAME
ENCSYT-901

SYNOPSIS
Command '%s' is not supported in simultaneous setup-hold mode. Simulta- neous setup-hold is just
supported for timing analysis and should not be used for implementation, turn it off using 'set
timing_enable_simul- taneous_setup_hold_mode false'. This will prevent the errors from being
generated.

DESCRIPTION
There are no further details for this message
ENCSYT-901(14.20)

October 2014 808 Product Version 14.20


EDI System Error Message Reference
ENCSYT-4001

713
ENCSYT-4001

NAME
ENCSYT-4001

SYNOPSIS
init_cpf_file '%s' specified along with init_mmmc_file '%s'. The init_cpf_file will not be loaded by
init_design.

DESCRIPTION
{DETAILMESSAGE}
ENCSYT-4001(14.20)

October 2014 809 Product Version 14.20


EDI System Error Message Reference
ENCSYT-6003

714
ENCSYT-6003

NAME
ENCSYT-6003

SYNOPSIS
Constraint for hinst %s is not in core box. Remove it, If the module has constraint type set to 'None'
and you try to change the constraint type of this module, you get this message and it does not
change the constraint type.

DESCRIPTION
There are no further details for this message
ENCSYT-6003(14.20)

October 2014 810 Product Version 14.20


EDI System Error Message Reference
ENCSYT-6260

715
ENCSYT-6260

NAME
ENCSYT-6260

SYNOPSIS
An error occurred while loading file %s/%s.globals. Review the messages above for reasons why
loading the file failed. This often occurs when global variables have become obsolete. These
variables will be ignored and the rest of the file will still be processed. To avoid this error remove
these obsolete variables from the file.

DESCRIPTION
{This error will show if there is any error in the .globals file. It normally associates with GLOBAL
errors.}
ENCSYT-6260(14.20)

October 2014 811 Product Version 14.20


EDI System Error Message Reference
ENCSYT-6692

716
ENCSYT-6692

NAME
ENCSYT-6692

SYNOPSIS
Invalid return code while executing '%s' was returned and script pro- cessing was stopped. Review
the following error in '%s' then restart.

DESCRIPTION
There are no further details for this message
ENCSYT-6692(14.20)

October 2014 812 Product Version 14.20


EDI System Error Message Reference
ENCSYT-6693

717
ENCSYT-6693

NAME
ENCSYT-6693

SYNOPSIS
Error message: %s: %s.

DESCRIPTION
There are no further details for this message
ENCSYT-6693(14.20)

October 2014 813 Product Version 14.20


EDI System Error Message Reference
ENCSYT-7099

718
ENCSYT-7099

NAME
ENCSYT-7099

SYNOPSIS
%s

DESCRIPTION
There are no further details for this message
ENCSYT-7099(14.20)

October 2014 814 Product Version 14.20


EDI System Error Message Reference
ENCSYT-7221

719
ENCSYT-7221

NAME
ENCSYT-7221

SYNOPSIS
restoreDesign failed: %s.globals file not found in '%s/%s/%s'.

DESCRIPTION
{If the cellview is a derivative of the one created by saveDesign -cel- lview,then use
copyOaRestoreFiles to update the cellview from an ear- lier version. If the cellview was created by
another method use File->Import Design or set the init_ design variables (find_global init_*) as
needed and then invoke the init_design command.}
ENCSYT-7221(14.20)

October 2014 815 Product Version 14.20


EDI System Error Message Reference
ENCSYT-7307

720
ENCSYT-7307

NAME
ENCSYT-7307

SYNOPSIS
Encounter problem when saving SDP information for a OA design.%s

DESCRIPTION
There are no further details for this message
ENCSYT-7307(14.20)

October 2014 816 Product Version 14.20


EDI System Error Message Reference
ENCSYT-7327

721
ENCSYT-7327

NAME
ENCSYT-7327

SYNOPSIS
Active setup and hold analysis views were not provided in either file %s or by the -setup and -hold
arguments to initDesign. The system requires at least one active setup and hold analysis view to be
declared before the design can be initialized. You must add a set_anal- ysis_view command to your
script, or add the -setup and -hold options to your init_design invocation. You can use the
all_analysis_view com- mand to identify the currently available views. If you are using CPF in a
physical-only flow, please use loadCPF and commitCPF after init_design. Do not specify the CPF
with the init_cpf_file variable in a physical-only flow.

DESCRIPTION
There are no further details for this message
ENCSYT-7327(14.20)

October 2014 817 Product Version 14.20


EDI System Error Message Reference
ENCSYT-16006

722
ENCSYT-16006

NAME
ENCSYT-16006

SYNOPSIS
Fail to read LEF information from OA reference libraries. Check the OA database provided and your
inputs.

DESCRIPTION
There are no further details for this message
ENCSYT-16006(14.20)

October 2014 818 Product Version 14.20


EDI System Error Message Reference
ENCSYT-16013

723
ENCSYT-16013

NAME
ENCSYT-16013

SYNOPSIS
Loading LEF file(s) failed, and has aborted. Refer to error messages above for details. Fix the
errors, and restart again.

DESCRIPTION
There are no further details for this message
ENCSYT-16013(14.20)

October 2014 819 Product Version 14.20


EDI System Error Message Reference
ENCSYT-16029

724
ENCSYT-16029

NAME
ENCSYT-16029

SYNOPSIS
Failed to load gds file %s , EDI System no longer reads in GDS directly. GDS should be translated
to an OpenAccess (OA) database, and then read the OA database into EDI System during Design
Import.

DESCRIPTION
There are no further details for this message
ENCSYT-16029(14.20)

October 2014 820 Product Version 14.20


EDI System Error Message Reference
ENCSYT-16038

725
ENCSYT-16038

NAME
ENCSYT-16038

SYNOPSIS
The specified file '%s' could not be found. Check your file system, correct the file name.

DESCRIPTION
There are no further details for this message
ENCSYT-16038(14.20)

October 2014 821 Product Version 14.20


EDI System Error Message Reference
ENCSYT-20004

726
ENCSYT-20004

NAME
ENCSYT-20004

SYNOPSIS
Both the init_lef_file and init_oa_ref_lib variables are defined. The LEF data will be used, and the
OpenAccess data will be ignored. You should only set one of the two variables to avoid this
message in the future, and to be compatible with future releases.

DESCRIPTION
There are no further details for this message
ENCSYT-20004(14.20)

October 2014 822 Product Version 14.20


EDI System Error Message Reference
ENCSYT-40503

727
ENCSYT-40503

NAME
ENCSYT-40503

SYNOPSIS
Support for the loadConfig command and the configuration file based design import methodology
for designs which are not configured as multi-mode/multi- corner (MMMC) has been discontinued in
this release.

DESCRIPTION
"This flow is replaced by the init_design based design import flow.0o import your design database
to an 11.1 MMMC configuration,0ou should perform a saveDesign using a 10.1 version of the
software,0nd then per- form a restoreDesign using version 11.1 of the software.0ou should refer to
the 'Importing Designs Saved in Previous Versions'0ection in the 'Importing and Exporting Designs'
chapter in the EDI110ser guide for more detailed information.0
ENCSYT-40503(14.20)

October 2014 823 Product Version 14.20


EDI System Error Message Reference
ENCSYUTIL-5

728
ENCSYUTIL-5

NAME
ENCSYUTIL-5

SYNOPSIS
Memory corrupted 3 in memory pool %d. Contact Cadence AE for help.

DESCRIPTION
There are no further details for this message
ENCSYUTIL-5(14.20)

October 2014 824 Product Version 14.20


EDI System Error Message Reference
ENCSYUTIL-15

729
ENCSYUTIL-15

NAME
ENCSYUTIL-15

SYNOPSIS
Cannot malloc type '%s' size=%ld bytes. Memory allocation failure. It is likely that machine run out
of virtual memory.

DESCRIPTION
There are no further details for this message
ENCSYUTIL-15(14.20)

October 2014 825 Product Version 14.20


EDI System Error Message Reference
ENCSYUTIL-96

730
ENCSYUTIL-96

NAME
ENCSYUTIL-96

SYNOPSIS
Cannot open (for %s) %s file: '%s'. The reason is: %s

DESCRIPTION
This error is reported when assembling a design because the topDir database does not contain a
DEF file.
Option -topDir specifies the path to the top-level design created by saveDesign -def. This design
directory should contain the Verilog netlist and DEF files. It appears the topDir does not contain a
DEF file.
As a workaround, please load the top design and do a defOut to save the DEF or run 'saveDesign -
def' for the top level so that topDir contains the DEF.
ENCSYUTIL-96(14.20)

October 2014 826 Product Version 14.20


EDI System Error Message Reference
ENCSYUTIL-106

731
ENCSYUTIL-106

NAME
ENCSYUTIL-106

SYNOPSIS
Cannot allocate %ld bytes.

DESCRIPTION
Failed in memory allocation. It is likely that the machine run out of virtual memory.
ENCSYUTIL-106(14.20)

October 2014 827 Product Version 14.20


EDI System Error Message Reference
ENCTB-2

732
ENCTB-2

NAME
ENCTB-2

SYNOPSIS
Cannot complete command %s, not able to open %s for write, provide a file path which can be
opened for write to complete execution.

DESCRIPTION
The possible reasons you have this message might be: 1. You may not have necessary file
operation permissions to create a file on current working directory. 2. There is a same-name file
which you do not have write permission on it. 3. You may have run out of disk space. 0xample:
0heck whether you have permission to create a file on current working directory: encounter 7> file
writable [pwd] 1 0heck whether you have a same-name file which you do not have write permis-
sion on it on current working directory: set fileName encounter.log1 if {[file exists $fileName]} { puts
[file writable $fileName] } else { puts 0 } 0heck if you have run out of disk space: encounter 16> df -
kH [pwd] Filesystem Size Used Avail Use% Mounted on /dir/subdirectory1/case/case11189 2.2T
2.0T 271G 88% /dir/subdirectory1/case/case11189
ENCTB-2(14.20)

October 2014 828 Product Version 14.20


EDI System Error Message Reference
ENCTB-110

733
ENCTB-110

NAME
ENCTB-110

SYNOPSIS
deriveTimingBudget keeps timing mode = FE so that justifyBudget could be called afterwards.

DESCRIPTION
There are no further details for this message
ENCTB-110(14.20)

October 2014 829 Product Version 14.20


EDI System Error Message Reference
ENCTB-125

734
ENCTB-125

NAME
ENCTB-125

SYNOPSIS
No corresponding hierarchical instance for partition '%s'.

DESCRIPTION
There are no further details for this message
ENCTB-125(14.20)

October 2014 830 Product Version 14.20


EDI System Error Message Reference
ENCTCM-4

735
ENCTCM-4

NAME
ENCTCM-4

SYNOPSIS
The value "%s" specified for the %s type of argument "%s" is not valid. Review the command
specification and remove the argument or specify a legal value.

DESCRIPTION
There are no further details for this message
ENCTCM-4(14.20)

October 2014 831 Product Version 14.20


EDI System Error Message Reference
ENCTCM-19

736
ENCTCM-19

NAME
ENCTCM-19

SYNOPSIS
Command '%s' is obsolete and will be removed in future releases. Use '%s' instead. You should
update '%s' to '%s' in your script.

DESCRIPTION
There are no further details for this message
ENCTCM-19(14.20)

October 2014 832 Product Version 14.20


EDI System Error Message Reference
ENCTCM-42

737
ENCTCM-42

NAME
ENCTCM-42

SYNOPSIS
"%s" is not a legal option for command "%s". Check the option whether is an existed options
through command -help.

DESCRIPTION
This error is displayed when a command is entered with incorrect switch which is not supported by
the command. The message will also display the command and a list of switches which are legal to
use for the command.0eview and use the command with the appropriate legal switch.
ENCTCM-42(14.20)

October 2014 833 Product Version 14.20


EDI System Error Message Reference
ENCTCM-48

738
ENCTCM-48

NAME
ENCTCM-48

SYNOPSIS
"%s" is not a legal option for command "%s". Either the current option or an option prior to it is not
specified correctly.

DESCRIPTION
There are no further details for this message
ENCTCM-48(14.20)

October 2014 834 Product Version 14.20


EDI System Error Message Reference
ENCTCM-75

739
ENCTCM-75

NAME
ENCTCM-75

SYNOPSIS
Option "%s" for command %s is no longer supported.

DESCRIPTION
There are no further details for this message
ENCTCM-75(14.20)

October 2014 835 Product Version 14.20


EDI System Error Message Reference
ENCTCM-113

740
ENCTCM-113

NAME
ENCTCM-113

SYNOPSIS
Option "%s" is required when option "%s" is specified in command "%s".

DESCRIPTION
{DETAILMESSAGE}
ENCTCM-113(14.20)

October 2014 836 Product Version 14.20


EDI System Error Message Reference
ENCTR-1107

741
ENCTR-1107

NAME
ENCTR-1107

SYNOPSIS
Cannot find net %s, which is shown in the routing guide.

DESCRIPTION
0hen user set up trial route guides for certain nets by using "setTri- alRouteMode -routeGuide
<filename>", if a net or nets in the guide can not be found in the design, the warning message will
be issued.
Related command is saveRouteGuide.
0xample:0or example, in the netGuide.file below, the net "xyz" is defined but does not exist,
routeGuideNet xyz wire 413.49 27.72 413.49 17.64 V 0.5 L 4 wire 413.49 17.64 413.49 12.04 V 0.5
L 4 wire 413.49 17.64 420.75 17.64 H 0.5 L 3 endRouteGuideNet
trialRoute will issue the warning message.
ENCTR-1107(14.20)

October 2014 837 Product Version 14.20


EDI System Error Message Reference
ENCTR-1109

742
ENCTR-1109

NAME
ENCTR-1109

SYNOPSIS
Guide wires for net %s at line %d will be ignored because the net is prerouted/ignored.

DESCRIPTION
0hen route guides are used for certain nets and these nets are already prerouted, or they are to be
ignored ("setAttribute -skip_routing true"), this WARN message will appear during trialRoute if
"setTrial- RouteMode -routeGuide <filename>" has these nets defined.
0xample:0he clock net cclk is prerouted and this net is in route guide file as below,
routeGuideNet cclk__L1_N1 wire 324.39 243.88 324.39 274.68 V 0.5 L 4 wire 324.39 243.88
348.81 243.88 H 0.5 L 3 wire 324.39 274.68 324.39 279.72 V 0.5 L 4 wire 324.39 279.72 288.75
279.72 H 0.5 L 3 wire 348.81 243.88 350.79 243.88 H 0.5 L 1 wire 288.75 279.72 288.09 279.72 H
0.5 L 1 endRouteGuideNet
The message would be issued as, **WARN: (ENCTR-1109): Guide wires for net cclk__L1_N1 at
line #% will be ignored because the net is prerouted or ignored.
ENCTR-1109(14.20)

October 2014 838 Product Version 14.20


EDI System Error Message Reference
ENCTR-2106

743
ENCTR-2106

NAME
ENCTR-2106

SYNOPSIS
There is no track defined on layer %s. This can be due to out of range track value and the need to
use 64bit version or a problem with the track in that layer. Check the track input for layer %s. You
may need to run 'generateTracks' command before proceeding.

DESCRIPTION
There are no further details for this message
ENCTR-2106(14.20)

October 2014 839 Product Version 14.20


EDI System Error Message Reference
ENCTR-2108

744
ENCTR-2108

NAME
ENCTR-2108

SYNOPSIS
For layer M%d, the gaps of %d out of %d tracks are narrower than %0.3fum (space %0.3f + width
%0.3f).

DESCRIPTION
0he message occurs when gaps of track(s) of a layer are narrower than minimum allowed value
(space + width) from the default routing rule. Those tracks might be initialized by a technology LEF
which has values smaller than current one. Please make sure you have loaded the correct
technology LEF or regenerate tracks to avoid this message.
0xample:0he following commands generate new routing tracks:
encounter> generateTracks
The following command generates tracks with a horizontal offset of 0.13, a horizontal pitch of 0.26,
a vertical off set of 0.14, and a vertical pitch of 0.28 on layer m2:
encounter> generateTracks -m2HOffset 0.13 m2HPitch 0.26 m2VOffset 0.14 -m2VPitch 0.28
ENCTR-2108(14.20)

October 2014 840 Product Version 14.20


EDI System Error Message Reference
ENCTR-2322

745
ENCTR-2322

NAME
ENCTR-2322

SYNOPSIS
There are %d unplaced instances that will not be routed. Place all instances in the design before
routing. TrialRoute will continue.

DESCRIPTION
0his message pops-up while running 'trialRoute' command if the design has any un-placed
instances. The design will still be trialRouted issu- ing this warning message.
0xample:0n order to fix this warning message user needs to check if there are any unplaced
instances in the design prior to running trial- Route command. The unplaced instances can be
reported by running 'checkPlace checkPlace.rpt' and verifying checkPlace.rpt file to find out
unplaced instances and fixing this issue.
ENCTR-2322(14.20)

October 2014 841 Product Version 14.20


EDI System Error Message Reference
ENCTR-2325

746
ENCTR-2325

NAME
ENCTR-2325

SYNOPSIS
There are %d nets connecting a pad term to a fterm without geometry and these nets will not be
routed. A pad term is a pin of a pad logically connected to a top level module port (fterm). Type 'set
trPrintIgnoredPadNets <limit>' prior to trialRoute to have it report each net up to <limit>. Review the
list of nets and verify they do not require a physical route between the pad pin and fterm. Top level
fterms connecting to pad pins typically do not require a physical route because the pad pin will con-
nect to signals external to the design.

DESCRIPTION
0his is referring to the top level ports that connect to the PAD pin of the IO pad. Since these signals
connect outside of the chip there is no port geometry to route to. For IO pins directly connected to IO
pads, EDI System implicitly considers the pin of the IO pad as an IO pin. Typically, you can ignore
this warning.
Use 'set trPrintIgnoredPadNets <limit>' prior to trialRoute to report each net up to <limit>. Review
the list of nets and verify they do not require a physical route between the pad pin and fterm. Top
level fterms connecting to pad pins typically do not require a physical route because the pad pin will
con- nect to signals external to the design.
0xample:0ou can set the following to have the warning list the nets it is ignoring:
set trPrintIgnoredPadNets 100
0t will print up to 100 nets as: PAD NET <net> is ignored.
Note:0he ignored net info can only be seen in encounter.logv file and not in the encounter.log file.
ENCTR-2325(14.20)

October 2014 842 Product Version 14.20


EDI System Error Message Reference
ENCTR-3823

747
ENCTR-3823

NAME
ENCTR-3823

SYNOPSIS
The height of a standard cell appears to be abnormally short. You can ignore the warning message
if row height reported is as expected. This can happen when the majority of the sites in the DB are
abnormal and trialRoute selects the wrong SITE type since its the majority. Either something is
wrong with your rows or something is wrong with your routing tracks. Please visually inspect both.
You can overide the site selection by setting fpSetDefaultTechSite -site CoreSite.

DESCRIPTION
There are no further details for this message
ENCTR-3823(14.20)

October 2014 843 Product Version 14.20


EDI System Error Message Reference
ENCTR-6402

748
ENCTR-6402

NAME
ENCTR-6402

SYNOPSIS
There are %d terms which are above maxRouteLayer=M%d upto M%d. To identify the terms above
maxRouteLayer, report them using dbGet. For example, the following command reports the
terminals on layer M%d: dbGet [dbGet -p5 top.insts.instTerms.cellTerm.pins.all-
Shapes.layer.extName M%d].name

DESCRIPTION
There are no further details for this message
ENCTR-6402(14.20)

October 2014 844 Product Version 14.20


EDI System Error Message Reference
ENCTR-7102

749
ENCTR-7102

NAME
ENCTR-7102

SYNOPSIS
There are %d unplaced pins or pins without metal layer geometry or M0 terms without V01. Router
cannot connect to such terms. Physical geometry is required for a pin and these pins need to be
placed in the design for the router to make connections.

DESCRIPTION
There are no further details for this message
ENCTR-7102(14.20)

October 2014 845 Product Version 14.20


EDI System Error Message Reference
ENCTR-7111

750
ENCTR-7111

NAME
ENCTR-7111

SYNOPSIS
There is no track for routing layer %s. Since the layer is not used, trialRoute will continue.

DESCRIPTION
0his message pops-up in the design if the user tries to run trialRoute using 'setTrialRouteMode -
useM1 true' and there are no M1 tracks avail- able to route.
0xample:0n order to fix this issue user needs to define M1 tracks and then run trialRoute using
'setTrialRouteMode -useM1 true'
ENCTR-7111(14.20)

October 2014 846 Product Version 14.20


EDI System Error Message Reference
ENCTR-7122

751
ENCTR-7122

NAME
ENCTR-7122

SYNOPSIS
There is no selected net in file "%s" and trialRoute will continue.

DESCRIPTION
0his message will pop-up when the user tries to do trialRoute with 'setTrialRouteMode -selectNet
<fileName>' option and there are no nets specified in the mentioned file.
0xample:0n order to avoid this warning message the user needs to spec- ify the nets he wanted to
route one on each line in a file. This file can be inputted using 'setTrialRouteMode -selNetOnly
<fileName>' and then he can run 'trialRoute' command to route specific nets.
ENCTR-7122(14.20)

October 2014 847 Product Version 14.20


EDI System Error Message Reference
ENCTR-8007

752
ENCTR-8007

NAME
ENCTR-8007

SYNOPSIS
The "-useNanoRoute" option cannot be used for this design as it con- tains %s, and is therefore
disabled. Regular trialRoute will be invoked.

DESCRIPTION
This message pops-up when the user tries to run trialRoute using NR global Route flow and the
design contains partition and/or BB.
0xample:0n order to avoid this warning message if the design has parti- tion and/or BB NR global
Route flow cannot be used. user needs to turn- off "-useNanoRoute false" before invoking
'trialRoute' command.
ENCTR-8007(14.20)

October 2014 848 Product Version 14.20


EDI System Error Message Reference
ENCTR-8010

753
ENCTR-8010

NAME
ENCTR-8010

SYNOPSIS
This warning messages results from the option "setTrialRouteMode -use- NanoRoute true". In this
mode the tool uses NanoRoute global route and track assignment at the pre-route stage instead of
using TrialRoute to global route the design.

DESCRIPTION
There are no further details for this message
ENCTR-8010(14.20)

October 2014 849 Product Version 14.20


EDI System Error Message Reference
ENCTR-8015

754
ENCTR-8015

NAME
ENCTR-8015

SYNOPSIS
TrialRoute does not currently support option "%s" in this mode. Please set "-useNanoRoute false" if
you require this option.

DESCRIPTION
This message pops-up when the user runs trialRoute in NRGR flow by using '-skipTracks' option in
setTrialRouteMode. This is an info to user saying 'skipTracks' option is not supported in NRGR
flow.
0xample:0n order to avoid this warning message user needs to turn-off NRGR flow, this can be
done by using 'setTRialRouteMode -useNanoRoute false' and then he can use skipTracks option
and run trialRoute.
ENCTR-8015(14.20)

October 2014 850 Product Version 14.20


EDI System Error Message Reference
ENCTRN-1101

755
ENCTRN-1101

NAME
ENCTRN-1101

SYNOPSIS
Could not determine power-rail locations in cells. Use default value %s.

DESCRIPTION
There are no further details for this message
ENCTRN-1101(14.20)

October 2014 851 Product Version 14.20


EDI System Error Message Reference
ENCTS-16

756
ENCTS-16

NAME
ENCTS-16

SYNOPSIS
Inconsistency detected in the time units specified among the timing libraries being used. Default
system time unit of 1ns will be used. Use the '%s' command to set a specific time unit.

DESCRIPTION
This error is flagged during timing analysis when timing libraries with different time units are read
into the software.0ll timing library data is interpreted according to the native units of each individual
library. When the library units differ across different libraries, this message is issued and all the time
units are interpreted and reported with the default time unit.0owever, you can use the '%s' command
to set a specific time unit for the system. The system time unit will be used for reporting as well
interpreting the constraints, which are either sourced from SDC files or entered interactively.
ENCTS-16(14.20)

October 2014 852 Product Version 14.20


EDI System Error Message Reference
ENCTS-17

757
ENCTS-17

NAME
ENCTS-17

SYNOPSIS
Inconsistency detected in the capacitance units specified among the timing libraries being used.
Default system capacitance unit of 1pF will be used. Use the '%s' command to set a specific
capacitance unit.

DESCRIPTION
This error is flagged during timing analysis when timing libraries with different capacitance units are
read into the software.0ll timing library data is interpreted according to the native units of each indi-
vidual library. When the library units differ across different libraries, this message is issued and all
the capacitance units are interpreted and reported with the default capacitance unit.0owever, you
can use the '%s' command to set a specific capacitance unit for the system. The system
capacitance unit will be for reporting as well as interpreting constraints, which are either sourced
from SDC files or entered interactively.
ENCTS-17(14.20)

October 2014 853 Product Version 14.20


EDI System Error Message Reference
ENCTS-282

758
ENCTS-282

NAME
ENCTS-282

SYNOPSIS
Cell '%s' is not a level shifter cell but has 'input_signal_level' and 'output_signal_level' specified on
pins, or it has different related_power_pin specified on input and output pins. To mark this cell as
level shifter, use 'is_level_shifter' attribute.

DESCRIPTION
This cell either has different related_power_pin defined for input and output pins, as per new liberty
syntax, or it has 'input_signal_level' and 'output_signal_level' specified on pins, as per old liberty
format. This can be specified for level_shifter_cells only. For a level shifter cell 'is_level_shifter'
attribute is required.
ENCTS-282(14.20)

October 2014 854 Product Version 14.20


EDI System Error Message Reference
ENCTS-302

759
ENCTS-302

NAME
ENCTS-302

SYNOPSIS
Min timing libraries are not specified, while max timing libraries are specified in configuration file.
This may cause issues in hold analy- sis. Use rda_Input(ui_timelib) instead of
rda_Input(ui_timelib,max) for timing library specification, or use setTimingLibrary command to set
min timing libraries. By default max timing libraries will be used for hold analysis.

DESCRIPTION
For a non-MMMC setup it is mandatory to provide both min and max libraries. During timing
analysis the max libraries are used during setup/late analysis and min libraries are used during
early/hold analy- sis. When the min libraries are missing, the software will only use the max libraries
for early/hold analysis, this may cause inaccurate timing results. It is, therefore, recommended that
you provide both max and min libraries in the configuration file.
ENCTS-302(14.20)

October 2014 855 Product Version 14.20


EDI System Error Message Reference
ENCTS-403

760
ENCTS-403

NAME
ENCTS-403

SYNOPSIS
Delay calculation was forced to extrapolate table data outside of the characterized range. In some
cases, extrapolation can reduce the accu- racy of the delay calculation. You can enable more
detailed reporting of these cases by enabling the command 'setDelayCalMode -reportOutBound
true'.

DESCRIPTION
This warning message is issued during Delay Calculation when the input transition or output load
are falling beyond the library specified delay/slew table. This causes extrapolation of table values
and may result in inaccurate reported values from Delay Calculation. It is rec- ommended that you
provide libraries for which the input transition/out- put capacitance of the design are within the
library table boundaries. You can obtain a detailed report of outside range table boundaries by
using the 'setDelayCalMode -reportOutBound true' command.
ENCTS-403(14.20)

October 2014 856 Product Version 14.20


EDI System Error Message Reference
ENCTS-413

761
ENCTS-413

NAME
ENCTS-413

SYNOPSIS
set_delay_cal_mode -engine aae' uses a limited access feature that requires special setup before
you can use it. Contact Cadence Support for more information on how to access this feature.

DESCRIPTION
There are no further details for this message
ENCTS-413(14.20)

October 2014 857 Product Version 14.20


EDI System Error Message Reference
ENCVAC-0

762
ENCVAC-0

NAME
ENCVAC-0

SYNOPSIS
Skipping net %s as it has no defined frequency or a defined frequency of 0Hz. Check your design,
or use verifyACLimitSetFreq/dbSetNetFre- quency to specify the net frequency.

DESCRIPTION
"Use 'verifyACLimitSetFreq -help' or 'dbSetNetFrequency -help' to get more usage for setting the
net frequency."
ENCVAC-0(14.20)

October 2014 858 Product Version 14.20


EDI System Error Message Reference
ENCVAC-96

763
ENCVAC-96

NAME
ENCVAC-96

SYNOPSIS
Bad data in the QRC tech file or layer mapping file. Check your QRC tech setting.

DESCRIPTION
"Use 'get_rc_corner <rc_corner_name> -qx_tech_file' to get the qrc tech file and check if it matches
to the design."
ENCVAC-96(14.20)

October 2014 859 Product Version 14.20


EDI System Error Message Reference
ENCVFC-3

764
ENCVFC-3

NAME
ENCVFC-3

SYNOPSIS
Verify Connectivity stopped: Number of errors exceeds the limit %d

DESCRIPTION
The defulat error number is 1000, please use "verifyConnectivity -error" to specify more error
number.0or example, "verifyConnectivity -error 100000".
ENCVFC-3(14.20)

October 2014 860 Product Version 14.20


EDI System Error Message Reference
ENCVFC-16

765
ENCVFC-16

NAME
ENCVFC-16

SYNOPSIS
%s is not attached to any terminal.

DESCRIPTION
The message is issued by VerifyConnectivity when a net is not connected to any terminal.
ENCVFC-16(14.20)

October 2014 861 Product Version 14.20


EDI System Error Message Reference
ENCVFC-200

766
ENCVFC-200

NAME
ENCVFC-200

SYNOPSIS
Design must be in memory before running 'verifyPowerVia'. Use restore- Design before call this
command.

DESCRIPTION
The design has not been restored in memory before call 'verifyPow- erVia', please use use
'resoreDesign' or 'init_design' to reatore the design in memory.0or example, use 'man
restoreDesign' or man 'init_design' to get more information how to restore design in memory.
ENCVFC-200(14.20)

October 2014 862 Product Version 14.20


EDI System Error Message Reference
ENCVFG-47

767
ENCVFG-47

NAME
ENCVFG-47

SYNOPSIS
This warning message means the PG pin of macro/macros is not connected to relevant PG net in
the design. If we query the particular PG pin 'net:NULL' will be displayed in the Encounter GUI.

DESCRIPTION
There are no further details for this message
ENCVFG-47(14.20)

October 2014 863 Product Version 14.20


EDI System Error Message Reference
ENCVFG-103

768
ENCVFG-103

NAME
ENCVFG-103

SYNOPSIS
VERIFY GEOMETRY issue this message when number of violations exceeds the Error Limit or
with insufficient memory and disk space. Change the limit to higher number or make sure the disk
space before running VER- IFY GEOMETRY. {DETAILMESSAGE}

DESCRIPTION
There are no further details for this message
ENCVFG-103(14.20)

October 2014 864 Product Version 14.20


EDI System Error Message Reference
ENCVFG-129

769
ENCVFG-129

NAME
ENCVFG-129

SYNOPSIS
verifyWellTap can't create %s. No report file is generated. please make sure your write access to
the active path.

DESCRIPTION
There are no further details for this message
ENCVFG-129(14.20)

October 2014 865 Product Version 14.20


EDI System Error Message Reference
ENCVFG-173

770
ENCVFG-173

NAME
ENCVFG-173

SYNOPSIS
Verify Geometry does not write any warning markers, so the -warning option does not change the
output of the tool. The option will be removed from future releases.

DESCRIPTION
There are no further details for this message
ENCVFG-173(14.20)

October 2014 866 Product Version 14.20


EDI System Error Message Reference
ENCVFG-185

771
ENCVFG-185

NAME
ENCVFG-185

SYNOPSIS
Power Domain %s does not exist, verifyWellTap will check the whole design. please check the
powerdomain name list is correct. If the whole design check is expected, the option could be
removed.

DESCRIPTION
There are no further details for this message
ENCVFG-185(14.20)

October 2014 867 Product Version 14.20


EDI System Error Message Reference
ENCVFG-198

772
ENCVFG-198

NAME
ENCVFG-198

SYNOPSIS
Area to be verified is small to see any runtime gain from multi-cpus. Use setMultiCpuUsage
command to adjust the number of CPUs.

DESCRIPTION
There are no further details for this message
ENCVFG-198(14.20)

October 2014 868 Product Version 14.20


EDI System Error Message Reference
ENCVFG-206

773
ENCVFG-206

NAME
ENCVFG-206

SYNOPSIS
VERIFY GEOMETRY incomplete due to user interrupt. Results cleaned when interrupt detected.
the stop is caused by user action 'CTRL+C'

DESCRIPTION
There are no further details for this message
ENCVFG-206(14.20)

October 2014 869 Product Version 14.20


EDI System Error Message Reference
ENCVFG-207

774
ENCVFG-207

NAME
ENCVFG-207

SYNOPSIS
-layerRange/-layer exceeds the top metal layer number %d, a layer range is set above the top metal
layer. change to end to top metal layer.

DESCRIPTION
There are no further details for this message
ENCVFG-207(14.20)

October 2014 870 Product Version 14.20


EDI System Error Message Reference
ENCVFG-218

775
ENCVFG-218

NAME
ENCVFG-218

SYNOPSIS
The environment variable vgAllInfluenceViols is no longer supported. Influence check between
routing segment and two cell objects is reported by default. Samecell violations can be flagged with
-sameCel- lViol.

DESCRIPTION
There are no further details for this message
ENCVFG-218(14.20)

October 2014 871 Product Version 14.20


EDI System Error Message Reference
ENCVFG-251

776
ENCVFG-251

NAME
ENCVFG-251

SYNOPSIS
The option '-minPinArea' will be removed in next formal build. The MinArea violations for pin
shapes in the cell can be reported with -sameCellViol. Please update your script with '-
sameCellViol'

DESCRIPTION
There are no further details for this message
ENCVFG-251(14.20)

October 2014 872 Product Version 14.20


EDI System Error Message Reference
ENCVFG-252

777
ENCVFG-252

NAME
ENCVFG-252

SYNOPSIS
This message occurs because you are using option '-includingAbutWideWireForEnc' which is
obsolete. The keyword 'INCLUDEABUTTED' in ENCLOSURE rule will control this option.

DESCRIPTION
There are no further details for this message
ENCVFG-252(14.20)

October 2014 873 Product Version 14.20


EDI System Error Message Reference
ENCVFG-1198

778
ENCVFG-1198

NAME
ENCVFG-1198

SYNOPSIS
The number of CPUs requested %d is larger than that verify_drc used %d. In Multithreading mode,
the number of CPUs verify_drc used is not larger than the number of subareas. Use
'setMultiCpuUsage -localCpu' to specify the less cup number if the verify area is not large.

DESCRIPTION
There are no further details for this message
ENCVFG-1198(14.20)

October 2014 874 Product Version 14.20


EDI System Error Message Reference
ENCVL-209

779
ENCVL-209

NAME
ENCVL-209

SYNOPSIS
In Verilog file '%s', check line %d near the text %s for the issue: '%s'. Update the text accordingly.

DESCRIPTION
This message reports the location of the input netlist text causing a warning or error situation, which
is briefly described by the last argument text. Update the source text accordingly to resolve the
issue.
ENCVL-209(14.20)

October 2014 875 Product Version 14.20


EDI System Error Message Reference
ENCVL-300

780
ENCVL-300

NAME
ENCVL-300

SYNOPSIS
Port '%s' appears multiple times in the port connection list of instance '%s' in module '%s'. Remove
the extra occurrences from the port connection list.

DESCRIPTION
There are no further details for this message
ENCVL-300(14.20)

October 2014 876 Product Version 14.20


EDI System Error Message Reference
ENCVL-315

781
ENCVL-315

NAME
ENCVL-315

SYNOPSIS
The user-specified assignment buffer '%s' is not found in the design. Check the assignment buffer
name and make sure it is correct.

DESCRIPTION
There are no further details for this message
ENCVL-315(14.20)

October 2014 877 Product Version 14.20


EDI System Error Message Reference
ENCVL-319

782
ENCVL-319

NAME
ENCVL-319

SYNOPSIS
Failed to replace an assign statement in module '%s' with buffer '%s' because it has %d signal ports
instead of 2. Specify a 2-port (one input and one output) assign buffer for the design.

DESCRIPTION
There are no further details for this message
ENCVL-319(14.20)

October 2014 878 Product Version 14.20


EDI System Error Message Reference
ENCVL-346

783
ENCVL-346

NAME
ENCVL-346

SYNOPSIS
Module '%s' is instantiated in the netlist, but is not defined in the LEF files. Since there is no real cell
definition for such a cell, it will be treated as %s.

DESCRIPTION
The abstract view (LEF) for the macro was not defined but the instance is being instantiated in the
netlist. This will cause the macro to be treated as black box or dummy cell. To fix the problem,
specify all the macro LEF files along with the timing .lib files through the init_lef_file list in the
design.globals file and the library sets in the viewDefinition.tcl file respectively that are used in the
netlist.
ENCVL-346(14.20)

October 2014 879 Product Version 14.20


EDI System Error Message Reference
ENCVL-355

784
ENCVL-355

NAME
ENCVL-355

SYNOPSIS
Port '%s' in the port connection list of instance '%s' of module '%s' is not defined. Check and correct
the port name, or define the port in the module definition.

DESCRIPTION
There are no further details for this message
ENCVL-355(14.20)

October 2014 880 Product Version 14.20


EDI System Error Message Reference
ENCVL-356

785
ENCVL-356

NAME
ENCVL-356

SYNOPSIS
The macro cell '%s' is LEF-defined, but the pin '%s' used in the netlist is not defined in LEF. Check
and correct the pin name, or define the pin in LEF file.

DESCRIPTION
This message indicates that a pin is specified in a macro instantiation in the Verilog, but is not
defined in the cell's LEF definition. 0he proper way to resolve this error is to correct the Verilog
netlist or LEF so they are corresponding by checking for typos or inconsistencies in the name
(lowercase vs. uppercase, different bus bit characters used, etc.).0f the netlist is in its early stages
and still in develop- ment, and you just want to bypass these errors until the netlist matures, you can
set the following hidden variables prior to loading the design: set ::db::AllowSoftMatching 1 set
::db::AllowNewLef- Ports 1 0he AllowSoftMatching variable allows soft matching of ports. For
example, it would match "\A[0] ", a scalar, to "A[0]", a bus bit, and would match "a" to "A". The
AllowNewLefPort allows undefined ports in the instantiations of LEF-defined macros.
ENCVL-356(14.20)

October 2014 881 Product Version 14.20


EDI System Error Message Reference
ENCVL-366

786
ENCVL-366

NAME
ENCVL-366

SYNOPSIS
The macro cell '%s' is LEF-defined, but the bus port '%s' used in the netlist is not defined in LEF.
Check and correct the port name, or define the bus port in LEF file.

DESCRIPTION
This message indicates that the bus port is specified in a LEF macro instantiation in the Verilog, but
is not defined in the cell's LEF def- inition.0he proper way to resolve this error is to correct the
Verilog netlist or LEF so they are corresponding by checking for typos or inconsistencies in the
name (lowercase vs. uppercase, different bus bit characters used, etc.).0f the netlist is in its early
stages and still in development, and you just want to bypass these errors until the netlist matures,
you can set the following hidden variables prior to loading the design: set ::db::AllowSoftMatching 1
set ::db::AllowNewLefPorts 1 0he AllowSoftMatching variable allows soft matching of ports. For
example, it would match "\A[0] ", a scalar, to "A[0]", a bus bit, and would match "a" to "A". The
AllowNewLefPort allows undefined ports in the instantiations of LEF-defined macros.
ENCVL-366(14.20)

October 2014 882 Product Version 14.20


EDI System Error Message Reference
ENCVL-900

787
ENCVL-900

NAME
ENCVL-900

SYNOPSIS
Please enter a netlist.

DESCRIPTION
There are no further details for this message
ENCVL-900(14.20)

October 2014 883 Product Version 14.20


EDI System Error Message Reference
ENCVL-902

788
ENCVL-902

NAME
ENCVL-902

SYNOPSIS
Failed to read netlist %s. See previous error messages for details. Resolve the issues and reload
the design.

DESCRIPTION
There are no further details for this message
ENCVL-902(14.20)

October 2014 884 Product Version 14.20


EDI System Error Message Reference
ENCVPA-55

789
ENCVPA-55

NAME
ENCVPA-55

SYNOPSIS
Option -leffile for command verifyProcessAntenna is obsolete. Use 'lefOut -5.5 | -5.6 fileName'
instead. The obsolete option still works in this release, but to avoid this warning and to ensure
compatibility with future releases, remove -leffile from your script.

DESCRIPTION
lefOut supports antanna information dump out, please use lef 'lefOut -5.5 | -5.6 fileName' to write out
antenna information after "veri- fyProcessAntenna". -leffile option is obsolete and will be retired in
next release.0or example, please use 'man lefOut" to get help how to use lefOut to write out lef file.
ENCVPA-55(14.20)

October 2014 885 Product Version 14.20


EDI System Error Message Reference
NRDB-18

790
NRDB-18

NAME
NRDB-18

SYNOPSIS
The binary Extended Cap Table file version (%f) is incompatible with the current version (%s)

DESCRIPTION
The binary Extended Cap Table file version is incompatible with the current version.0egenerate
captable using generateCapTbl command. Refer EDI text command reference document on how to
generate captable using generateCapTbl command.
NRDB-18(14.20)

October 2014 886 Product Version 14.20


EDI System Error Message Reference
NRDB-37

791
NRDB-37

NAME
NRDB-37

SYNOPSIS
The number of %s exceeds the limit %d. Remove the excess definitions before proceeding.

DESCRIPTION
NanoRoute cannot proceed any further without reducing the number of LAYERs,
NONDEFAULTRULEs, VIARULEs or VIAs identified in the ERROR mes- sage. Fixing this error
requires removing excess definitions from the technology file and/or imported design files.
NRDB-37(14.20)

October 2014 887 Product Version 14.20


EDI System Error Message Reference
NRDB-51

792
NRDB-51

NAME
NRDB-51

SYNOPSIS
%s %s has no instance pin or special wire in its connectivity defini- tion. %s with the same name
will be routed but will not be connected to the empty %s.

DESCRIPTION
The root cause of this issue is that the net connectivity definition is incomplete.0t may have the net
name in the special net section but no instance pin or wire. If the net has connectivity in the regular
net section it will have no target in the special net section and warn of the inconsistancey. One of
the possible reason could be manual editing done by user.0lease corect the input data DEF/OA.To
avoid this warning and route the net you can do the following : 1) convertSNetToNet -nets test/net1
2) Turn-off "-skip_routing" if exists through "setAt- tribute" command 3) Now do a selected net
ecoRoute
NRDB-51(14.20)

October 2014 888 Product Version 14.20


EDI System Error Message Reference
NRDB-87

793
NRDB-87

NAME
NRDB-87

SYNOPSIS
%s %s is partially routed in non-ECO mode and may be re-routed. If exiting wires are to be
preserved, set the -routeWithEco option to true.

DESCRIPTION
This warning indicates a net is only partially routed and that if you want to preserve the pre-routed
segments you should set "setNanoRoute- Mode -routeWithEco true". Otherwise, NanoRoute is free
to re-route the net entirely based on the best solution it finds for the net. If you do not mind the net
being re-routed you can ignore this warning.
NRDB-87(14.20)

October 2014 889 Product Version 14.20


EDI System Error Message Reference
NRDB-158

794
NRDB-158

NAME
NRDB-158

SYNOPSIS
Missing via from %s %s to %s %s in %s %s. Add the missing via or remove all vias from %s %s so
that NR can use the vias from the default %s.

DESCRIPTION
In an NonDefaultRule, it is optional to specify which vias the rule should use during routing. If no
vias are defined then router will use the DEFAULT via's for the NonDefaultRule routing.If
NonDefaultRule has vias defined between some layers but not all, then this error is reported. 0or
example, if NonDefaultRule has vias defined between Metal1 and Metal2 but not between Metal2
and Metal3, this error is reported, you need to define or specify vias between each layer. See the
NONDEFAULTRULE LEF syntax for more details on defining or specify- ing the vias to use.
NRDB-158(14.20)

October 2014 890 Product Version 14.20


EDI System Error Message Reference
NRDB-164

795
NRDB-164

NAME
NRDB-164

SYNOPSIS
The number of layers between bottom routing layer (%d) and top routing layer (%d) is less than 2.
Nanoroute cannot route with less than two routing layers.

DESCRIPTION
The number of layers between bottom routing layer and top routing layer is less than 2. Nanoroute
cannot route with less than two routing lay- ers. It requires at least two routing layers in order to
route.0or example, NanoRoute need at least two routing layers:0etNanoRouteMode -
routeTopRoutingLayer 4 0etNanoRouteMode -routeBottomRoutingLayer 3 0outeDesign 0r
0etAttribute -net reset -top_preferred_routing_layer 4 -bottom_preferred_routing_layer 3
0outeDesign
NRDB-164(14.20)

October 2014 891 Product Version 14.20


EDI System Error Message Reference
NRDB-166

796
NRDB-166

NAME
NRDB-166

SYNOPSIS
Boundary for %s %s is not properly defined. Correct the SIZE of the corresponding MACRO in the
library.

DESCRIPTION
Check the library if the size of stdcell/block is defined properly. If LEF format is used, make sure the
SIZE statement exists in the MACRO definition, and the width/height values are not zero.
NRDB-166(14.20)

October 2014 892 Product Version 14.20


EDI System Error Message Reference
NRDB-319

797
NRDB-319

NAME
NRDB-319

SYNOPSIS
MINIMUMCUT rule specifying %d (> %d) cuts for %s %s is ignored. Only up to %d is supported
right now. NOTE TO RND : Please confirm if NR can support only MINIMUMCUT 8 ;

DESCRIPTION
Nanoroute cannot drop more than 8 cut vias, and hence cannot support below rule.0INIMUMCUT 9
WIDTH 0.xxx ; 0or example,0anoRoute issues this message and leaves mincut violations when the
following rule is speci- fied in the technology LEF:0INIMUMCUT 9 WIDTH 0.770 WITHIN 0.39
FROMBE- LOW ;
NRDB-319(14.20)

October 2014 893 Product Version 14.20


EDI System Error Message Reference
NRDB-331

798
NRDB-331

NAME
NRDB-331

SYNOPSIS
LAYER OVERLAP cannot he defined in between layer definition in the LEF. An OVERLAP layer
should be defined before or after other layers. Cor- rect this in technology LEF before continuing.

DESCRIPTION
Layer "OVERLAP" cannot be defined in between the routing layers. It should be defined before or
after defining the routing layers in the LEF file.0or example, Define LAYER OVERLAP before other
LAYER defini- tion in the LEF :0AYER OVERLAPTYPE OVERLAP0ND OVERLAP0AYER
M1TYPE ROUT- ING0..0ND LAYER M10.0r define LAYER OVERLAP at the end of all routing
LAYER definitions:0AYER M7TYPE ROUTING0..0ND M70AYER OVERLAPTYPE OVER-
LAP0ND OVERLAP
NRDB-331(14.20)

October 2014 894 Product Version 14.20


EDI System Error Message Reference
NRDB-605

799
NRDB-605

NAME
NRDB-605

SYNOPSIS
Cannot set net attribute %s to %s for %s %s, as the net has pre-routed wires. Delete the pre-routed
wires or convert them to SPECIAL wires, and then retry.

DESCRIPTION
NanoRoute does not support setting the rule of a net if the net has already been partially or fully
routed. To set any attribute on a net, the prerouted wires must be deleted or converted to special
wires.0or example:0onvertNetToSNet -nets $n0ditSelect -net $n0ditSelectVia -net
$n0ditChangeStatus -to FIXED0etAttribute -net $n -non_default_rule CTS_RULE
NRDB-605(14.20)

October 2014 895 Product Version 14.20


EDI System Error Message Reference
NRDB-608

800
NRDB-608

NAME
NRDB-608

SYNOPSIS
Cannot find special net %s for attribute %s. To reset an attribute to its default value specify the value
default.

DESCRIPTION
Cannot find special net default for attribute -shield_net To reset an attribute to its default value
specify the value "default" with some exceptions (see below). 0or example:setAttribute -net
netName -non_default_rule default setAttribute -net netName -pre- ferred_extra_space default
0xceptions: 0. The option -shield_net has a default of "none" which may cause confusion. So for -
shield_net you must specify "none" to return it to its default value: setAttribute -net netName -
shield_net none0. You have a net with -top_pre- ferred_routing_layer set to 7 and -
bottom_preferred_routing_layer set to 6. You cannot reset -top_preferred_routing_layer first
because its default value is 1 and the top preferred layer is not allowed to be less than or equal to
the bottom preferred layer. You need to reset the bottom preferred layer first.0. You cannot reset the
non-default rule (NDR) on a routed wire. You must first delete the routing for the wire then re-route it
after changing its rule.
NRDB-608(14.20)

October 2014 896 Product Version 14.20


EDI System Error Message Reference
NRDB-609

801
NRDB-609

NAME
NRDB-609

SYNOPSIS
Cannot set attribute %s to %s on a net, since the value is not valid for this attribute. Specify a valid
value and then retry.

DESCRIPTION
Net attributes cannot accept an arbitrary value. Each net attribute has a set of acceptable values.
Type setAttribute -help for detailed infor- mation.
NRDB-609(14.20)

October 2014 897 Product Version 14.20


EDI System Error Message Reference
NRDB-628

802
NRDB-628

NAME
NRDB-628

SYNOPSIS
Found non-default rules %s and %s in %s %s. Currently, only one default rule is supported on a net.
Correct this configuration to ontinue .

DESCRIPTION
Non-default rule (NDR) is applied to nets using setAttribute -net net- Name -non_default_rule
<ruleName>. You cannot have multiple non-default rule on a single net which need to be routed. A
non-default rule can be modified on a net using modify_ndr as long as the net is not routed. An error
is reported if you try to modify a NONDEFAULTRULE of a routed net.0or example,0n order to
change the non-default rule of a routed net you must delete the routing of the net, change the rule,
then re-route the net. 0x : editDelete -net netName setAttribute -net netName -non_default_rule
newRule setNanoRouteMode -routeSelectedNetOnly true selectNet netName routeDesign0f you
have partially prerouted portions of the net, these sections must be defined as special nets. Use the
command convertNetToSNet to convert these prerouted portions to special nets:convertNetToSNet
-nets netName0ne exception to the above is if a net is already routed, you can use editChangeRule
to change the non- default rule applied to the wire segments of the net. editChangeRule does not
change the non-default rule attribute of the net, so if the net is re-routed or ECO routed, it will be
routed with the widths and spacings of the original non-default rule applied to it. editChangeRule
should only be used if you don't intend to do any more routing. Exam- ple: editChangeRule -net
netName -from rule1 -to rule2
NRDB-628(14.20)

October 2014 898 Product Version 14.20


EDI System Error Message Reference
NRDB-629

803
NRDB-629

NAME
NRDB-629

SYNOPSIS
NanoRoute cannot route PIN %s of INST %s for NET %s. The PIN does not have physical
geometries. NR will ignore the PIN as if it does not exist in the NET. To fix the problem, add
physical geometries to the PIN in the library.

DESCRIPTION
NanoRoute cannot route a PIN if it does not have physical geometries. Make sure the PIN of the
corresponding MACRO has geometries defined.0or example:0G:0ACRO <CELL_NAME>CLASS
CORE ;ORIGIN 0 0 ;SIZE <n.nn> BY <n.nn> ;SYMMETRY X Y ;SITE <site_name> ;PIN
<pin_name>DIRECTION INOUT ;USE POWER ;PORTLAYER <layer> ;RECT <n.nn n.nn n.nn
n.nn > ;END
NRDB-629(14.20)

October 2014 899 Product Version 14.20


EDI System Error Message Reference
NRDB-631

804
NRDB-631

NAME
NRDB-631

SYNOPSIS
%s %s has more than one top-level logical pin which has no physical port (pin geometries) or has
not been placed. Correct the pins before continue.

DESCRIPTION
A top level-pin is logical if it's not placed or it has no physical port (pin geometries. If a net has more
than one such logical pin, NanoRoute will not route the design but error out. Create a physical pin
geometries to such nets
NRDB-631(14.20)

October 2014 900 Product Version 14.20


EDI System Error Message Reference
NRDB-676

805
NRDB-676

NAME
NRDB-676

SYNOPSIS
NET %s is marked as fully routed but NanoRoute detects that PIN %s of INST %s is not connected
to the net.

DESCRIPTION
Each net has a set of flags to indicate its statuses. One of them is a flag to indicate if a net is fully
routed. If this flag says a net is fully routed, but NanoRoute traverses every pin in the net and finds
some pin is not connected to the net, this warning will be issued.0his issue could happen when a
tie-net pin is tied to the special net by special vias/wires. The flag is set based on regular routing
informa- tion, and it is possible that the pin does not exist in the regular routing information imported
from EDI DB. NanoRoute is aware of this mismatch issue, and performs a integrity check to detect
it. 0o fix the issue, check the warning pin if it is covered by STRIPE metal and con- nected by
special vias. You can delete the special vias and set option setAddStripeMode to skip dropping via
on this type of pins. Please ref- erence command manual of setAddStripeMode and addStripe. The
severity of this warning is not high.
NRDB-676(14.20)

October 2014 901 Product Version 14.20


EDI System Error Message Reference
NRDB-682

806
NRDB-682

NAME
NRDB-682

SYNOPSIS
Connectivity is broken at PIN %s of INST %s connects to NET %s at loca- tion (%.3f %.3f) on
LAYER %s. The location is not inside the pin geome- try extraction.

DESCRIPTION
This could be due to moved wires or cells from design optimization. 0f the user edited wires or if
optDesign move cells the connectivity may be broken and need re-routing.0anoRoute is capable of
removing the problematic wires and reroute the PIN correctly. 0f the wires are FIXED, you need to
unfix them manually before routing.
NRDB-682(14.20)

October 2014 902 Product Version 14.20


EDI System Error Message Reference
NRDB-726

807
NRDB-726

NAME
NRDB-726

SYNOPSIS
Number of %ss in %s %s in %s %s exceeds the limit %d.

DESCRIPTION
There are no further details for this message
NRDB-726(14.20)

October 2014 903 Product Version 14.20


EDI System Error Message Reference
NRDB-728

808
NRDB-728

NAME
NRDB-728

SYNOPSIS
%s %s in %s %s does not have antenna diff area.

DESCRIPTION
This warning is reported when antenna fixing is enabled (setNanoRoute- Mode -drouteFixAntenna
true) and an output or bi-directional pin is missing a value for AntennaDiffArea in the LEF.
AntennaDiffArea defines the diffusion area for this pin. This value is then used when calculat- ing
the Process Antenna Effects (PAE) on the net connected to this pin. AntennaDiffArea defines the
diffusion area connected to a net. Diffu- sion discharges a net and lessens the process antenna
effect. If Anten- naDiffArea is not defined then NanoRoute may perform unnecessary antenna fixing
using layer hopping and diode insertion which would not be needed if AntennaDiffArea was
properly defined for the pin. 0f AntennaGateArea is not defined for input pins in LEF then it shows
the warning for antenna gate area. 0n a summary if you simply want to stop this warning you can
add ANTENNAGATEAREA 0; to the input pin. While 0 is the default value if no statement is given,
there is a difference between default, and "the gate area is really 0". Same as for output pin. Put
ANTENNADIFFAREA 0 ; for LEF output pins without any diff area. In case of inout pin you have to
add both ANTENNAGATEAREA and ANTENNAD- IFFAREA to 0.
NRDB-728(14.20)

October 2014 904 Product Version 14.20


EDI System Error Message Reference
NRDB-733

809
NRDB-733

NAME
NRDB-733

SYNOPSIS
%s %s in %s %s does not have physical port.

DESCRIPTION
This warning indicates this pin does not have a physical shape defined properly in the LEF for
MACRO or PAD cells.0he possible causes are:The pin does not have a RECT or POLY statement
in the LEF to define its geometry.Another reason is the pin may be defined as USE POWER or
GROUND. NanoRoute does not route power pins. 0or example:0ACRO INVXL0..0IN A0IRECTION
INPUT ;0SE POWER ;0ORT0AYER metal6 ;0ECT 104.000 1549.940 104.800 1553.420 ; #
Physical definition of pin END END A If a pin defined as a power or ground pin, and has SHAPE
ABUTMENT or FEEDTHRU defined for it, then NanoRoute will treat the pin as a physi- cal
obstruction. If the power/ground pin is missing the SHAPE attribute then NanoRoute treats it as a
logical pin and will report these warn- ings.
NRDB-733(14.20)

October 2014 905 Product Version 14.20


EDI System Error Message Reference
NRDB-741

810
NRDB-741

NAME
NRDB-741

SYNOPSIS
Found shorts between two different ports on pin %s of cell_view %s. Loop detection and weak
connectivity checking will be skipped for this pin. If loop is not an issue, you can disregard this
message. Other- wise, pin geometries need to be corrected.

DESCRIPTION
This warning is issued when the geometries defined in separate PORT statements for a PIN in the
LEF short together or create a loop. 0hen a PIN has multiple PORT statements it means the
geometries within each PORT statement are weakly connected. So they typically should not over-
lap or touch. If they touch they are considered strongly connected and should be within the same
PORT statement.0anoRoute runs special checks to avoid loops. When it encounters geometries in
different PORT state- ments which short together, it will disable this loop checking for this pin and
issue the warning above. You should review the PIN definition in the LEF and correct it as
needed.0or example the pin below has 4 PORT statements which create a loop:0IN A0IRECTION
INPUT ;0ORT0AYER Metal1 ;0ECT 0 0 10 1 ;0ND0ORT0AYER Metal1 ;0ECT 0 0 1 10 ;0ND0ND
A
NRDB-741(14.20)

October 2014 906 Product Version 14.20


EDI System Error Message Reference
NRDB-778

811
NRDB-778

NAME
NRDB-778

SYNOPSIS
No multicut vias which meet all area rules for LAYER %s are defined in RULE %s. When a LEF
MINIMUMCUT rule is defined for a layer, you must define multicut vias for the layer in the LEF file.
Edit your LEF file and read it in again.

DESCRIPTION
When a LEF MINIMUMCUT rule is defined for a layer, you must define mul- ticut vias for the layer in
the LEF file0or example,0he following mini- mum cut rule indicates that vias with 2 cuts are
required, PROPERTY LEF58_MINIMUMCUT "MINIMUMCUT 2 WIDTH 0.09 WITHIN 0.05 AREA
2.0 ;" ;
NRDB-778(14.20)

October 2014 907 Product Version 14.20


EDI System Error Message Reference
NRDB-855

812
NRDB-855

NAME
NRDB-855

SYNOPSIS
Illegal wire segment on NET %s near (%.3f %.3f) on LAYER %s. The shape is off %s manufacturing
grid (%.3f), and must be removed. Change the shape from FIXED to ROUTED status to allow
NanoRoute to correct the issue.

DESCRIPTION
If a design has illegal wires/vias that are off manufacturing grid, NanoRoute will delete the
segments to correct the issue for sign-off verification. If they are of status "FIXED" NanoRoute can
not change the segments. Please correct or remove the shapes or change their sta- tus to ROUTED
so NanoRoute can correct the issue.
NRDB-855(14.20)

October 2014 908 Product Version 14.20


EDI System Error Message Reference
NRDB-874

813
NRDB-874

NAME
NRDB-874

SYNOPSIS
There are dangling wires in the fully routed NET %s. NanoRoute will delete the dangling wires that
are not of FIXED status.

DESCRIPTION
A dangling wire has one or more endpoints that are not connected to pins or other wires.0anoRoute
will check if there are any dangling wires that are not FIXED in the net if a net has been
touched.0ommon scenarios that introduce the dangling wires are:1) Some instances are IPOed, but
wires are marked FIXED2) The nets are eco-routed, and some of the FIXED wires become
dangling3) Some wires status are changed and the design rerouted .0ote The wires in the frozen
layers will not be deleted since the layers are locked. 0he warning is given for informa- tional
purposes.
NRDB-874(14.20)

October 2014 909 Product Version 14.20


EDI System Error Message Reference
NRDB-912

814
NRDB-912

NAME
NRDB-912

SYNOPSIS
Internal error. Report this to Cadence. Pin (%f %f) of layer %d from net %s does not have boundary
access in route region (%f %f %f %f). The net is now set as open net. You can try to delete this net
and re-do the routing to see if this problem can be avoided with a different routing pattern.

DESCRIPTION
There is an internal error from the software or the data base and the net will be set to open.0f you
receive this message, download and install the latest software version from
http://downloads.cadence.com. If the warning still occurs, please open a case through http://sup-
port.cadence.com so the cause can be determined.0his message should not be ignored. To save a
test case for Cadence use:saveTestCase -name NRDB-9120s a workaround you can try deleting
the net and re-routing it. 0ditDelete -nets netNameselectNet netNamesetNanoRouteMode -
routeSelect- edNetOnly truerouteDesignsetNanoRouteMode -routeSelectedNetOnly false
NRDB-912(14.20)

October 2014 910 Product Version 14.20


EDI System Error Message Reference
NRDB-944

815
NRDB-944

NAME
NRDB-944

SYNOPSIS
The cut shape in VIA %s doesn't match any predefined cut dimension. Only the cuts that are
defined in CUTCLASS can be used in VIA defini- tion. %s

DESCRIPTION
This error occurs when Nanoroute finds a VIA definition which does not meet the defined
CUTCLASS definitions. 0o avoid the error you must either;0) Define a new CUTCLASS for this via,
0) Remove the via 0) Edit the via cut size to match one of the cut classes.
NRDB-944(14.20)

October 2014 911 Product Version 14.20


EDI System Error Message Reference
NRDB-954

816
NRDB-954

NAME
NRDB-954

SYNOPSIS
Invalid option value -routeTopRoutingLayer %d. It is in conflict with already existing routed wires on
layer %d. The option must specify a layer equal to or above the top-most layer for existing routes.

DESCRIPTION
Pre-routed wires are not allowed above the routeTopRoutingLayer limit.0lease change the layer
limit or remove the wires.0lternatively you can relax the hard limit and add a preferred layer to all
nets with setAttribute.
NRDB-954(14.20)

October 2014 912 Product Version 14.20


EDI System Error Message Reference
NRDB-955

817
NRDB-955

NAME
NRDB-955

SYNOPSIS
Invalid option value -routeBottomRoutingLayer %d. It is in conflict with already existing routed wires
on layer %d. The option must specify a layer equal to or below the bottom-most layer for existing
routes.

DESCRIPTION
The design has pre existing routed or custom routed nets with a layer below the bottom routing
layer set for detail route. 0) You can set all nets to prefer to stay off the layer0) You can set
"skip_routing" attribute on nets which are routed below your Bottom layer. 0) If you donaEUR(TM)t
want routing below a certain layer you may want to delete the wires on those layers and let the
router complete using the layer range requested.0) Allow the the lower layer for the routing
range.0or exam- ple,0etAttribute -net <net_name> -skip_routing true
NRDB-955(14.20)

October 2014 913 Product Version 14.20


EDI System Error Message Reference
NRDB-976

818
NRDB-976

NAME
NRDB-976

SYNOPSIS
The TRACK STEP %.4f for preferred direction tracks is smaller than the PITCH %.4f for %s %s.
This will cause routability problems for NanoRoute.

DESCRIPTION
If track resolution is too fine and results in lots of tracks, it will affect routing runtime. Also, if adjacent
tracks cannot satisfy PICTH requirement, spacing violations cannot be prevented naturally, causing
more DRC.0he issue is usually caused by a DEF file loaded by defIn. To fix the issue, use
generateTracks in Encounter or modify tracks in the DEF file.
NRDB-976(14.20)

October 2014 914 Product Version 14.20


EDI System Error Message Reference
NRDB-1005

819
NRDB-1005

NAME
NRDB-1005

SYNOPSIS
Cannot establish connection to %s %s at (%.3f %.3f) on %s for %s %s. The %s is considered
partially routed. Visually verify wiring at the specified location as the wire/via origin may not touch
the %s. This %s will be rerouted with same or different wiring.

DESCRIPTION
There are no further details for this message
NRDB-1005(14.20)

October 2014 915 Product Version 14.20


EDI System Error Message Reference
NRDB-1007

820
NRDB-1007

NAME
NRDB-1007

SYNOPSIS
Design has advanced design rules which require the Advanced Node license. NanoRoute failed to
check out a Advanced Node license.

DESCRIPTION
DRC rules for 32 and below process nodes require the Advanced Node (encan) license. A encan
license must be checked out if these rules exist in the library. Every major NanoRoute command
will try to check out a encan license, unless a previous command has checked it out already. If fails,
NanoRoute will stop.0he failure may happen when no Advanced Node license is installed on site,
or all licenses are used up by other people.
NRDB-1007(14.20)

October 2014 916 Product Version 14.20


EDI System Error Message Reference
NRDB-2005

821
NRDB-2005

NAME
NRDB-2005

SYNOPSIS
%s %s has special wires but no definitions for instance pins or top level pins. This will cause
routability problems later.

DESCRIPTION
The router will flag false violations between unconnected pins and the special net wires, please
ensure that all pins are connected to their special net wires.
NRDB-2005(14.20)

October 2014 917 Product Version 14.20


EDI System Error Message Reference
NRDB-2016

822
NRDB-2016

NAME
NRDB-2016

SYNOPSIS
VIA %s will be removed in routing as it is same as VIA %s.

DESCRIPTION
This warning is issued by the generated routing vias flow in EDI either by NanoRoute or
dumpOutVias, if a NDR generated via geometry matches an existing via, the NDR will reuse the
default rule via. so, EDI will remove the generated via as it is same as the default via and issues a
warning to inform the user.
NRDB-2016(14.20)

October 2014 918 Product Version 14.20


EDI System Error Message Reference
NRDB-2026

823
NRDB-2026

NAME
NRDB-2026

SYNOPSIS
Design has top and bottom routing layers as %s %s, Some nets in the design have top and bottom
preferred routing layer as %s %s. Top and bottom preferred routing layers should be within top and
bottom routing layer range. Fix this before continuing.

DESCRIPTION
Design has top and bottom routing layers, some nets in the design have top and bottom preferred
routing layer and the preferred routing layer effort is medium or high. Top and bottom preferred
routing layers of a net should be within top and bottom routing layer range. 0or example, if design
has top routing layer as M7, then preferred routing layer on a net can be M7 or lower layers but not
M8:0etMaxRouteLayer 70etNanoRouteMode -routeTopRoutingLayer 7 0etAttribute -net net1 -
top_preferred_routing_layer 7 -bottom_preferred_routing_layer 6
NRDB-2026(14.20)

October 2014 919 Product Version 14.20


EDI System Error Message Reference
NRDB-2040

824
NRDB-2040

NAME
NRDB-2040

SYNOPSIS
Rule %s doesn't specify any vias that satisfy all of the area rules for layer %s

DESCRIPTION
Modern processes require each piece of metal to have a minimum area. This is defined in the LEF
LAYER definition using the AREA keyword. For example:LAYER M2 ... AREA 0.5 ; ... END M20t's
important you have at least one via definition whose bottom metal layer meets the minimum area
rule. Otherwise, NanoRoute cannot meet the minimum area rule when stacking vias. For
example:0The M2 rectangle for the following via is not large enough to meet the minimum area rule.
It's area is 0.7 * 0.7 = 0.49 which is less than 0.5. VIA VIA23 DEFAULT LAYER M2 ; RECT -0.35 -
0.35 0.35 0.35 ; LAYER CUT23 ; RECT -0.25 -0.25 0.25 0.25 ; LAYER M3 ; RECT -0.35 -0.35 0.35
0.35 ; END VIA230The M2 rect- angle for the following via does meet the minimum area rule. It's
area is 0.9 * 0.7 = 0.63 which is greater than 0.5. VIA VIA23_v DEFAULT LAYER M2 ; RECT -0.35
-0.45 0.35 0.45 ; LAYER CUT23 ; RECT -0.25 -0.25 0.25 0.25 ; LAYER M3 ; RECT -0.45 -0.35 0.45
0.35 ; END VIA230ote not all vias need to meet the minimum area rule but you must have at least
one or else the NRDB-2040 warning is issued and you will likely have a lot of minimum area
violations when NanoRoute com- pletes.
NRDB-2040(14.20)

October 2014 920 Product Version 14.20


EDI System Error Message Reference
NRDB-2062

825
NRDB-2062

NAME
NRDB-2062

SYNOPSIS
Net %s is fully connected and it has off-grid wires/vias. NanoRoute will set it as skip_routing and
ignore it during routing/verifying

DESCRIPTION
This Warning is triggered by inconsistent usage of,0etNanoRouteMode -drouteOnGridOnly {wire
layer:layer}setNanoRouteMode -drouteOnGridOnly {via layer:layer}0R would mark the input full
routed nets as 'skip_routing' true if there are any wires/via off-grid for the option check -
setNanoRouteMode -drouteOnGridOnly {wire Mx:My via Vx:Vy}0or example,0f you route the clock
nets without on-grid constraint, and then using below setting for data net routing or ECO routing in
the flow, you will get this warning message.0etNanoRouteMode -routeSelect- edNetOnly true
setNanoRouteMode -drouteOnGridOnly {wire 2:6 via 3:6}
NRDB-2062(14.20)

October 2014 921 Product Version 14.20


EDI System Error Message Reference
NRDB-2081

826
NRDB-2081

NAME
NRDB-2081

SYNOPSIS
Inst %s may not have on-grid via access

DESCRIPTION
If the metal pins of this instance are off-grid, NanoRoute may not be able to drop on-grid via to
access those PINs with "setNanoRouteMode -drouteOnGridOnly true"
NRDB-2081(14.20)

October 2014 922 Product Version 14.20


EDI System Error Message Reference
NRDB-2085

827
NRDB-2085

NAME
NRDB-2085

SYNOPSIS
Pin access impeded near Instance %s and Instance %s. Please inspect the area near the pin for
any obstacle.

DESCRIPTION
This pin may be difficult to access and cost extra runtime, impact tim- ing, and fail to route
cleanly.0lease inspect the area near the pin for 1) Power routing near pin 2) Obstruction near pin 3)
Pins near this pin 4) Overlapping instances and pins
NRDB-2085(14.20)

October 2014 923 Product Version 14.20


EDI System Error Message Reference
NRDB-2111

828
NRDB-2111

NAME
NRDB-2111

SYNOPSIS
Found overlapping instances %s %s. This may result in unnecessary run- time, added violations,
timing issues, and fail sign-off verification. Run checkPlace to display the placement violations and
use routeDesign -placementCheck to prevent routing which placement issues.

DESCRIPTION
The design has overlapping cells. This is not always a disaster but can have undetected sub-
straight violations as well as overlapping instance pins. The router will work diligently but not be
able to resolve viola- tions when routing to overlapping pins. You should not continue with
placement violations. Please run checkPlace and inspect the design visually and resolve all
placement issues before proceeding to routing. 0or example:0outeDesign -placementCheck # fix
any placement issues routeDesign -placementCheck0r0heckPlace # fix any placement
issues0outeDesign
NRDB-2111(14.20)

October 2014 924 Product Version 14.20


EDI System Error Message Reference
NRDR-4

829
NRDR-4

NAME
NRDR-4

SYNOPSIS
Turning off power domain constraint for %s %s in region (%8.3f %8.3f %8.3f %8.3f) to avoid creating
open net. Please check the power domain specification for the given net.

DESCRIPTION
setNanoRouteMode -routeHonorPowerDomain true With this option set, if a net connects to pins
belonging to the same power domain, NanoRoute tries to route this net within that power domain. If
this is not possi- ble, NanoRoute completes the routing and issues the above warning to alert the
user to check the routing of the listed nets.
NRDR-4(14.20)

October 2014 925 Product Version 14.20


EDI System Error Message Reference
NRDR-12

830
NRDR-12

NAME
NRDR-12

SYNOPSIS
The width of LAYER %s in RULE %s is not defined. Define the missing width before proceeding.

DESCRIPTION
In some cases, some NONDEFAULTRULEs may be incomplete, and some layers are missing in
the rule. Those non-default rules may be from manually crafted scripts or third-party tools. Make
sure all layers are well defined in the non-default rule.
NRDR-12(14.20)

October 2014 926 Product Version 14.20


EDI System Error Message Reference
NRDR-13

831
NRDR-13

NAME
NRDR-13

SYNOPSIS
Some nets are not global routed. Detail routing cannot be run.

DESCRIPTION
The above warnings can be seen during detailRoute for nets which are already routed and
verifyConnectivity shows no opens but they have a FIXED segment and a trunk routing net attribute.
0anoRoute does not support trunk routing for nets with FIXED wires. Changing the pre routed
segment to ROUTED or removing the nets trunk routing attribute or deleting the pre route will solve
the issue.0ou may also be limiting the layer rang during an ECO route such that the route can not
be com- pleted. Check the range of Bottom, Top limits and -modifyOnlyLayers option.0or
example,0electNet FOOBAR/FECTS_CLONE_N70erifyConnectivity -selected Found no problems
or warnings.00olution example : selectNet FECTS_CLONE_N7 setNanoRouteMode -
routeSelectedNetOnly true editSe- lect -nets FECTS_CLONE_N7 editSelectVia -nets
FECTS_CLONE_N7 editChangeStatus -to ROUTED
NRDR-13(14.20)

October 2014 927 Product Version 14.20


EDI System Error Message Reference
NRDR-30

832
NRDR-30

NAME
NRDR-30

SYNOPSIS
Detail routing is stopped due to too many DRC violations.

DESCRIPTION
This warning occurs when NanoRoute sees an abnormal number of DRC vio- lations during initial
routing. It issues this warning and then stops so you can debug the violations to determine their
cause. To override this automatic stop you can set the drouteAutoStop option to false0ote: Since
this can add significant run time you should only override this setting when you're confident.
NanoRoute will be able to resolve the violations and you have investigate the initial violations and
are pur- suing remedies to the violation.0etNanoRouteMode -drouteAutoStop false0here are
several reasons NanoRoute may initial violations and stop: 1) Congestion - Review the global route
congestion map to identify hot spots visually and reduce the congestion in this area by reducing the
placement density using partial placement blockages or modifying the floorplan.0) Pin access
problems - Are the majority of violations on M1 and M2? If so, this can indicate pin access problems
on standard cell pins or a Tracking problem, or a power rail issue. Review the viola- tions at these
pins and determine why they are occurring. For example, blockage is too close to the pin, pin is off
the routing track or a proper via is not defined which can be dropped to the pin.0) Pins under power
stripes - Are the violations occurring when trying to route to standard cells placed under stripes? If
so, use setPrerouteAsObs to treat these stripes as placement blockages. For example, the following
treats strips on M1, M2 and M3 as placement blockages:0etPrerouteAsObs {1 2 3}0f you standard
cells pin on M2 being placed under M3 stripes you can set the following instead. This will avoid
placing M2 pins under M3 stripes but allow M1 standard cell pins to be place under the M3
stripes:0etPlaceMode -checkPinLayerForAccess { 1 2 }0) Routing lay- ers too limited - Make sure
you have not set the max routing layer too low.0) Other library or design issues - Review warnings
at the begin- ning of the NanoRoute run which may indicate problems
NRDR-30(14.20)

October 2014 928 Product Version 14.20


EDI System Error Message Reference
NRDR-122

833
NRDR-122

NAME
NRDR-122

SYNOPSIS
Some nets are not detail routed. Via swapping cannot be done. If possi- ble, normal detail routing
will be done.

DESCRIPTION
PostRoute via swapping cannot be performed unless all the signal nets are completely routed.
Disable postRoute via swapping by setting -droutePostRouteSwapVia to false and then route the
remaining nets using the routeDesign or ecoRoute command. Only use ecoRoute if less than 10%
of the signal nets are unrouted. Once all the nets are routed you can then run postRoute via
swapping. The unrouted net can also be skipped using the net attribute setting if there is some
reason it is to remain incomplete.
NRDR-122(14.20)

October 2014 929 Product Version 14.20


EDI System Error Message Reference
NRDR-126

834
NRDR-126

NAME
NRDR-126

SYNOPSIS
Post-routing optimization is disabled because of too many DRC viola- tions

DESCRIPTION
This warning occurs when NanoRoute sees too many violations in the post routing optimization
stage. To avoid this warning and force NanoRoute to continue "setNanoRouteMode -
drouteAutoStop false" before rout- ing.0ote: You should only override this setting when you're
confident NanoRoute will be able to resolve the violations. Otherwise, review the violations to
determine the cause. It can be due to congestion, pin access problems, bad design rules, improper
via definitions, track missalignment etc. Ignoring the violations causing the auto stop can lead to
long runtime, timing problems, and the routing may not com- plete.
NRDR-126(14.20)

October 2014 930 Product Version 14.20


EDI System Error Message Reference
NRDR-129

835
NRDR-129

NAME
NRDR-129

SYNOPSIS
Cannot do post-route optimization (via swapping, minimize detour, wire widening, spreading, or
matching) using command '%s', use 'detailRoute' command instead. If possible, normal detail
routing will be done.

DESCRIPTION
Generally, you get this warning message when you do incremental glob- alDetailRoute after
postRoute via swapping and don't set "setNanoRoute- Mode -droutePostRouteSwapVia none".
When post-route wire optimization is being run (i.e. via swapping, wire widening, spreading) you
should set the appropriate "setNanoRouteMode -droutePostRoute*" options then run detailRoute or
routeDesign -wireOpt or routeDesign -viaOpt. If you run globalDetailRoute or just routeDesign this
warning will be reported.0or example, the recommended post-route wire optimization flow
is:setNanoRouteMode -droutePostRouteSwapVia multiCutrouteDesign -wire- Opt
NRDR-129(14.20)

October 2014 931 Product Version 14.20


EDI System Error Message Reference
NRDR-175

836
NRDR-175

NAME
NRDR-175

SYNOPSIS
Routing must start from drouteStartIteration 0 when the routing state is unknown or external routing
is imported.

DESCRIPTION
Iterations can be separated 0,1,2,3,4, but you are not allowed to use any other command that will
change the design routing unless you start from iteration 0 for the next detailRoute command.0or
example,0lobal- Route0aveDesign groute0etNanoRouteMode -drouteEndIteration 00etail-
Route0avedesign droute_00etNanoRouteMode -drouteStartIteration 1 0etNanorouteMode -
drouteEndIteration 1 0etailRoute0aveDesign droute_10 If the users modifies teh clock net or
introduced other pre routes the iteration must be returned to 0 # For large changes starting from
glob- alroute is recommended..0etNanoRouteMode -drouteStartIteration 0 0etNanorouteMode -
drouteEndIteration default 0etailRoute
NRDR-175(14.20)

October 2014 932 Product Version 14.20


EDI System Error Message Reference
NRDR-240

837
NRDR-240

NAME
NRDR-240

SYNOPSIS
Deleting shielding during routing and optimization.

DESCRIPTION
Shielding will be temporarily removed during routing and routing opti- mization commands, eg. via
swapping, wire widening, wire spreading, and then the nets will be re-shielded after the
operation.0o keep the shielding users can set the shielded net to be fixed or its attribute to skip
routing however the shielding may interfere with the success of the ECO route or optimization
requested. In some cases the ECO can fail if the resources are insufficient so it is recommended to
allow the methodology to remove and re add the shielding automatically.
NRDR-240(14.20)

October 2014 933 Product Version 14.20


EDI System Error Message Reference
NREX-32

838
NREX-32

NAME
NREX-32

SYNOPSIS
The dielectric layer has wrong RANGE values 'RANGE %lf %lf'. It should start from high value of
%f.

DESCRIPTION
The range value error may be due to a resolution mismatch between the routing technology file and
the dielectric layers in the PCS file.0or example, if the LEF Database unit value is 1000, then all
values in the PCS file should be rounded to the nearest 0.001. In the PCS file.
NREX-32(14.20)

October 2014 934 Product Version 14.20


EDI System Error Message Reference
NRFL-215

839
NRFL-215

NAME
NRFL-215

SYNOPSIS
DATBASE UNIT is not set in the first technology file. Using 1000 DATABASE UNITS per MICRON.

DESCRIPTION
DATBASE UNIT is not set in the first technology file. 0he DATBASE UNIT will be set to 1000
DATABASE UNITS per MICRON0his can occur when the manufacturing grid is defined prior to the
units in the technology LEF file. 0or example:0ANUFACTURINGGRID 0.005 ;UNITSDATABASE
MICRONS 2000 ;END UNITS0o fix the above issue, In the LEF file you must specify the statements
in the following order:0NITSDATABASE MICRONS 2000 ;END UNITSMANUFACTURINGGRID
0.005 ;
NRFL-215(14.20)

October 2014 935 Product Version 14.20


EDI System Error Message Reference
NRFL-217

840
NRFL-217

NAME
NRFL-217

SYNOPSIS
Too many table entries (> %d). Please check the table with line %s in file

DESCRIPTION
This message means the table at the specified line has two many values. This can occur for
example with AntennaDiffAreaRatio that are defined with Piece-Wise Linear (PWL) functions. In
this example the PWL table might contain more than 100 lines which is the limit. To resolve this
issue, values must be removed to reduce the number to be less than 100.
NRFL-217(14.20)

October 2014 936 Product Version 14.20


EDI System Error Message Reference
NRFL-374

841
NRFL-374

NAME
NRFL-374

SYNOPSIS
%sSpacing table is not monotonically increasing. Layer %s spacing table entry %f is less than the
previous entry %f.

DESCRIPTION
This error can occur when the spacing rules in the technology file are not increasing in
order.10pacing needs to increase like 1,2,3,4,5 not 1,2,4,3,5.0lease check the spacing table values
in the technology file.
NRFL-374(14.20)

October 2014 937 Product Version 14.20


EDI System Error Message Reference
NRGR-21

842
NRGR-21

NAME
NRGR-21

SYNOPSIS
Selected nets are already detail routed or no nets are selected.

DESCRIPTION
Routing with setNanoRouteMode -routeSelectedNetOnly true or selecting route selected nets from
the GUI will route only the selected nets. If no nets are selected, NanoRoute can not route and will
issues this warning message. 0his can happen if selected nets were routed and the design was
then saved before setting the above mode to false.0ee get- NanoRouteMode -
routeSelectedNetOnly0o route, either select unrouted nets or set setNanoRouteMode -
routeSelectedNetOnly false.
NRGR-21(14.20)

October 2014 938 Product Version 14.20


EDI System Error Message Reference
NRGR-59

843
NRGR-59

NAME
NRGR-59

SYNOPSIS
Pin %s of instance %s (cell %s) is not accessible. NanoRoute will con- tinue but the pin is not
accessible and will result in an open net. To correct this problem please check the manufacturing
grid, placement and pin shape.

DESCRIPTION
The pin was drawn using a different manufacturing grid from the one defined in the LEF technology
file.0lease check the pin shape and the technology files database units and manufacturing grid to
make sure the pin shape can be describe or that the technology is set to the correct resolution for
the foundry.0ATABASE MICRONS 1000 ;0ANUFACTURINGGRID 0.005 ;
NRGR-59(14.20)

October 2014 939 Product Version 14.20


EDI System Error Message Reference
NRGR-145

844
NRGR-145

NAME
NRGR-145

SYNOPSIS
Gcell grid area (%.3f %.3f %.3f %.3f) does not cover inst("%s") pin("%s") @ (%.3f %.3f %.3f %.3f).

DESCRIPTION
Tracks and Gcells must cover the design. If data was read in with incomplete Gcell or Track
definitions the design will not be routable. 0lease fix the imported data or use generateTracks to
rebuild the defi- nitions for this design.0or example:0enerateTracks
NRGR-145(14.20)

October 2014 940 Product Version 14.20


EDI System Error Message Reference
NRGR-164

845
NRGR-164

NAME
NRGR-164

SYNOPSIS
This design is over congested and will have routability problem. Cor- rect the placement to fix
congestion problem.

DESCRIPTION
You can check the globalRoute congestion map to find the congested areas. If the congested areas
are all over the design, you may redo the floorplan. If the floorplan cannot be resized, check if the
routing track can be optimized on the congested layer from the Congestion Anal- ysis Table shown
in log. If the congested areas are located in some small area which we called hotspot, you may
optimized the placement.
NRGR-164(14.20)

October 2014 941 Product Version 14.20


EDI System Error Message Reference
NRGR-190

846
NRGR-190

NAME
NRGR-190

SYNOPSIS
Cannot find routing solution for net %s within bus guide. The net will be open. This problem may be
caused by discontinuous bus guide path. Bus Guides need to contain a path from pin to pin defined
by a group of 3-D guiding rectangles. Check the continuity of the layers and rectan- gles between
the set of pins of the net to be guided.

DESCRIPTION
This message is reported when bus guides are not continuous in guiding the nets path.1. The bus
guide should be continuous overlapping layers and rectangular shapes2. The bus guide should
overlap driver and receiver pins completely3. The bus guides should follow the preferred routing
direction.4. The top and bottom routing layer of "setNanoRoute- Mode" should cover the specified
bus guide layers. 0or example:0reate- BusGuide -netGroup my_grp \n-centerLine 492.365
1174.930 492.365 1276.975 -width 1.000 -layer 3:30etNanoRouteMode -routeTopRoutingLayer 4
setNanoRouteMode -routeBottomRoutingLayer 00outeDesign
NRGR-190(14.20)

October 2014 942 Product Version 14.20


EDI System Error Message Reference
NRGR-228

847
NRGR-228

NAME
NRGR-228

SYNOPSIS
The net %s has can not be routed. Fixed wire and routePGPinSignalRoute is not sported with eco
route.

DESCRIPTION
NanoRoute issues the warning above when user requests eco routing on secondary power/ground
pins using routePGPinSignalRoute that have fixed net segments.0or example:0elow commands
will change the status of a net and its vias to routed. See the example below:0ditSelect -type Signal
-nets VDD0ditSelectVia -nets VDD0ditChangeStatus -to ROUTED
NRGR-228(14.20)

October 2014 943 Product Version 14.20


EDI System Error Message Reference
NRIG-34

848
NRIG-34

NAME
NRIG-34

SYNOPSIS
Power/Ground pin %s of instance %s is not connected to any power/ground net. Use command
globalNetConnect to connect the power/ground pin to a power/ground net.

DESCRIPTION
The instance has pins defined with USE POWER or USE GROUND in the LEF But the pins do not
have a net associated for connectivity. Check the power and ground pins for this instance and verify
you have specified a globalNetConnect command to connect them. 0f you do not want the pins
connected, you can ignore this warning.0f the instanceName is PIN this means an IO power pin on
the block does not have a net specified.
NRIG-34(14.20)

October 2014 944 Product Version 14.20


EDI System Error Message Reference
NRIG-43

849
NRIG-43

NAME
NRIG-43

SYNOPSIS
Cannot have special via %s at location (%.3f %.3f) in signal net %s. Removing routing segment for
%s %s.

DESCRIPTION
If generateVias was used the vias are stored in the DEF and imported but may not be sufficent
without either LEF vias or regenerating a full via set. 0o resolve this issues please use:
setGenerateViaMode -auto true00etting the above command before importing the design will save
the variable in the .mode file.0f you have a third party DEF, it's rec- ommended that you import the
design into encounter, set the above vari- able and save the DB from encounter and exit. Now
again open a new Encounter session and restore the saved encounter DB .
NRIG-43(14.20)

October 2014 945 Product Version 14.20


EDI System Error Message Reference
NRIG-74

850
NRIG-74

NAME
NRIG-74

SYNOPSIS
Found pre-routed non default rules %s and %s in %s in NET %d. Currently only one non default
rule is supported. Net will be skipped and may be open.

DESCRIPTION
When such nets are hand routed with two NDR's and the connecting instance got moved or buffer is
added during the course of timing eco at postroute stage, EDI does not support more than one
NDR, so the Net will be skipped and the net attribute set to avoid routing. Use setAt- tribute -net %d
skip_routing false for NanoRoute to check and route the net next time. 0lease change the Net to use
a single NDR and then reset the skip attribute on the net and reroute or re-ecoRoute to resolve
open nets. 0or example, to convert two NDR to an single NDR and reset skip route on such nets
and then do ecoRoute 0onvertNetToSNet $net1 0etAttribute -net $net1 -non_default_rule NDR1
0onvertSNetToNet $net1 0etAttribute -net $net1 skip_routing false 0etNanoRouteMode -routeWith-
Eco true 0coRoute 0erifyConnectivity -net $net
NRIG-74(14.20)

October 2014 946 Product Version 14.20


EDI System Error Message Reference
NRIG-77

851
NRIG-77

NAME
NRIG-77

SYNOPSIS
Found placement violations. Please investigate and correct before rout- ing. Routing with
placement violations can cause long runtime and may be irresolvable. Use routeDesign -
placementCheck to stop on violations. Use routeDesign -noPlacementCheck to skip the check.

DESCRIPTION
The message is reported to alert user that the design has placement violations like cell overlaps,
pin access issues etc... 0un checkPlace to catch the violations and fix them before routing
NRIG-77(14.20)

October 2014 947 Product Version 14.20


EDI System Error Message Reference
NRIG-96

852
NRIG-96

NAME
NRIG-96

SYNOPSIS
Selected single pass global detail route "-globalDetail". Clock eco and post optimizations will not
be run. See "man NRIG-96" for more details.

DESCRIPTION
Use option "-globalDetail" to run multiple iterations of routing with user specified net selections and
routing options. The following exam- ples will mimic pre-11.13 behavior.0o run pre-clock eco plus
global detail route:encounter 1> routeDesign0ote that if high effort flow is enabled, post
optimizations will be executed. If this is not desired, then disable the high effort flow:encounter 1>
setDesignMode -flowEf- fort none encounter 2> routeDesign0o run post route optimizations in non
high effort flows:encounter 1> routeDesign encounter 2> routeDesign -viaOpt -wireOpt
NRIG-96(14.20)

October 2014 948 Product Version 14.20


EDI System Error Message Reference
NRTM-24

853
NRTM-24

NAME
NRTM-24

SYNOPSIS
Read error in timing file %s.

DESCRIPTION
This message is reported when .tif file is corrupted or not fully gen- erated. 0ossible causes is
truncated file due to disk space issue etc.
NRTM-24(14.20)

October 2014 949 Product Version 14.20


EDI System Error Message Reference
PRL-0037

854
PRL-0037

NAME
PRL-37

SYNOPSIS
Task ID %d (job: %s) did not come up within the specified timeout period.

DESCRIPTION
"Use setDistributeHost -timeout to change the value. This error may be due to incorrect or
incomplete platform/machine specification provided via setDistributeHost to your distributed
resource management system (LSF/Sun Grid Engine etc.). If running locally (setDistributeHost -
local), the current machine may be overloaded with too many jobs and swapping (check free
memory and CPUs with top). For local runs, con- sider reducing the number of CPUs you are using
via the setMultiCpu- Usage command, or free up some memory by killing other memory intensive
processes.0
PRL-0037(14.20)

October 2014 950 Product Version 14.20


EDI System Error Message Reference
PRL-0038

855
PRL-0038

NAME
PRL-38

SYNOPSIS
Task ID %d did not come up within the specified timeout period (%d sec- onds).

DESCRIPTION
"Use setDistributeHost -timeout to change the value. This error may be due to incorrect or
incomplete platform/machine specification provided via setDistributeHost to your distributed
resource management system (LSF/Sun Grid Engine etc.). If running locally (setDistributeHost -
local), the current machine may be overloaded with too many jobs and swapping (check free
memory and CPUs using the top command). For local runs, consider reducing the number of CPUs
you are using via the set- MultiCpuUsage command, or free up some memory by killing other
memory intensive processes.0
PRL-0038(14.20)

October 2014 951 Product Version 14.20


EDI System Error Message Reference
PRL-0389

856
PRL-0389

NAME
PRL-389

SYNOPSIS
Could not create a new process for %s.

DESCRIPTION
"fork cannot allocate sufficient memory to copy the parent's page tables and allocate a task structure
for the child. Release more memory to rerun it. Or it was not possible to create a new process
because the caller's RLIMIT_NPROC resource limit was encountered. Terminate some processes
to rerun it.0
PRL-0389(14.20)

October 2014 952 Product Version 14.20


EDI System Error Message Reference
PRL-0390

857
PRL-0390

NAME
PRL-390

SYNOPSIS
Could not create a new process for %s.

DESCRIPTION
"fork failed to allocate the necessary kernel structures because memory is tight. Release more
memory to rerun it.0
PRL-0390(14.20)

October 2014 953 Product Version 14.20


EDI System Error Message Reference
SI-2245

858
SI-2245

NAME
SI-2245 (information)

SYNOPSIS
The temperature value {%%} in the file {%%} does not match the analysis operating temperature of
{%%} which is taken from the last CDB ( noise library ) file loaded. This library file will be used only
if a cell instance operating at nominal voltage in this file is found during analysis.

DESCRIPTION
There are no further details for this message
SI-2245(14.20)

October 2014 954 Product Version 14.20


EDI System Error Message Reference
SI-2250

859
SI-2250

NAME
SI-2250 (warning)

SYNOPSIS
The top-level nets '{%%}' and '{%%}' are shorted together through a resistor. These two nets will be
treated as one during analysis. This could affect the delay calculation if the voltage values are
different. If this behavior is not desired, remove the resistor and rerun.

DESCRIPTION
There are no further details for this message
SI-2250(14.20)

October 2014 955 Product Version 14.20


EDI System Error Message Reference
SOCCK-723

860
SOCCK-723

NAME
SOCCK-723

SYNOPSIS
There is no sync pin found in clock %s to be balanced. This could be due to wrong clock root name
was specified in clock spec file, or, incorrect ExcludedPin, ThroughPin, UnsyncPin were defined in
clock spec file. Please correct clock spec file errors and reload it before running CTS.

DESCRIPTION
There are no further details for this message
SOCCK-723(14.20)

October 2014 956 Product Version 14.20


EDI System Error Message Reference
TA-146

861
TA-146

NAME
TA-146 (warning)

SYNOPSIS
A combinational timing loop(s) was found in the design. You can find detailed information about all
timing loops in the file '%s'. You should examine loop break points chosen automatically by the tool
to ensure they are the most appropriate break locations. It is further recommended that validated
loop break points be added to the constraint set via set_disable_timing to ensure consistent
handling during the design flow.

DESCRIPTION
There are no further details for this message
TA-146(14.20)

October 2014 957 Product Version 14.20


EDI System Error Message Reference
TA-152

862
TA-152

NAME
TA-152 (error)

SYNOPSIS
A latency path from the '%s' edge of the master clock %s at source pin '%s' to the '%s' edge of
generated clock '%s' at pin '%s' cannot be found. You must modify your create_generated_clock
constraint to be consistent with the network topology. The analysis will continue using 0ns source
latency for generated clock '%s'. For backward compatibility with earlier releases or to remove the
edge-to-edge sufficiency check- ing, you should set the global 'timing_enable_gen-
clk_edge_based_source_latency' to false

DESCRIPTION
There are no further details for this message
TA-152(14.20)

October 2014 958 Product Version 14.20


EDI System Error Message Reference
TA-523

863
TA-523

NAME
TA-523 (error)

SYNOPSIS
The software has encountered unexpected internal error condition TA-523 - for '%s' and may not
perform reliably in this state. You should con- tact Cadence support for assistance in resolving this
problem and refer to the error code mentioned above. There is no current user-level workaround for
resolving this problem.

DESCRIPTION
There are no further details for this message
TA-523(14.20)

October 2014 959 Product Version 14.20


EDI System Error Message Reference
TA-531

864
TA-531

NAME
TA-531 (error)

SYNOPSIS
The software has encountered unexpected internal error condition TA-531 - and may not perform
reliably in this state. You should contact Cadence support for assistance in resolving this problem
and refer to the error code mentioned above. There is no current user-level workaround for
resolving this problem.

DESCRIPTION
There are no further details for this message
TA-531(14.20)

October 2014 960 Product Version 14.20


EDI System Error Message Reference
TA-976

865
TA-976

NAME
TA-976 (warning)

SYNOPSIS
Path groups asserted by the group_path constraint are considered by the software to be global in
nature - they cannot be restricted to specific constraint modes. Due to this restriction, the
group_path constraint is not supported directly in the constraint files which are configured in mode-
specific commands such as create_constraint_mode. The current group_path entry will be ignored.
You can enter the group_path con- straint interactively or as part of a general command script -
where it will be interpreted as global and will be in effect for all modes.

DESCRIPTION
There are no further details for this message
TA-976(14.20)

October 2014 961 Product Version 14.20


EDI System Error Message Reference
TAMODEL-302

866
TAMODEL-302

NAME
TAMODEL-302 (warning)

SYNOPSIS
Data signal arrives at clock pin '%s'. This data/clock conflict may be due to missing or incomplete
clock definitions. Trigger arcs and check arcs associated with '%s' are being removed to prevent
data signal from propagating to clock paths.

DESCRIPTION
Usually data signals arrive at clock pins of sequential elements because clock source is not defined
properly. Please trace clock sources backward from the clock pins of sequential elements to make
sure that clock waveforms are associated with clock sources. This can be done by using
create_clock or create_generated_clock command.
TAMODEL-302(14.20)

October 2014 962 Product Version 14.20


EDI System Error Message Reference
TCLCMD-513

867
TCLCMD-513

NAME
TCLCMD-513 (warning)

SYNOPSIS
The software could not find a matching object of the specified type for the pattern '%s'

DESCRIPTION
The get_* commands are used for retrieving object and collection refer- ences from the timing
system. If no objects could be returned that match the specified pattern, possible causes include:
0P- The object truly does not exist in the design.0sp .5 - You have specified an incorrect wildcard
matching pattern - refer to the documentation for more information on proper wildcarding syntax.
TCLCMD-513(14.20)

October 2014 963 Product Version 14.20


EDI System Error Message Reference
TCLCMD-917

868
TCLCMD-917

NAME
TCLCMD-917 (error)

SYNOPSIS
Cannot find '%s' that match '%s'

DESCRIPTION
There are no further details for this message
TCLCMD-917(14.20)

October 2014 964 Product Version 14.20


EDI System Error Message Reference
TCLCMD-1004

869
TCLCMD-1004

NAME
TCLCMD-1004 (warning)

SYNOPSIS
The software has detected multiple master clocks: '%s' specified on the source pin for generated
clock '%s' and will choose one of them for the derivation of the generaated clock. You can use the -
master_clock option of create_generated_clock to explicitly specify which of the master clocks to
use when there is a conflict.

DESCRIPTION
There are no further details for this message
TCLCMD-1004(14.20)

October 2014 965 Product Version 14.20


EDI System Error Message Reference
TCLCMD-1032

870
TCLCMD-1032

NAME
TCLCMD-1032 (error)

SYNOPSIS
No timing library definition in conf file. MMMC mode is disallowed.

DESCRIPTION
There are no further details for this message
TCLCMD-1032(14.20)

October 2014 966 Product Version 14.20


EDI System Error Message Reference
TCLCMD-1048

871
TCLCMD-1048

NAME
TCLCMD-1048 (error)

SYNOPSIS
There are currently no interactive MMMC constraint modes enabled in the software to apply the
constraint '%s'. The constraint will be ignored. You should use the
'set_interactive_constraint_modes' command to enable interactive constraint modes and reapply
the constraint.

DESCRIPTION
There are no further details for this message
TCLCMD-1048(14.20)

October 2014 967 Product Version 14.20


EDI System Error Message Reference
TCLCMD-1065

872
TCLCMD-1065

NAME
TCLCMD-1065 (warning)

SYNOPSIS
The clock waveform(s) %s previously defined on pin '%s' have been over- written by a new '%s'
constraint for clock waveform '%s' on the same pin. Use the '-add' option to retain existing clocks.

DESCRIPTION
This warning is issued when multiple clocks with different names are being defined on same source
object. 0PIf multiple clocks with differ- ent names are defined on same source object , the tool will
overwrite the previously defined clock(s) on that source object by last clock definition. If you need to
specify multiple clocks on the same source object, you must specify the -add parameter to
create_clock/create_gen- erated_clock commands, to add more clock(s) to the existing source
object.
TCLCMD-1065(14.20)

October 2014 968 Product Version 14.20


EDI System Error Message Reference
TCLCMD-1083

873
TCLCMD-1083

NAME
TCLCMD-1083 (warning)

SYNOPSIS
'%s'

DESCRIPTION
This warning is encountered because the command 'set_table_style' uses the option '-frame | -
no_frame_fix_width | -no_frame' in combination with the option '-name'. The option '-frame | -
no_frame_fix_width | -no_frame' is a global option that effects all the reports that can be formatted
using the command 'set_table_style'. The '-name' option is used to change the format of reports of
specified commands. To avoid this warning, use separate set_table_style commands to control
global reporting options and report specific options using '-name'.
TCLCMD-1083(14.20)

October 2014 969 Product Version 14.20


EDI System Error Message Reference
TECHLIB-924

874
TECHLIB-924

NAME
TECHLIB-924 (error)

SYNOPSIS
Unable to read the LDB file because it has been compiled with a newer version '%s' of the software
than you are currently using. Either recompile it with a compatible version ('%s') of the software, or
use the equivalent Liberty (.lib) format.

DESCRIPTION
Cadence supports backward compatibility between newer versions of the software and LDB timing
libraries compiled using older releases, but the reverse is not possible. The reason is that whenever
a new syntax is added or adopted from the Liberty library specification, the binary representation of
that data in the form of the LDB file will change, and older versions of the software will not be able to
interpret the new library syntax properly. To use this library data, you must either recompile the
library with a compatible version of the software, or use the uncompiled (.lib) version of the Liberty
library.
TECHLIB-924(14.20)

October 2014 970 Product Version 14.20


EDI System Error Message Reference
WHATIF-2

875
WHATIF-2

NAME
WHATIF-2

SYNOPSIS
The option '-outFile' is obsolete and will be removed in the next major release. To specify the prefix
of the constraints file and the direc- tory in which the constraints file will be generated, use the '-
filePrefix' and the '-dir' options.

DESCRIPTION
This message is generated when using obsolete options. These options can be removed in future
and the present flow may not work. Updation in script is required.
WHATIF-2(14.20)

October 2014 971 Product Version 14.20


EDI System Error Message Reference
WHATIF-3

876
WHATIF-3

NAME
WHATIF-3

SYNOPSIS
The setWhatIfPortPriority command is obsolete and will be removed in the next major release. To
set the port priority, use the setWhatIfTim- ingMode command.

DESCRIPTION
setWhatIfPortPriority is now obsolete and has been replaced by setWhatIfTimingMode -portPriority.
The -driveCell and -portParam options are not options passed to setWhatIfTimingMode (-driveCell
is now cellType, -portParam is now portParam) Example:The command setWhatIfPortPriority -
driveCell Becomes: setWhatIfTimingMode -port- Priority cellType The command
setWhatIfPortPriority -portParam Becomes: setWhatIfTimingMode -portPriority portParam
WHATIF-3(14.20)

October 2014 972 Product Version 14.20

You might also like