You are on page 1of 34

UNIVERSIDAD DE COSTA RICA

ESCUELA DE INGENIERIA ELECTRICA


CIRCUITOS DIGITALES I, IE-0423

PROBLEMAS DE PRÁCTICA

I EXAMEN PARCIAL

1) Se desea medir una señal analógica que varía entre O y 75 Kg. ¿Cuántos bits se
deben usar para representar la señal en digital, con un error de cuantización máximo
de 0.01 %? Considere que el error de exactitud es cero.

2) La salida de un transductor de presión, se obtiene como una señal eléctrica en una


escala de 0-10 V, y luego se pasa por un convertidor analógico a digital. Se determina
que una lectura del convertidor igual a 1000 0000 0000, resulta en un valor de presión
de 75 Kg/cm2. Si se supone que el error de exactitud es cero, determine:
a. El tamaño de la plena escala en unidades de ingeniería
b. El error de cuantización máximo en unidades de ingeniería.
c. Cuál debe ser la menor cantidad de bits del convertidor, para que el error máximo
de cuantización sea menor del 0.1 % de la escala máxima.

3) Se desea determinar el volumen de líquido en un tanque cilíndrico de área de sección


transversal constante e igual a 20 m2, midiendo una señal de nivel mediante un sensor
que envía una señal de 0 a 10 voltios proporcional al nivel en el tanque. La altura
máxima del líquido en el tanque es de 15 metros. Si la exactitud de la medición del
volumen debe ser ± 0.1 m3, determine cual es el mínimo número de bits que se
requieren para digitalizar en binario la señal de nivel, al utilizar un convertidor analógico
a digital con una exactitud de 0.01 % FS.

4) Convierta a base 4, el número 211.203, empleando aritmética de base 4. Muestre el


procedimiento seguido. Obtenga el resultado fraccional con 4 cifras.

5) Determine la base para la cual la siguiente operación es correcta: 302b,/20b= 12.1b

6) Realice directamente las siguientes conversiones de cantidades a la base numérica


especificada. Calcule los valores decimales con cuatro cifras significativas.

31425= 3; 5392.1510= 2: 394710= 8.

7) Realice la conversión numérica directa de base con dos decimales para el siguiente
número, incluya todo el procedimiento

332.224 = ________________ 3

8) Explique si existe un número de 8 bits que al sumarse con X= $C7, dé un resultado con
una condición de rebase si: a) El número X está representado sin signo, b) El número X
está representado con signo en el sistema numérico de números con signo en
complemento a 2.

Profesor Ing. Geovanny Delgado M.Sc.E.E.


UNIVERSIDAD DE COSTA RICA
ESCUELA DE INGENIERIA ELECTRICA
CIRCUITOS DIGITALES I, IE-0423

9) Use la representación de números negativos en complemento a 16 para realizar la


operación 3A7CD-692F4.

10) Realice las siguientes operaciones. Para cada una incluya todo el procedimiento de
solución:

a. Convierta de manera directa, con cuatro dígitos a la derecha del punto, el


número 683.32510 a base 6.
b. Realice el producto en base 6 : 531.46 X 236 Incluya todo el procedimiento.
c. Realice la resta 811410-147310 mediante la suma de complementos de base.
d. Exprese el número en complemento a 2: 1110111012 en una representación
signo más magnitud en BCD.

11) Realice las siguientes operaciones. Para cada una incluya todo el procedimiento de
solución:

a. Convierta de manera directa el número 863.20312510 a base 8


b. Realice el producto en base 5 : 413.25 X 325
c. Realice la resta 61410-37310 mediante la suma de complementos de base.
d. Exprese el número sin signo 110111012 en BCD

12) Se tiene un convertidor analógico a digital que convierte con una resolución de 16
bits, incluyendo el signo. Se desea usar este convertidor para leer las temperaturas
a que operan los circuitos integrados, las cuales oscilan entre -125 y + 125°C. El
convertidor se programa para que lea señales analógicas de entrada entre -5 y
+5VDC y que su código binario salga en complemento a dos. Calcule los valores de
temperatura para los códigos binarios 0100 0111 0011 0101 y 1010 1100 1110
0010. Considere que el error de exactitud es de 1%FS

13) Represente en octal y BCD la cantidad sin signo $A6CC.

14) Un sistema digital de control de IoT (Internet of Things) debe recibir una máscara de 1
byte proveniente de internet. Dicha máscara contiene los salidas a ser activadas en el
control. Como se sabe los protoclos de comunicación en internet transmiten en ASCII.
De esta manera, por ejemplo, si se desean cambiar los 3, 6 y 8 la máscara sería
10100100 y el “string ASCII condificado en hexadecimal recibido será
X1X2X3=$31$36$34, donde X1, X2 y X3 son los tres bytes del código ASCII recibidos.
Encuentre la ecuación que convierte el código ASCII en el valor binario (Z) como una
función de X1, X2 y X3.

15) Una aplicación requiere medir presión en psi (“pounds for square inch”) en el rango de
0 a 15 psi y esta es convertida de manera lineal en un voltaje de 0 a 5 voltios. Si se
utiliza un convertidor analógico a digital de 10 bits con una exactitud de 1% F.S.
Entonces calcule:

Profesor Ing. Geovanny Delgado M.Sc.E.E.


UNIVERSIDAD DE COSTA RICA
ESCUELA DE INGENIERIA ELECTRICA
CIRCUITOS DIGITALES I, IE-0423

a) Cuáles son los posibles códigos binarios resultado de la conversión de una


presión de 7.85 psi
b) Cuál es el intervalo de valores (en psi) asociados al código binario 3C6HEX

16) Si se utiliza un convertidor analógico a digital de 12 bits, con una exactitud de 0.2 %
FS, para obtener la señal de una romana en el ámbito de 0 a 28 Kg, indique, en
hexadecimal, el intervalo de valores de salida del convertidor para un peso de 13.62 kg

17) Se tiene un convertidor analógico digital de 10 bits en complemento de dos midiendo


un voltaje de -10 a +10 voltios. Si la exactitud de este convertidor es del 1% FS, indique
en hexadecimal el código binario más grande que represente -5.5 voltios.

18) Un circuito digital tiene tres entradas: x, y, z, y una salida F(x,y,z). Se cuenta para
implementar este circuito digital con dos inversores, dos compuertas AND de dos
entradas y una compuerta OR de dos entradas. Si la expresión lógica que describe
F(x,y,z) viene dada por:

F ( x, y, z ) = y z ( z + z x) + ( x + z )( x y + xz )
Utilice los postulados y teoremas del álgebra de conmutación (Boole) para simplificarla
a una forma que se pueda implementar con las compuertas disponibles. En cada paso
enuncie el postulado o teorema utilizado

19) Simplifique por manipulación algebraica, la expresión, indique el postulado del álgebra
de conmutación utilizado en cada paso.

F(A,B,C)=(AB)'(AC+B')+(A+B)(A)(BC)'+A'BC

20) Realice la siguiente expresión usando solamente compuertas NOY: F=[A+(B+C)'+B'D']'

21) Utilizando los postulados del álgebra de Boole simplifique al máximo la siguiente
expresión. Indique en cada paso el postulado del álgebra de Boole utilizado.

F(A,B,C,D,E) = (AB+C+D)(C’+D)(C’+D+E)

22) En muchos automóviles la alarma del cinturón de seguridad se utiliza también para
indicar que se está dejando la llave en el contacto de ignición o dejando las luces
frontales encendidas, cuando el auto está desocupado. La siguiente descripción
propone la forma en que puede funcionar dicho sistema: La alarma sonora se activa si
la llave está en el contacto de ignición cuando la puerta está abierta y el motor no está
funcionando; o si las luces están encendidas cuando la llave no está en el contacto de
ignición o si el cinturón de seguridad del conductor no está cerrado cuando el motor

Profesor Ing. Geovanny Delgado M.Sc.E.E.


UNIVERSIDAD DE COSTA RICA
ESCUELA DE INGENIERIA ELECTRICA
CIRCUITOS DIGITALES I, IE-0423

está funcionando; o si el asiento del pasajero está ocupado y su cinturón de seguridad


no está cerrado, cuando el motor está funcionando. Diseñe el circuito lógico mínimo
para la función ALARMA, si se cuenta con entradas para: Motor Funcionando (MF),
Luces Encendidas (LC), Contacto de Ignición (CI), Cinturón de Chofer Cerrado (CCC),
Cinturón de Pasajero Cerrado (CPC), Asiento de Pasajero Ocupado (APO), Puerta
Abierta (PA). Incluya todo el procedimiento y muestre un diagrama esquemático final
del circuito.

23) Cinco estudiantes, Ana, Juan, Luis, María y Pedro, están planificando un viaje en
automóvil, para lo cual deben cumplir las siguientes condiciones :

• Si María va, Pedro debe ir.


• Si Juan va, Ana y María deben ir también
• O Ana o Juan o ambos deben ir
• O Luis o Pedro, pero no ambos, deben ir
• O van Ana y Luis, ambos, o no va ninguno de los dos.

Deducir una función de conmutación que nos indique qué estudiantes pueden
hacer juntos el viaje. Simplificar la función tanto como sea posible.

24) Un circuito digital tiene tres entradas: A, B, C, y una salida F(A,B,C). Se cuenta, para
implementar este circuito digital, con dos inversores, dos compuertas AND de dos
entradas y una compuerta OR de dos entradas. Si la expresión lógica que describe
F(A,B,C) viene dada por:

f ( A, B, C ) = ( A B + C )( A B + B ) + AC

Utilice los postulados y teoremas del álgebra de conmutación (Boole) para


simplificarla a una forma que se pueda implementar con las compuertas disponibles.
En cada paso enuncie el postulado o teorema utilizado

25) Un circuito digital tiene 3 entradas x, y, z y una salida denotada por f(x,y,z). Se cuenta
para implementar este circuito únicamente con una compuerta “Y” de dos entradas y
una compuerta “O” de dos entradas. Si la expresión lógica que describe f(x,y,z) viene
dada por:

(
f ( x, y , z ) = x y z + x y z + x y z )
Utilice los postulados y teoremas del algebra de Boole para simplificarla a una forma
que se pueda implementar con las compuertas disponibles. En cada paso enuncie el
postulado o teorema utilizado.

Profesor Ing. Geovanny Delgado M.Sc.E.E.


UNIVERSIDAD DE COSTA RICA
ESCUELA DE INGENIERIA ELECTRICA
CIRCUITOS DIGITALES I, IE-0423

26) Un circuito digital tiene 4 entradas A, B, C Y D y una salida denotada por f(A,B,C,D). Se
cuenta para implementar este circuito únicamente con dos compuertas “Y” de dos
entradas, una compuerta “O” de dos entradas y dos inversores. Si la expresión lógica
que describe f(A,B,C,D) viene dada por:

f ( A, B, C , D ) = AB + AC D + BC D
Utilice los postulados y teoremas del algebra de Boole para simplificarla, en el mínimo
número de pasos, a una forma que se pueda implementar con las compuertas
disponibles. En cada paso enuncie el postulado o teorema utilizado.

27) Considere el circuito de la siguiente figura. Obtenga la expresión mínima para f(A,B).
Incluya todo el procedimiento.

28) Considere el siguiente circuito lógico CMOS, encuentre el circuito equivalente en


conectivas lógicas y dibuje este circuito. Incluya todo el procedimiento que lleva a su
solución

29) Una tarjeta de la que ya se habían producido 1000 copias, con el circuito de la

Profesor Ing. Geovanny Delgado M.Sc.E.E.


UNIVERSIDAD DE COSTA RICA
ESCUELA DE INGENIERIA ELECTRICA
CIRCUITOS DIGITALES I, IE-0423

siguiente figura (sin incluir los diodos), tenía errores lógicos que se corrigieron
incluyendo sobre ella, los diodos mostrados.

a. Encuentre la expresión lógica de la salida X.


b. Calcule los márgenes de ruido en ambos niveles, en la línea “a”.
c. Explique porqué la solución es lógicamente correcta pero eléctricamente incorrecta.

Considere que:

IIlmáx = - 0.4 mA; IIhmáx = 20uA; IoLmáx= 8 mA; IoHmáx = - 400 uA

VOLmax = O.5V; VILmax=O.8V; VoHmin = 2.7V; VIhmin = 2.0V; Vd =0.7 v (Voltaje del diodo)

30) Determine cuántas cargas 74AS puede manejar una salida 74ACT, si las
características máximas en mA, son las siguientes:

74ACT: IIL=0.05; IoL=24: IIH= -0.05; IOH= -24


74AS: IIL=-2.0; IOL=20: IIH =20Ox10-3; IOH= -2000x10-3

31) Para el circuito de la siguiente figura, determine el tiempo de propagación del peor
caso, que se da desde la entrada A, B, C o D, hasta F.

Profesor Ing. Geovanny Delgado M.Sc.E.E.


UNIVERSIDAD DE COSTA RICA
ESCUELA DE INGENIERIA ELECTRICA
CIRCUITOS DIGITALES I, IE-0423

32) Determine la potencia de disipación total del circuito de la siguiente figura como una
función de la frecuencia de transmisión, para la implementación en dos tecnologías:

a) Usando compuertas 74LS


b) Usando compuertas 74HC

Suponga que la capacitancia de entrada es de 3 pF para una compuerta TTL. y 7 pF para


una compuerta CMOS, que cada compuerta tiene una capacitancia de disipación de
potencia interna de 20 pF y que existe una capacitancia parásita adicional debida al
alambrado de 20 pF en el circuito. También suponga que las entradas W, X, Y y Z están
siempre. Explique cualquier otra suposición que utilice

33) Indique el porcentaje de energía ahorrada, cuando se alimenta un 74HC con 3.3V,
comparado con una operación a 5V

34) El circuito de la siguiente figura usa compuertas NOY de drenaje abierto para realizar
lógica alambrada.

a. Escriba una expresión lógica para la señal de salida, como función de las entradas
del circuito.
b. ¿Cuál es el máximo valor de R1, si se requiere un margen de ruido de 0.7V en el
nivel alto a la salida de la compuerta?

Considere que:

IIlmáx = - 0.4 mA; IIhmáx = 20uA IoLmáx= 8 mA IoHmáx = 100 uA

VOLmax = O.5V VILmax=O.8V VoHmin = 2.7V VIhmin = 2.0V

Profesor Ing. Geovanny Delgado M.Sc.E.E.


UNIVERSIDAD DE COSTA RICA
ESCUELA DE INGENIERIA ELECTRICA
CIRCUITOS DIGITALES I, IE-0423

35) Considere el circuito de la siguiente figura el cual opera a una frecuencia de 500 kHZ.

a) Escriba la función F(A,B,C)


b) Calcule la potencia disipada en AC, si la capacitancia de cada una de las líneas es de
13 pF y la salida va a manejar un inversor 74HC04.
c) Calcule el retardo de propagación del peor caso
d) Calcule el margen de ruido en la línea X

36) Para el circuito de la siguiente figura:

a) Encuentre el margen de ruido en la línea “a”.


b) Encuentre el retardo de propagación de la entrada a la salida en la trayectoria de
señal del peor caso
c) ¿Cual es el fan-out de un 74AHCT02 manejando compuertas 74HC00?
Justifique su respuesta
d) Si la salida está manejando una sola entrada AHCT y cada una de las líneas
tiene una capacitancia de 3 pF. Calcule la potencia disipada por el circuito a una
frecuencia de 300 Khz cuando opera a 5 voltios.

37) Las dos compuertas del siguiente circuito son idénticas y tienen las siguientes
especificaciones, para VDD= 5 VDC: VOL= 0.45 v, VOH = 3.8 v, VIL = 1.45 v, VIH = 2.7 v,
IOL = 4 mA, II= ± 250 uA. Suponga que el cero de entrada en I1 es cero y el 1 es 5v.

Profesor Ing. Geovanny Delgado M.Sc.E.E.


UNIVERSIDAD DE COSTA RICA
ESCUELA DE INGENIERIA ELECTRICA
CIRCUITOS DIGITALES I, IE-0423

a. Si R2 = 10 Kohmios. Encuentre el intervalo de valores de R1 tal que I2 reconozca


los valores lógicos de manera apropiada.
b. Si R2= 10 Kohmios y R1 = 2.7 kohmios calcule el margen de ruido de estado alto en
la línea x.

Ayuda: No olvide considerar la corriente de entrada a I2

38) Se desea realizar un circuito combinacional con dos canales de entrada A y B y dos
canales de salida Y y Z. Todos los canales son de dos bits. Se dispone de una línea de
control en el circuito denominada S, tal que, cuando S=0, el valor del canal A deberá
salir por el canal Y y el valor de canal B sale por el canal Z. Además cuando S=1 el
valor del canal A deberá salir por el canal Z y el valor del canal B por el canal Y.
Diseñe el circuito utilizando amplificadores no inversores (“buffers”) con salida de tercer
estado. Incluya el diagrama esquemático del circuito propuesto y explique su solución.

39) Considere el diagrama parcial de un circuito digital:


Las especificaciones de las compuertas son:

IOH = - 2 mA, IOL = 2 mA


VIHmin = 3.25 v, VILmax = 1.5 v,
VOL,max = 0.4 v, VOH,min = 3.7 v, II = ± 0.1 mA.
tPLH=tPLH=22 nS

Entonces:

a) Determine la función lógica del circuito f(R,S,T,U).


b) Calcule el valor de RP para un magen de ruido en estado alto mayor o igual a 1.5 v.
Nota: No se conoce el valor de la barrera de potencial del diodo.
c) Si los tiempos de propagación de los diodos son despreciables, calcule la máxima
frecuencia a la que puede operar el circuito.

Profesor Ing. Geovanny Delgado M.Sc.E.E.


UNIVERSIDAD DE COSTA RICA
ESCUELA DE INGENIERIA ELECTRICA
CIRCUITOS DIGITALES I, IE-0423

II EXAMEN PARCIAL
1. Considere la siguiente función lógica:

f(w,x,y,z)= wy + x(w+yz’)

Encuentre las ocho formas estándar para esta función

2. Convierta las siguientes funciones a su expansión en mintérminos y en maxtérminos

f (u , v, w, x, y ) = V (W + U )( X + Y ) + U W Y
f (V ,W , X , Y , Z ) = ( X + Z )( Z + WY ) + (VZ + W X )(Y + Z )

3. Utilizando los mapas de Karnaugh encuentre las realizaciones mínimas en la forma


SDP para las siguientes funciones:

a) f ( A, B, C , D) = ∑ m(0,4,6,10,11,13)
b) f ( w, x, y, z ) = ∑ m(3,4,5,7,11,12,14,15)
c) f ( A, B, C, D) = ∏ M (3,5,7,11,13,15)
d ) f (v, w, x, y, z ) = ∑ m(0,2,3,4,5,11,18,19,20,23,24,28,29,31)

4. Determine las realizaciones mínimas en forma SDP para las siguientes funciones
incompletamente especificadas:

a) f ( A, B, C, D) = ∑ m(1,3,5,8,9,11,15) + d (2,13)
b) f ( A, B, C, D, E ) = ∑ m(1,2,3,4,5,11,18,19,20,21,23,28,31) + d (0,12,15,27,30)
c) f ( A, B, C, D) = ∑ m(4,5,7,12,14,15) + d (3,8,10)
5. Utilizando mapas de Karnaugh para la siguiente función,encuentre:

f ( E , D ,C , B , A ) = ∑ m (2,4,5,6,12,13,14,16,18,22,24,25,30) + d (7,11,19,20,21,27,28,31)

i) Todos los implicantes primos


ii) Todos los implicantes esenciales
iii) La forma mínima SDP para la función

Profesor Ing. Geovanny Delgado M.Sc.E.E.


UNIVERSIDAD DE COSTA RICA
ESCUELA DE INGENIERIA ELECTRICA
CIRCUITOS DIGITALES I, IE-0423

6. La siguiente figura, muestra el cruce de una autopista principal, con un camino de


acceso secundario. Se colocan sensores de detección de vehículos a lo largo de los
carriles C y D (autopista) y en los carriles A y B (camino de acceso). Las salidas del
sensor son bajas (0), cuando no pasa ningún vehículo y altas (1), cuando pasa algún
vehículo. El semáforo del cruce, se controlará de acuerdo a la lógica siguiente:

a) La luz verde del semáforo E-O, denominada VA, estará en verde, siempre que:

i. los carriles C y D estén ocupados


ii. ya sea C o D estén ocupados pero A y B no lo estén
iii. cuando no haya vehículos transitando

b) La luz verde del semáforo N-S, denominada VC, estará en verde, siempre que:

i. Los carriles A y B estén ocupados pero C y D no lo están

ii. Los carriles A o B estén ocupados pero C y D no lo están

Debe haber adicionalmente, dos luces rojas correspondientes, denominadas RA y RC,


que se encienden cuando la luz verde correspondiente está apagada. Diseñe un circuito
lógico para controlar las luces. Obtenga la solución mínima.

7. Una máquina digital tiene 3 entradas de datos, B0, B1, B2 y dos entradas de control C0
y C1, y dos salidas Y0 y Y1. Dos de los bits de entrada irán a las salidas, de acuerdo a
los valores de las entradas de control como se muestra en la siguiente tabla.
Encuentre una expresión SDP mínima que describa a las salidas Y0 y Y1

C0 C1 Y1Y2
00 B1B0
01 B2B1
10 B0B2

Profesor Ing. Geovanny Delgado M.Sc.E.E.


UNIVERSIDAD DE COSTA RICA
ESCUELA DE INGENIERIA ELECTRICA
CIRCUITOS DIGITALES I, IE-0423

8. Un sumador/restador de números de 4 bits con signo, en complemento a dos, realiza la


operación A±B presentando el resultado en 5 bits de la forma: CSΣΣ2Σ1Σ0, donde C es
el acarreo SΣ es el signo de la suma y Σi es el bit i-ésimo del resultado. Los operando
A y B son de la forma: A=SAA2A1A0 y B= SBB2B1B0 donde SA y SB son los signos
correspondientes a A y B y Ai y Bi son los i-ésimos bits de A y de B. El sumador
dispone de una entrada S/R para seleccionar operación SUMA (S/R = 1) u operación
RESTA (S/R=0). Entonces, para este sumador diseñe un circuito mínimo que
disponga de una salida D que se ponga en 1 cuando el resultado ha rebasado la
capacidad del número.

9. Se debe diseñar un circuito lógico combinacional que tenga cuatro entradas


denominadas y1, y0, x1, x0. Los pares de bits y1y0, x1x0 representan números binarios
positivos de dos bits con x1 y y1 como los más significativos. La única salida del
circuito (z) debe ser 1 si y solo si el número binario x1x0 es mayor o igual a y1y0.
Diseñe un circuito O/Y mínimo y muestre el diagrama esquemático.

10. Si A es el MSB, utilizando mapas de Karnaugh, encuentre la expresión mínima SDP


para:

( )( )
f ( A, B, C , D, E ) = C E + CE A + B D + A + B DCE( )
11. Se desea encontrar los números primos entre 3 y 20 (incluidos estos dos límites) en
una palabra de 5 bits denotada como ABCDE. Diseñe un detector primo que satisfaga
este requerimiento donde la única salida (F) será 1 cuando la palabra ABCDE es un
número primo entre 3 y 20. El diseño debe ser en dos niveles y sólo se cuenta con
compuertas OR y NAND. Encuentre la expresión mínima por mapas de Karnaugh y
muestre el diagrama del circuito a implementar.

12. Encuentre la representación mínima NOY/NOY de un circuito digital que tiene dos
entradas de 1 bit (A y B), dos líneas de selección (S0 y S1) y una salida Z. La salida
Z se determina aplicando a las entradas A y B la función definida por las líneas de
selección de acuerdo a la siguiente tabla

S1 S0 Z
0 0 A (AND) B
0 1 A (OR) B
1 0 A (OEXC) B

13. Diseñe un circuito llamado contador de pluralidad en la forma de una red iterativa. Un
contador de pluralidad acepta entradas A1, A2, ....., An y produce dos salidas B1 y B0.
Las dos salidas permiten cuatros posibles códigos. Se utiliza un código que indica que
la palabra de entrada no contiene unos, otro código que indica que la palabra de
entrada contiene solo un 1, y un tercer código que indica que la palabra de entrada
contiene dos o más unos. Intente con varios conjuntos de códigos con el objetivo de
obtener la implementación más simple posible de la celda general de la red.

Profesor Ing. Geovanny Delgado M.Sc.E.E.


UNIVERSIDAD DE COSTA RICA
ESCUELA DE INGENIERIA ELECTRICA
CIRCUITOS DIGITALES I, IE-0423

14. Dados dos números binarios A=an, an-1, ...., a0 y B=bn, bn-1, ...., b0 , diseñe una red
iterativa llamada detector de magnitud relativa que produce una salida en 1 si A>B y 0
de otra forma. Este problema puede ser resuelto analizando los números de LSB al
MSB o viceversa. Si se analiza del LSB al MSB, se requieren dos líneas entre las
celdas. Si se analiza del MSB al LSB se requiere solo una.

15. Diseñe una red iterativa la cual inspecciona dos números de n bits A y B y determina
si A es el complemento a 1 de B. De ser así la salida al final de la red es 1, de otra
forma es 0. Por ejemplo para n=8, si A=10001100 y B = 01110011 entonces la salida
es 1.

16. Diseñe una red iterativa la cual determine si los unos y los ceros de una palabra de n
bits aparecen de forma alternada. Si los bits están alternados se produce un 1 al final
de la red, de lo contrario se produce un cero. Ejemplos: 010101 y 1010101 producen
una salida 1, además 110101 produce una salida 0.

17. Diseñe una red iterativa la cual inspeccione un número de n bits denominado A y
determine si tiene tres unos adyacentes en cualquier lugar de la palabra, produciendo
un código de salida 11 al final de la red. Las demás combinaciones de salida 00, 01 y
10, indican que la palabra no tiene tres unos adyacentes en ningún lugar. Por
ejemplo, para n=8, 01110110 produce una salida 11. La palabra 01101101 produce
uno de los siguientes tres códigos: 00, 01 ó 10.

18. Diseñe una red iterativa la cual determina si un número B de n bits es la versión
desplazada de un número A. Donde el desplazamiento a determinar es de una
posición a la izquierda, con una entrada de cero por el LSB. Si B es la versión
desplazada de A entonces la salida es uno, de lo contrario la salida es cero. Por
ejemplo, si A=1010 y B = 0100 entonces la salida es 1. Note que el problema puede
ser resuelto analizando la palabra de derecha a izquierda o de izquierda a derecha.

19. Diseñe una red iterativa que determine si un número A = AnAn-1.....A1 tiene la siguiente
característica:

A2i = A2i-1 para todos los i = 1,2,...,n/2

Si esto es así entonces la salida de la red es 1 de lo contrario es 0. Por ejemplo


110000110011 tiene esta característica, pero 110001110011 no la tiene. El
problema se puede trabajar en cualquier dirección.

Ayuda: Agrupe las entradas tal que para cada i, A2i y A2i-1 son entradas a la misma
celda.

20. Diseñe una red iterativa que determine si un número de n bits A = AnAn-1.....A1 tiene
una de las siguientes características:

Profesor Ing. Geovanny Delgado M.Sc.E.E.


UNIVERSIDAD DE COSTA RICA
ESCUELA DE INGENIERIA ELECTRICA
CIRCUITOS DIGITALES I, IE-0423

a) An = 1 y Ai = 0 para todo i < n. O


b) An = 0 y Ai =1 para todo i < n

De cumplirse esto la salida de la red debe ser 1, de otra forma la salida deberá ser 0.
Por ejemplo para n=4 1000 y 0111 producen un 1, pero 1100 produce un cero en la
salida.

21. Diseñe una red iterativa que recibe una palabra de entrada A = AnAn-1.....A1 y produce
una salida al final de la red que es 1 si cualquiera de las dos siguientes condiciones
se cumple:

a) Todos los bits son cero


b) An=1 y los restantes bits son cero

Por ejemplo, si n=4, 0000 y 1000 producen una salida 1, pero 1010 produce una salida
0.
Nota: La solución más simple se obtiene si la primera celda es tratada de manera
diferente a las restantes.

22. Diseñe una red iterativa que realice las siguientes especificaciones

a) La entrada es una palabra A de n bits


b) La salida al final de la red es la suma módulo 3 del número de unos en la palabra
c) Si N es el número de unos en A, entonces represente NMod3 = 0 por 00, NMod3=1 por
01, NMod3 = 2 por 10

Por ejemplo 1001001110 debe producir una salida 10, ya que 5 módulo 3 es 2 y
1010101110 debe producir una salida 00, ya que 6 módulo 3 es 0.

23. Diseñe una red iterativa que reciba 4 números en BCD y que detecte cuando se
reciba la secuencia 4321. La salida deberá ser el número 4 en BCD si se tiene esta
secuencia, de lo contrario deberá dar un 0 en BCD.

24. Se desea diseñar un circuito lógico mínimo para obtener el complemento a dos de
una palabra P y adicionarle un bit de paridad par. El bit de paridad será 1 si el número
de unos de la palabra complementada Z, es impar; de otro modo será cero. Diseñe
este circuito en la forma de una red iterativa.

25. Las n palabras de una memoria asociativa, están formadas por dos campos llamados
ETIQUETA y DATO, como se muestra en la siguiente figura. Cuando se busca un
dato en forma asociativa, se coloca una etiqueta a la entrada de la memoria para
determinar si hay dentro de ella, una etiqueta igual. Si este fuera el caso, la palabra
emite una señal de concordancia, CON, que le informa sobre este resultado a la
LÓGICA DE SELECCIÓN de la memoria. La lógica de selección selecciona sólo a la
palabra en concordancia; con una línea individual SEL, lo que causa que la palabra

Profesor Ing. Geovanny Delgado M.Sc.E.E.


UNIVERSIDAD DE COSTA RICA
ESCUELA DE INGENIERIA ELECTRICA
CIRCUITOS DIGITALES I, IE-0423

completa, se ponga en la SALIDA de la memoria asociativa Si hay más de una


concordancia, sólo se activará la salida SEL de la primera palabra encontrada, para
evitar conflictos en la salida. La lógica de selección deberá emitir además, una señal
E, que indica la existencia de al menos una concordancia y una señal M, que indica
que se encontró más de una señal de concordancia. Diseñe una red iterativa que
realice la lógica de selección. Tanto E como M deberán salir de la última celda, pero
M deberá generarse directamente, sin la adición de lógica extra

26. Los bits S1, S2,…., Sn son señales de interrupción enviadas por n equipos periféricos
al procesador central de un computador, para solicitar su atención. En cualquier
momento el procesador se puede comunicar con, a lo más, dos periféricos. El
periférico 1 (correspondiente a la interrupción S1) tiene la prioridad de atención más
alta, el periférico 2 (correspondiente a la interrupción S2), la segunda más alta y así
sucesivamente para todos los periféricos. Se debe diseñar una red de reconocimiento
de atención, con salidas Z1,Z2,…Zn de tal modo que no más de dos salidas se
pongan en 1 simultáneamente, las salidas en uno corresponden a las dos entradas de
mayor prioridad de los periféricos solicitando atención (la interrupción i-ésima está
activada si Si=1). En caso de que solo exista solicitud de interrupción de un periférico
solo se pondrá en 1 la salida correspondiente y si no hay solicitudes de interrupción
ninguna salida se debe poner en 1. Diseñe una red iterativa para implementar el
diseño de la red de reconocimiento de atención a las interrupciones. Utilice el
procedimiento visto en clase, incluya todo el procedimiento. Dibuje el diagrama
esquemático de la celda típica.

27. Diseñe la red iterativa más pequeña que compare dos números de n bits A (An-1An-

Profesor Ing. Geovanny Delgado M.Sc.E.E.


UNIVERSIDAD DE COSTA RICA
ESCUELA DE INGENIERIA ELECTRICA
CIRCUITOS DIGITALES I, IE-0423

2……A1A0) y B (Bn-1Bn-2….B1B0) y que genere tres salidas denotas C1, C2 y C3 que se


activen si A=B (C1=1), A<B (C2=1) y A>B (C3=1). Utilice el procedimiento de diseño
visto en clase, incluya todo el procedimiento, dibuje el diagrama de la celda típica con
el sentido de propagación propuesto.

28. Diseñe una red iterativa con la mínima cantidad de estados para obtener la suma con
acarreo de dos números de N bits (A y B), el acarreo de la suma se denota como C.
La red debe adicionarle un bit de paridad par a la solución. El bit de paridad será 1 si
el número de unos de la suma S, es impar; de otro modo será cero. Utilice el
procedimiento de diseño visto en clase e incluya todos los pasos. Recuerde hacer el
diagrama esquemático de la celda típica.

29. Se debe realizar un circuito para que realice la operación en complemento de base
Z=A-B (Z=A+B*) de dos palabras de N bits. Los números A y B están en complemento
de base. Este circuito debe diseñarse en la forma de una red iterativa mínima. Utilice
el procedimiento de diseño visto en clase. No es necesario que dibuje el diagrama
esquemático de la celda típica. Incluya el diagrama esquemático de la celda inicial y el
diagrama de bloques de la celda final. Nota: Observe que la celda típica debe resolver
dos tareas.

30. Diseñe una red iterativa, con la mínima cantidad de estados, la cual recibe una
palabra P de n bits la cual es un número en complemento de 2 y genera una salida Z
que es el valor de la entrada multiplicado por 3. Por ejemplo A=0100 resulta Z= 1100,
A= 11100 resulta Z= 10100. Utilice el procedimiento de diseño y la asignación de
estados con el método heurístico vistos en clase, no es necesario que dibuje el
diagrama esquemático de la celda típica. Antes de plantear los estados explique
brevemente cómo va a resolver el problema. Nota: Considere que el resultado (Z)
cabe en n bits.

III EXAMEN PARCIAL

1) Encuentre la expresión SDP mínima para la función del mapa adjunto. Debe seguir
el procedimiento de minimización visto en clase.

Profesor Ing. Geovanny Delgado M.Sc.E.E.


UNIVERSIDAD DE COSTA RICA
ESCUELA DE INGENIERIA ELECTRICA
CIRCUITOS DIGITALES I, IE-0423

2) Encuentre la forma SDP mínima de la función representada en el siguiente mapa

3) Simplifique el siguiente mapa de Karnaugh, utilizando el procedimiento de


reducción de mapas con variable ingresada (mapas de dimensión reducida) visto
en clase

4) Considere la función combinacional de las variables:

f ( E , D ,C , B , A ) = ∑ m (0,1,3,5,7,8,9,13,15,17,19,21,23,24,25) + d (4,12,28,29,31)

Obtenga un mapa de dimensión reducida ingresando primero la variable E y luego la


variable D. Incluya todo el procedimiento.

5) Considere la función:

f ( E , D ,C , B , A ) = ∑ m (2,3,4,10,11,12,18,19,20,22,28) + d (6,14,21,26,29,30)

a) Dibuje el mapa de Karnaugh de dimensión reducida ingresando las variables D y E


b) Partiendo del mapa de dimensión reducida encuentre la expresión mínima para la
función.

6) Use mapas de dimensión reducida para realizar la siguiente función con un arbol
de multiplexores de la forma E-CD-AB.

F ( A, B, C, D, E) = ∑ (0,3,5,6,8,9,11,15,18,19,23,27,29,30) + d (1,4,13,17,31)

7) Muestre cómo construir un MUX 8 a 1 con dos MUXes 4 a 1 que disponen de


control de tercer estado en sus salidas.

Profesor Ing. Geovanny Delgado M.Sc.E.E.


UNIVERSIDAD DE COSTA RICA
ESCUELA DE INGENIERIA ELECTRICA
CIRCUITOS DIGITALES I, IE-0423

8) Considere la expresión:

Y = ABCD + ABCD + ABC D + ABC D + ABC D + ABCD + ABC D + ABCD


A) Implemente la expresión Y utilizando MUX 8x1
B) Implemente la expresión Y utilizando MUX 4x1

9) Implemente la siguiente expresión utilizando la mínima cantidad de multiplexores


2x1
Y = ABC + ABC + ABC + ABC
10) Implemente la expresión

Y = ABC + ABC + ABC + ABC


Utilizando un 74HC157 y la mínima cantidad de compuertas adicionales.

11) Considere la función:

f ( E , D ,C , B , A ) = ∑ m (0,2,3,4,5,8,12,13,18,19,20,21,26,27,28,29) + d (6,9,11,14,22,24,25,30)

Implemente esta función mediante un circuito mínimo que utilice un MUX 8X1, con las
líneas de selección alambradas a CBA, más las conectivas lógicas que requiera. Incluya
todo el procedimiento y muestre el diagrama esquemático de su solución.

12) Considere la siguiente función:

f ( E , D ,C , B , A ) = ∑ m (0,2,3,4,5,8,12,13,18,19,20,21,26,27,28,29) + d (6,9,11,14,22,24,25,30)

Implemente esta función mediante un arbol de multiplexación de la forma: B-EA-DC

13) Considere la función:

f (D, P, M, G, H ) = ∑ m(0, 2, 4, 7, 9,12,14,18, 20, 23, 25, 26, 28, 30) + d(1,8,11,15,16,17, 21, 29)

a) Implemente esta función utilizando un MUX 8X1, cuyas líneas de selección sean
PGH y que utilice la mínima cantidad de lógica adicional. Incluya los mapas de
Karnaugh que sustenten su solución, ingrese las variables en el orden de
precedencia.
b) Implemente esta función con un árbol de multiplexores a tres niveles, con el orden
de selección GH-P-DM, la salida se obtiene del multiplexor DM. Utilice la mínima

Profesor Ing. Geovanny Delgado M.Sc.E.E.


UNIVERSIDAD DE COSTA RICA
ESCUELA DE INGENIERIA ELECTRICA
CIRCUITOS DIGITALES I, IE-0423

cantidad de multiplexores.

14) Realice un sistema combinacional que recibe como entradas dos números de 4 bits
y genera en su salida de 4 bits, el mayor de ambos números o un cero si los
números son iguales. En su solución NO PUEDE utilizar más de dos circuitos
integrados.

15) Un desplazador de barril de 4 bits es un circuito lógico combinacional con cuatro


entradas para datos, E0 a E3, cuatro salidas para datos S0 a S3 y dos entradas de
control C0 y C1. La palabra saliente es igual a la palabra entrante, rotada
(desplazada) un número de bits especificado por las entradas de control. Por
ejemplo, si las entradas de control son C1C0=10 las salidas serán S0=E2, S1=E3,
S2=E0 y S3=E1. Diseñe el desplazador de barril usando no más de 4 unidades
lógicas combinacionales. (Sugerencia: no intente una solución basada en
compuertas)

16) Haga una tabla de verdad para el biestable RS. Utilice esa tabla de verdad para
diseñar el circuito mínimo del biestable RS, utilice mapas de Karnaugh. Obtenga la
expresión con compuerta NOO.

17) Considere el circuito de la siguiente figura y el diagrama para las entradas DATO,
HAB y RELOJ adjuntos. Complete el diagrama de tiempos, utilice el espacio
dispuesto para las señales. Suponga que los tiempos de propagación son
despreciables y que el tiempo de setup y hold son cero, de tal manera que las
señales que cambian en el flanco toman los valores definidos inmediatamente
antes del flanco.

CL
K

HA
B

DAT
O

Q1

Q2

Q3

Profesor Ing. Geovanny Delgado M.Sc.E.E.


UNIVERSIDAD DE COSTA RICA
ESCUELA DE INGENIERIA ELECTRICA
CIRCUITOS DIGITALES I, IE-0423

18) Para el siguiente circuito complete el diagrama temporal adjunto. Explique su


solución. Considere que los tiempos de propagación son despreciables y que para
los flip-flops se satisfacen apropiadamente los tiempos de “setup”, en tanto los
tiempos de “hold” son cero, de tal manera que las señales que cambian en el flanco
toman los valores definidos inmediatamente antes del flanco.

Profesor Ing. Geovanny Delgado M.Sc.E.E.


UNIVERSIDAD DE COSTA RICA
ESCUELA DE INGENIERIA ELECTRICA
CIRCUITOS DIGITALES I, IE-0423

CLK

19) Para el siguiente circuito complete el diagrama temporal adjunto. Explique su


solución. Considere que los tiempos de conmutación son despreciables. Suponga
que los tiempos de propagación son despreciables y que el tiempo de setup y hold
son cero, de tal manera que las señales que cambian en el flanco toman los
valores definidos inmediatamente antes del flanco.

Profesor Ing. Geovanny Delgado M.Sc.E.E.


UNIVERSIDAD DE COSTA RICA
ESCUELA DE INGENIERIA ELECTRICA
CIRCUITOS DIGITALES I, IE-0423

CLK

Q1

Q2

Q3

Q4

20) Diseñe un contador sincrónico paralelo en módulo 16, usando flip flops T. Use ese
contador para implementar un contador módulo 10, suponiendo que los FF T tienen
una entrada sincrónica de borrado CLEAR, activa en nivel bajo. Determine la
frecuencia de conteo máxima aplicable al contador módulo 10, si tsu = 5 nS, tHOLD =
3 nS, tp(CLEAR-Q)= 10 nS, tp(CLK-Q) = 18 nS. Además para una compuerta Y: tPLH = 10
nS, tPHL = 12 nS y para una compuerta NOY : tPLH = 4 nS y tPHL = 6 nS

Problemas Parcial IV
1) Para la siguiente tabla de transición de estados obtenga el diagrama ASM

Profesor Ing. Geovanny Delgado M.Sc.E.E.


UNIVERSIDAD DE COSTA RICA
ESCUELA DE INGENIERIA ELECTRICA
CIRCUITOS DIGITALES I, IE-0423

2) Un sistema digital recibe dos líneas de datos L y M, que cambian con el borde creciente
del reloj. El sistema está revisando continuamente una ventana de 3 bits por la que
pasan las secuencias de datos. Cuando las secuencias L=1O1 y M=110 aparecen
simultáneamente, se activa la salida S1, poniéndose en nivel alto, en caso contrario S1
es cero (el primer bit recibido de la secuencia es el de más a la izquierda). La salida S2
es igual al valor de L, si el bit L anterior, fue 1, de otro modo, es igual a L'.

a) Describa la máquina mediante un diagrama ASM. Parta de un estado en el que aún


no se ha iniciado la coincidencia de las secuencias de entrada y el bit anterior en L
fue 1. Escriba su definición de estados.
b) Determine si el diagrama tiene estados redundantes, en cuyo caso, reduzca la
máquina y obtenga el diagrama ASM reducido.

3) Un carro C, ha de moverse sobre rieles entre dos puntos A y B, que disponen de


sendos interruptores de límite de carrera LA y LB, que indican cuando ha llegado a A y a B
respectivamente y el carro va a ser controlado por dos pulsadores (interruptores no
retenidos) P1 y P2. En el instante inicial, el carro está detenido en el punto A y permanece
en dicha posición hasta que se presione el pulsador P1, instante en que se activa la salida
Z1 que actúa sobre el motor del carro y hace que se desplace hacia B, el carro continúa
hacia B aunque se accionen cualquiera de los pulsadores P1 y P2. Una vez que el carro
alcanza el punto B, activará el interruptor LB, lo cual hará que se active la salida Z2 y se
desactive Z1, iniciándose el retorno al punto A. Si durante dicho movimiento se presiona
P2, el carro invertirá su sentido de movimiento, es decir, volverá hacia el punto B, para lo
cual se desactivará Z2 y se activará Z1. Si, por el contrario, no se activa P2, el carro
continuará hacia el punto A y se detendrá, desactivando Z1, al alcanzar el interruptor LA.
a) Describa la máquina de estados que controle el carro con la secuencia descrita
utilizando el diagrama ASM.

4) Se requiere diseñar un circuito digital para desplegar en una pantalla el piso en el que
se encuentra un elevador de un hotel de 14 pisos, donde el primer piso tiene el número
1. Como es común en los grandes hoteles no existe el piso número 13 y por lo tanto la
numeración salta del piso 12 al piso 14. Cada piso tiene un sensor de proximidad
magnética que envía al sistema digital una señal, denotada como E, tal que esta se
pone en 1 toda vez que el elevador pasa por ese piso. Se tiene además una señal
denominada S que indica el sentido del viaje (subiendo o bajando) que se pone en 1
cuando el elevador sube. a) Haga el diagrama ASM del circuito digital según lo
especificado. Suponga que la pantalla digital recibe el piso a desplegar en binario puro.

5) Se desea diseñar un sistema digital para el control de alarmas visual y sonora. Este
sistema tiene dos entradas A (Alarma) y S (Silenciar), además tiene dos salidas una
para la alarma sonora denominada C (Campana) y otra para la indicación visual
denominada L (Luz). Si no existe una entrada de Alarma las salidas C y L deben estar
desactivadas (en cero). Cuando se presenta una alarma se deberán activar C y L.
Mientras permanezca la condición de Alarma, se puede silencia la campana activando

Profesor Ing. Geovanny Delgado M.Sc.E.E.


UNIVERSIDAD DE COSTA RICA
ESCUELA DE INGENIERIA ELECTRICA
CIRCUITOS DIGITALES I, IE-0423

S, sin embargo, L se mantendrá activada toda vez que continúe la condición de Alarma.
a) Describa la máquina de estados con la secuencia descrita utilizando el diagrama
ASM.

6) Una máquina vende galletas a 20 colones y acepta monedas de 5 y 10 colones por una
ranura de depósito. El control digital de la máquina entrega las galletas activando una
salida G. En caso que se depositen 25 colones en una compra, el control bloqueará
inmediatamente el ingreso de monedas adicionales, activando la salida B, además
regresa una moneda de 5 colones activando la salida SC. La salida B debe mantenerse
activada durante la entrega de la galleta y también desde que se detecta el ingreso de
25 colones, hasta que termine de entregarse la galleta. La máquina tiene dos sensores
EC y ED que detectan el ingreso de monedas de de Cinco y Diez colones
respectivamente. Se considera que es imposible ingresar dos monedas
simultáneamente. Estos sensores envían al control digital una señal de nivel que está
activa mientras la moneda pasa, por lo tanto no se debe proceder a recibir una nueva
moneda hasta que no haya terminado de pasar la anterior. Por seguridad no se
entregarán las galletas ni la moneda de 5 colones de retorno, si la última moneda no ha
terminado de ingresar. Para que cualquiera de estas entregas se realice correctamente
se deberán mantener activadas G y SC, durante un retardo de T1 = 1 segundo y T2 =
0.75 segundos respectivamente. Para entregar las galletas, la máquina dispone de un
magasín de galletas que normalmente tiene una galleta montada, cuando se activa G
se entrega la galleta montada y cuando se desactiva G se monta automáticamente la
nueva galleta, quedando cargada para la siguiente entrega. Para devolver los 5
colones, la máquina dispone de un magasín de monedas, que funciona de una manera
similar al de las galletas. Se considera que los tiempos muertos que quedan desde la
desactivación de G o SC, son suficientes para montar una nueva unidad antes de que
sea necesario entregar otra. Para el control digital de la máquina descrita a) Dibuje el
diagrama ASM. b) Aplique el método de las particiones para determinar si tiene estados
redundantes.

7) Un sistema digital esta encargado de separar paquetes de acuerdo a su peso y


tamaño. Se tienen tres tamaños y tres pesos de paquetes de acuerdo a la siguiente
asignación: pequeños, medianos y grandes; livianos, semipesados y pesados. El
sistema cuenta con tres sensores de longitud T1, T2 y T3 y un sensor de peso W, tal
como se muestra en la siguiente figura:

Profesor Ing. Geovanny Delgado M.Sc.E.E.


UNIVERSIDAD DE COSTA RICA
ESCUELA DE INGENIERIA ELECTRICA
CIRCUITOS DIGITALES I, IE-0423

Los sensores de longitud están colocados de tal forma que si el paquete es pequeño, T1
se activa primero, luego se desactiva T1 sin que se active T2. Con un paquete mediano,
T2 se activará cuando T1 está aún activo y T1 se desactiva sin que se active T3.
Finalmente con un paquete grande T3 se activará cuando aún están activados T1 y T2.
Mientras el paquete transita por T1, T2 y T3, el sistema en W pesa el paquete. Este
sistema tiene dos salidas W1 y W2 las cuales están listas una vez que el paquete pase por
T3 y funcionan de la siguiente manera: Si el paquete pesa menos de 10 Kg, W1 se activa;
si el paquete pesa hasta 50 Kg, W2 se activa y si el paquete pesa más de 50 Kg se activan
tanto W1 como W2.
Una vez pasado T3 el canal transportador se divide en cuatro secciones , la sección 1 es
para paquetes pequeños de más de 50 kg, la sección 2 es para paquetes medianos de
hasta 50 kg, la sección 3 es para paquetes grandes de hasta 10 kg y la sección 4 es para
todos aquellos paquetes que no pudieron ser colocados en las secciones 1, 2 y 3.
La división del canal se controla por tres señales D1, D2 y D3. D1 debe activarse cuando
el paquete va a la sección 1, D2 debe activarse cuando el paquete va a la sección 2 y D1 y
D2 deben activarse cuando un paquete va a la sección 3. Finalmente D3 se activa cuando
el paquete va a la sección 4. La activación de D1, D2 y D3 se hará una vez que el paquete
haya pasado por el sensor T3. Además para activar el cambio de sección, solo se necesita
un flanco positivo en D1, D2 o D3 pues el sistema cuenta con una circuitería externa (no
se debe diseñar) que se encarga de abrir y cerrar el paso de una sección en particular.
Suponga que el espacio entre paquete y paquete es mayor que la longitud de un paquete
grande. Dibuje el diagrama ASM del sistema digital que controla este sistema.

8) En una fábrica se producen barras de acero de longitud L+d y L-d. Se requiere ordenar
estas barras colocándolas en una faja de transporte que pasa bajo dos fotoceldas,
como se muestra en la figura. El espaciamiento entre las barras en la banda
transportadora es mayor que d. A la derecha de la fotocelda FC2 está una trampa por
la que deben caer las barras cortas. La puerta de la trampa no se debe abrir cuando el
sensor de FC2 detecta la presencia de una barra estando el sensor FC1 aun activado y
se debe abrir cuando una barra corta ha pasado (se desactiva FC1 y aun no se ha
activado FC2). Describa la máquina de estados digital sincrónica para controlar este
proceso.

Profesor Ing. Geovanny Delgado M.Sc.E.E.


UNIVERSIDAD DE COSTA RICA
ESCUELA DE INGENIERIA ELECTRICA
CIRCUITOS DIGITALES I, IE-0423

9) En una fábrica de corrugados se tiene una máquina de apilado descendente


(“donwstacker”). Esta máquina cuenta con un elevador operando en descenso el cual
es alimentado con hojas de cartón por la parte superior, tal como se muestra en la
figura adjunta. La operación de apilado inicia con el elevador arriba, las láminas se
empiezan a apilar provenientes de la banda transportadora. La banda transportadora
de láminas se activa con una salida llamada BANDA y denominada BD. Cuando la pila
llega al nivel del sensor infrarrojo denominado BAJAR se toman dos acciones: se activa
la salida LIBERAR FRENO, denominada LF y se activa la salida MOTOR_BAJAR,
denominada MB. La salida MOTOR_BAJAR debe activarse durante 1.5 segundos,
tiempo suficiente para descender un poco el elevador y producir espacio de apilado
entre el tope de la pila y el sensor BAJAR. La salida LIBERAR FRENO debe activarse
0.5 segundos antes que MOTOR_BAJAR y debe terminar justo con la salida
MOTOR_BAJAR. Luego de esto el elevador se detiene recibiendo más láminas de
cartón hasta que la pila alcance nuevamente el nivel del sensor BAJAR para repetir el
procedimiento. Cuando el elevador alcance el nivel de PILA LLENA (PLL) se inicia el
descenso total del elevador, activando las salidas de LIBERAR FRENO (LF) y 0.5
segundos después MOTOR_BAJAR (MB), esta vez el elevador se desciende a nivel
de piso, detectado mediante el sensor ABAJO. En este momento se activa una salida
denominada EVACUAR (EV) la cual arranca un motor de evacuación de la pila del
elevador. Cuando la pila ha salido del elevador se activa un sensor denominado
ELEVADOR VACIO (ELVA) y medio segundo después la salida EVACUAR se
desactiva. Medio segundo después de que se desactiva la salida EVACUAR, se activa
la salida MOTOR_SUBIR (MS), para que el elevador regrese a su posición superior e
inicie un nuevo proceso de apilado. La salida MOTOR_SUBIR se desactiva cuando el
sensor ARRIBA se active indicando que el elevador llegó a su posición superior.
Durante el proceso de evacuación de pila (desde la activación del sensor PILA LLENA
y hasta la activación del sensor ARRIBA) la salida BANDA debe estar inactiva para
evitar que las láminas “vuelen” desde la salida de la banda mientras el elevador está
evacuando. De igual forma el freno debe estar liberado (LF=1) para permitir el
descenso y posterior ascenso del elevador hasta la posición ARRIBA. Realice la
descripción de la máquina de estado, con la mínima cantidad de estados posible, por
medio de un diagrama ASM indicando el acondicionamiento de salidas en caso requerir
salidas acondicionadas. Suponga que la señal de reloj es de 1 HZ con un ciclo de
trabajo del 50 % (0.5 segundos en estado alto y 0.5 segundos en estado bajo). En el
diagrama ASM indique los estados con letras minúsculas a,b,c,…. Luego haga un
listado donde describa cada uno de los estados utilizados; sea breve en la descripción
(dos a cuatro palabras)

Profesor Ing. Geovanny Delgado M.Sc.E.E.


UNIVERSIDAD DE COSTA RICA
ESCUELA DE INGENIERIA ELECTRICA
CIRCUITOS DIGITALES I, IE-0423

10) Para la siguiente tabla elimine los estados redundantes y muestre la tabla de estados
reducida resultante. Utilice el método de las particiones.

ESTADO PROXIMO ESTADO, SALIDAS


PRESENTE
X1X2=00 X1X2=01 X1X2=10 X1X2=11

A B,10 D,00 F,11 E,01


B D,11 B,01 E,00 G,11
C E,10 C,10 E,10 A,00
D F,10 A,00 F,11 E,01
E C,10 C,10 E,10 G,00
F A,11 B,01 C,00 G,11
G B,10 A,00 F,11 C,01

11) Para la máquina de estados descrita en la siguiente tabla elimine los estados
redundantes y muestre la tabla de estados reducida resultante. Utilice el método de las
particiones. Incluya todo el procedimiento y explique.

ESTADO PROXIMO ESTADO, SALIDAS


PRESENTE
X=0 X=1

A F,0 B,0
B D,0 C,0
C F,0 E,0
D G,1 A,1
E D,0 C,0
F F,1 B,1
G G,0 H,1
H G,1 A,0

Profesor Ing. Geovanny Delgado M.Sc.E.E.


UNIVERSIDAD DE COSTA RICA
ESCUELA DE INGENIERIA ELECTRICA
CIRCUITOS DIGITALES I, IE-0423

12) Utilizando el método de las particiones determine los estados redundantes para la
máquina de estados completamente especificada descrita por la siguiente tabla. Dibuje
el diagrama ASM de la máquina resultante.

ESTADO PROXIMO ESTADO


PRESENTE
X=0 X=1
a B,0 C,0
b A,1 G,1
c G,1 E,0
d G,1 E,1
e H,0 D,0
f D,0 B,0
g D,0 B,0
h E,1 F,0

13) Diseñe una máquina clase 1 con estructura de memoria de estados que satisfaga la
siguiente tabla

ENTRADAS SALIDAS
000 01
001 01
010 10
011 01
100 10
101 10
110 01
111 10

14) Diseñe un registro desplazante de 4 bits utilizando FF D. Este registro puede desplazar
a la izquierda o a la derecha bajo control de una entrada D/I la cual es 1 para
desplazamiento a la derecha y 0 para desplazamiento a la izquierda. Si el registro
desplaza a la derecha se ingresa el valor por la entrada serie S, si desplaza a la

Profesor Ing. Geovanny Delgado M.Sc.E.E.


UNIVERSIDAD DE COSTA RICA
ESCUELA DE INGENIERIA ELECTRICA
CIRCUITOS DIGITALES I, IE-0423

izquierda ingresa el valor de la misma entrada S pero por el FF de la extrema derecha.


Además dispone de una entrada D/C para determinar si desplaza (D/C=1) o si carga en
paralelo por las entradas A, B, C, D (D/C=0). Determine las ecuaciones y dibuje el
circuito.

15) Para la máquina de estado sincrónica de la siguiente determine la función de transición


de estados mínima utilizando Flip-Flops tipo D, así como la función de salidas. Dibuje el
circuito, incluya todo el procedimiento. Las variables de estado son AB (en ese orden),
utilice el orden convencional en los mapas de Karnaugh.

16) Para la máquina de estados del diagrama ASM de la Figura P2 encuentre la función de
salidas mínima, así como la función de transición de estados. Dibuje el circuito. Incluya
todo el procedimiento. Las variables de estado son ABC (en ese orden), utilice el orden
convencional en los mapas de karnaugh.

Profesor Ing. Geovanny Delgado M.Sc.E.E.


UNIVERSIDAD DE COSTA RICA
ESCUELA DE INGENIERIA ELECTRICA
CIRCUITOS DIGITALES I, IE-0423

17) Diseñe un contador que siga la secuencia mostrada en la siguiente tabla, utilizando FF
tipo JK disparados por transición positiva.

R S T
0 0 0
0 0 1
0 1 1
1 1 1
1 1 0
1 0 0

18) Un registro de desplazamiento universal de 4 bits QA, QB, QC y QD y una sola


entrada serie denominada SI, tiene dos entradas de modo que determinan la forma de
operar, de la siguiente manera: M0M1 = 00 indica que no hace nada (inhibido), 01
indica que debe desplazar a la derecha, 10 indica que debe desplazar a la izquierda y
11 se utiliza para indicar que debe cargar en paralelo de las entradas A, B, C y D.
Diseñe un circuito mínima que implemente este registro y dibuje el diagrama
esquemático final. Incluya todo el procedimiento de diseño.

19) Diseñe la máquina de estados descrita en problema 3) utilizando FF tipo T. Incluya un


diagrama de alambrado final del diseño realizado, donde indique los números de parte
de los dispositivos utilizados y los números de los pines en la interconexión.

20) Para el problema 4) encuentre las ecuaciones mínimas que permitan implementar el
circuito utilizando FF tipo JK.

21) Para el problema 5) diseñe este circuito utilizado FF tipo D, incluya todos los mapas de
Karnaugh y diagrama esquemático final.

22) Diseñe un contador módulo 10 utilizando FF tipo D. Se requiere que tenga una salida
divisora por 10 y que la misma sea simétrica, es decir, con un ciclo de trabajo del 50 %,
para ello se debe activar la salida en los valores de conteo de 5 a 9. Dibuje el circuito
mínimo resultante e incluya todo el procedimiento de diseño.

Profesor Ing. Geovanny Delgado M.Sc.E.E.


UNIVERSIDAD DE COSTA RICA
ESCUELA DE INGENIERIA ELECTRICA
CIRCUITOS DIGITALES I, IE-0423

23) Diseñe y dibuje un contador divisor por 6 que tenga una salida DIV6, la cual se active
con el borde activo del tercer pulso del reloj y se desactive al final del periodo del quinto
pulso del reloj. El contador, implementado con FF tipo JK disparados por el borde
creciente, deberá contar en incrementos unitarios, partiendo de cero.

24) Diseñe y dibuje el circuito de un contador sincrónico que cuente en forma descendente
en decrementos unitarios, partiendo de 7. El contador tiene una salida DEBO que se
pone en nivel bajo en el IE y se pone en nivel alto en el FE, si la cuenta es cero.
Además tiene una salida IMPAR que se activa en el IE cada vez que el valor del
contador es impar y se desactiva en el FE. Implemente el contador utilizando FF tipo D
disparados por transición negativa

25) Diseñe una máquina secuencial que cuente de la siguiente forma 0,1,2,6,7,8,12,13,14
volviendo nuevamente a 0. El circuito debe ser implementado con FF tipo JK

26) Una máquina tiene las siguientes ecuaciones de estado:


Y 1 = y1⋅ y 2
Y 2 = E ⋅ y1 + E ⋅ y 2 + y1⋅ y 2
S1 = y1
S 2 = y2
Donde Y1 y Y2 son las variables de próximo estado y y1 y y2 son las variables de estado
presente. E es la variable de entrada y S1 y S2 son las variables de salida. Se sabe que el
estado a tiene el código y1y2=00. Dibuje el diagrama ASM para esta máquina, use las
letras a,b,c,… para denominar los estados

27) Se desea realizar una máquina secuencial de tres salidas R, S y T que deben activarse
como se muestra en al tabla adjunta, en forma continua. Cada combinación deberá
presentarse durante un segundo. a) Haga el diagrama temporal de las salidas y un
diagrama ASM de la máquina. Sintetice la máquina mínima utilizando FF tipo T
disparados por el borde decreciente y dibuje el circuito

R S T
0 0 0
0 0 1
0 1 1
1 1 1
1 1 0
1 0 0

28) Diseñe las máquinas de estado sincrónicas descritas en los siguientes diagramas ASM,
utilizando FF tipo D. Dibuje el diagrama esquemático final.

Profesor Ing. Geovanny Delgado M.Sc.E.E.


UNIVERSIDAD DE COSTA RICA
ESCUELA DE INGENIERIA ELECTRICA
CIRCUITOS DIGITALES I, IE-0423

Profesor Ing. Geovanny Delgado M.Sc.E.E.


UNIVERSIDAD DE COSTA RICA
ESCUELA DE INGENIERIA ELECTRICA
CIRCUITOS DIGITALES I, IE-0423

29) Diseñe la función de salidas para una máquina de estados sincrónica que utilice a lo
más tres flip-flops tipo T y que produzca la siguiente secuencia de salidas de manera
periódica. Si cada separación entre las líneas punteadas representa 0.25 segundos,
define la frecuencia del reloj. Unicamente escriba las ecuaciones de salida, no debe
dibujar el diagrama esquemático. Incluya el diagrama ASM.

30) Considere el diagrama ASM de una máquina de estados sincrónica donde el borde
activo del reloj es el creciente y todos los FF tiene disponible la salida y su
complemento. Entonces si declaración de estados es CBA:

a) Obtenga la función de salidas de la máquina. Incluya el diagrama esquemático del


diseño, para ello dibuje los FF y a partir de sus salidas dibuje el circuito diseñado.
b) Obtenga la función de próximo estado si se utilizan FF tipo D. No es necesario que
incluya el diagrama esquemático, únicamente determine y escriba explícitamente la
función de próximo estado. Incluya todo el procedimiento.

Profesor Ing. Geovanny Delgado M.Sc.E.E.


UNIVERSIDAD DE COSTA RICA
ESCUELA DE INGENIERIA ELECTRICA
CIRCUITOS DIGITALES I, IE-0423

31) Para el diagrama ASM mostrado complete el diagrama de tiempos adjunto. Considere que en el primer
flanco activo del reloj la máquina entra al estado “c” y que todas las salidas empiezan inactivas.

Profesor Ing. Geovanny Delgado M.Sc.E.E.

You might also like