You are on page 1of 10

IEEE TRANSACTIONS ON CIRCUITS AND SYSTEMS—I: REGULAR PAPERS, VOL. 63, NO.

2, FEBRUARY 2016 181

A 65 nm Programmable ANalog Device Array


(PANDA) for Analog Circuit Emulation
Naveen Suda, Student Member, IEEE, Jounghyuk Suh, Nagib Hakim, Member, IEEE,
Yu Cao, Senior Member, IEEE, and Bertan Bakkaloglu, Senior Member, IEEE

Abstract—Reconfigurable analog/mixed signal (AMS) plat- of such complex systems by simulation is time-consuming
forms in scaled CMOS technology nodes are gaining importance and impractical, especially in this era of shrinking time-to-
due to the increased design cost, effort and shrinking time-to- market in consumer electronics industry. Furthermore, high
market. Similar to field programmable gate arrays (FPGA) for
digital designs, a Programmable ANalog Device Array (PANDA) manufacturing costs in advanced nodes emphasize the need
provides a flexible and versatile solution with transistor-level of rapid-prototyping platforms for AMS systems. In this con-
granularity and reconfiguration capability for rapid prototyp- text, reconfigurable analog platforms, analogous to digital field
ing and validation of analog circuits. This paper presents de- programmable gate arrays (FPGA), would be crucial in rapid-
sign and synthesis methodology of a PANDA design on 65 nm prototyping and validation of new designs before an expensive
CMOS technology, consisting of a 24 × 25 cell array, recon-
figurable interconnect, configuration memory and serial pro- tape-out.
gramming interface. To implement AMS circuits on the PANDA Previous academic and industrial efforts focused on field
platform, this paper further proposes a CAD tool for technology programmable analog arrays (FPAA) with a wide range of
mapping, placement, routing and configuration bit-stream gen- configurable analog blocks (CAB) ranging from coarse grained
eration. Several representative building blocks of AMS circuits, macros such as operational amplifiers [1], operational transcon-
such as amplifiers, voltage and current references, filters, are
successfully implemented on the PANDA platform. Dynamic re- ductance amplifiers [2]–[4], switched capacitor circuits [5],
configuration capability of PANDA is demonstrated through input ADCs and DACs [6] to medium grained primitives such as
offset cancellation of an operational amplifier using an FPGA in a differential pairs and transconductors [7], [8]. FPAAs with
closed loop. Initial measurement results of PANDA implemented transistor-level CABs known as field programmable transistors
circuits demonstrate the potential of the methodology for rapid arrays (FPTAs) are explored in [9], [10] for evolvable hardware
prototyping and hardware validation of analog circuits.
applications. Some researchers developed FPAAs with CABs
Index Terms—Amplifiers, analog processing circuits, design consisting of a combination of coarse-grained macros along
automation, design methodology, field programmable analog with programmable transistors using floating-gate transistor as
arrays, field programmable gate arrays, filters, reconfigurable
architectures. reconfiguration switches [11]–[15]. However, due to the wide
variety of analog circuits such as bias circuits, amplifiers, filters,
I. I NTRODUCTION switching circuits, oscillators, etc., it is not possible to imple-
ment an arbitrary analog function using a generic set of CABs.
C MOS SCALING in sub-100 nm regime has provided
tremendous opportunities to digital circuit designers in
terms of transistor speed and integration density. On the other
Hence a transistor-level reconfigurable analog platform, named
Programmable ANalog Device Array (PANDA), is developed
hand, it poses serious challenges to analog/mixed signal (AMS) in [16], which enables rapid prototyping and validation of AMS
circuits across different technology nodes.
system design due to the degradation of transistor intrinsic gain,
This work extends the previous simulation work on PANDA
reduced voltage headroom, and increased process variations.
This leads to the growing design trend where architectural [17] to silicon implementation of the full system including an
array of PANDA cells, reconfigurable interconnect and com-
improvements with additional analog/digital feedback circuits
are required along with primary analog circuits to compen- puter interface for configuration. To map AMS circuits onto
sate for device-level non-idealities. Comprehensive validation the new platform, we further customized a set of computer-
aided design (CAD) tools for design partitioning, technology
mapping, placement, routing, and configuration bit-stream
Manuscript received June 30, 2015; revised October 16, 2015; accepted
December 5, 2015. Date of publication January 25, 2016; date of current generation. Despite the mature FPGA CAD research, digital
version March 16, 2016. This research work is supported by Intel, Santa methodologies do not suit well for PANDA because of the in-
Clara, CA 95054 USA. This paper was recommended by Associate Editor trinsic differences in requirements of analog and digital circuits.
N. Krishnapura.
N. Suda, Y. Cao, and B. Bakkaloglu are with the School of Electrical Hence, a new CAD tool, PANDA-PRO is developed for im-
and Computer Engineering, Arizona State University, Tempe, AZ 85281 USA plementation of AMS circuits on the PANDA platform, which
(e-mail: nsuda@asu.edu; ycao@asu.edu; bertan@asu.edu). overcomes the shortcomings of previous digital methodologies.
J. Suh is with NXP Semiconductors, Tempe, AZ 85284 USA (e-mail:
sjounghy@asu.edu). This paper mainly focuses on the silicon demonstration of
N. Hakim is with Intel Architecture Group, Santa Clara, CA 95054 USA the PANDA platform in 65 nm CMOS technology, CAD tool
(e-mail: nagib.hakim@intel.com). development for design implementation of AMS circuits on
Color versions of one or more of the figures in this paper are available online
at http://ieeexplore.ieee.org. the platform and concludes with initial measurement results of
Digital Object Identifier 10.1109/TCSI.2015.2512718 several circuit building blocks implemented on the platform.
1549-8328 © 2016 IEEE. Personal use is permitted, but republication/redistribution requires IEEE permission.
See http://www.ieee.org/publications_standards/publications/rights/index.html for more information.
182 IEEE TRANSACTIONS ON CIRCUITS AND SYSTEMS—I: REGULAR PAPERS, VOL. 63, NO. 2, FEBRUARY 2016

Fig. 1. Architecture of PANDA. (a) Island-style reconfigurable interconnect similar to FPGAs. (b) A typical tile consisting of a PANDA cell connected to the
reconfigurable interconnect via connection blocks (CB), switch blocks (SB). A PANDA cell with 3-transistor stack is shown as an example.

PANDA inherits the island-style architecture from FPGAs as


shown in Fig. 1(a), where each cell is connected to the routing
channels through transmission-gate based switches, as shown
in Fig. 1(b). Interconnect parasitics from switches and routing
channels limit the maximum frequency of the circuits imple-
mented on PANDA. Nevertheless, low frequency applications
such as reconfigurable multi-sensor front-end circuits, audio
processing applications, etc., are appropriate candidates that
fully utilize the reconfiguration capabilities of PANDA. More-
over, dynamic reconfiguration capability of PANDA, which
enables on-the-fly configuration of the cell size and their con-
nectivity, provides new opportunities for rapid-prototyping and
validation of self-calibrating and adaptive circuits which cost
considerable amount of simulation time because of analog-
digital co-simulation.
Fig. 2. (a) Programmable NMOS transistor using digital controls (b0 , b1 , b2 )
The rest of the paper is organized as follows. In Section II, (b) Target analog transistor mapped to either 1-transistor PANDA cell (left) or
an overview of PANDA architecture and the system implemen- 3-transistor PANDA cell (right) based on ID , Rout , and Gm requirements.
tation in 65 nm CMOS technology is presented. Section III
presents the design flow of AMS circuits on the platform, TABLE I
T RANSISTOR S IZE D ISCRETIZATION
including customization of placement and routing algorithms
for PANDA. Section IV presents initial measurement results
of circuits implemented on PANDA. Section V presents ap-
plications and limitations of this methodology and Section VI
concludes the paper by comparing our work with prior FPAAs.

II. OVERVIEW OF THE 65 nm PANDA S YSTEM


Fig. 1 shows the block diagram of the PANDA system, which the drain current (ID ), output resistance (Rout ) and transcon-
consists of an array of reconfigurable cells along with island- ductance (Gm ) [17]. To implement any generic AMS circuit,
style interconnect and segmented routing channels similar to PANDA cells comprise of transistors with different widths
FPGAs [18]. Since each cell can be connected to any other cell and lengths. For practical implementation, transistor widths are
through the reconfigurable connection block (CB) and switch discretized using binary weighted transistors (1×, 2×, and 4×)
blocks (SB), this platform is versatile for implementation of any in parallel to a fixed transistor (Fx) as shown in Fig. 2(a), such
AMS circuit. To demonstrate the design potential of PANDA on that the effective transistor width can be configured from Fx
silicon, a full system consisting of 24 × 25 array of program- to Fx + 7x using the digital controls (b0 , b1 , b2 ). 6 different
mable cells, reconfigurable interconnect, configuration memory types of PANDA cells each with different fixed and variable
and serial interface is fabricated in 65 nm CMOS technology. programmable width transistors are designed to achieve an
effective width coverage of 80 nm to 10 μm with a maximum
discretization error of 10%. The transistor sizes in these 6 cell
A. PANDA Cells
types are shown in Table I. If a circuit demands for more
Implementation of an AMS circuit on PANDA platform accuracy, PANDA cells with coarse width transistors can be
requires mapping of each transistor to a PANDA cell to match used in parallel to cells with fine width transistors.
SUDA et al.: A 65 nm PROGRAMMABLE ANALOG DEVICE ARRAY (PANDA) FOR ANALOG CIRCUIT EMULATION 183

TABLE II C. Routing Architecture


S UMMARY OF PANDA C ELL T YPES
PANDA utilizes the island-style routing architecture in con-
junction with segmented routing architecture [18] from FPGAs,
where each cell connects to any other cell in the array through
reconfigurable interconnect. Each tile consists of a PANDA
cell, connection blocks (CB), switch block (SB), and configura-
tion memory. Connection block is required for each terminal of
the PANDA cell, to connect a cell to the routing tracks. Switch
blocks are located at intersection of horizontal and vertical
tracks to connect a source track to destination track(s). Each tile
is carefully designed so that the configuration memory required
To map long-channel transistors that have higher output
for configuring the transistor widths, CB and SB connectivity,
resistance, transistors T1 is stacked to T2 to form a cascode
is present in the same tile.
pair as shown in Fig. 2(b). Transistor T3 with its gate connected
The main drawback of island-style architecture with routing
to VDD is added to the stack for flexibility in matching ID ,
segments that extend only one block length is that a signal from
Rout , and Gm . Two variants of transistor length L = 60 nm
a cell to other cell which is “n” blocks away has to pass through
and L = 120 nm are provided in the platform for flexibility
“n” SB switches and 2 CB switches. This degrades/destroys
to map a wide range of target transistors. To add versatility to
the analog circuit functionality for large “n,” because of the
the platform, programmable resistors, capacitors and parasitic
resistance of the each switch along the path. Hence we use
BJTs are also incorporated into the array. The different types of
segmented routing similar to FPGAs, where each routing track
PANDA cells, their sub-types and number of cells of each type
extends to more than one block length before ending at a
present in the array are summarized in Table II.
SB. This reduces the number of passing SB switches when
To demonstrate the transistor-level I-V characteristic match-
connecting two distant cells. In the current implementation,
ing between a target transistor and PANDA cell, an NMOS
routing tracks with segments which extend up to 16 blocks are
transistor of W/L = 560 nm/60 nm is mapped to a 1-transistor
provided for parasitic reduction while routing. Routing tracks
PANDA cell at bias conditions of VG = 0.4 V, VD = 0.5 V,
with smaller segment lengths are also provided in the platform
and VS = 0 V. Measured I-V characteristics of the mapped
for flexibility in routing when connecting nearby cells.
PANDA cell compared to the simulated characteristics of the
Switch block (SB) is situated at the intersection of horizontal
target transistor is shown in Fig. 3(a). ID − VD characteristics
and vertical routing tracks and connects an incoming track to
show a close match at the nominal bias of VG = 0.4 V. ID −
some specific outgoing tracks based on the architecture of the
VG characteristics match till VG = 0.5 V, but after that voltage
switch block. The number of tracks to which each incoming
drop across the routing switches increases which reduces the
track can connect is known as switch block flexibility (Fs),
effective VD at the transistor drain terminal.
where Fs = 3 in typical FPGAs. There are different switch
Fig. 3(b) shows the measured I-V characteristics of a
block architectures available in FPGA literature, such as dis-
3-transistor PANDA cell which maps to a long-channel tran-
joint [19], universal [20], Wilton [21] and Imran switch block
sistor of W/L = 560 nm/260 nm at bias conditions of VG =
[22] each having its own advantages/disadvantages. Imran
0.6 V, VD = 0.6, VS = 0 V. In Fig. 3(b) ID − VG characteris-
switch block is used in PANDA, as it is area efficient and gives
tics, the change in ID with VD is minimal due to the high output
best routable designs for segmented routing architecture [22].
resistance of the long-channel transistor.
The number of tracks to which connection block connects, is
called connection block flexibility (Fc), which should be half
B. Reconfiguration Switches the total number of tracks for best routability and area efficiency
[18]. Hence the connection block in PANDA is designed such
Similar to FPGAs, transmission gate (TG) based switches are
that it connects to 7 routing tracks among the total of 13 tracks.
used in the reconfigurable routing, as they can easily be con-
The extracted parasitic resistance and capacitance of a rout-
figured by changing the memory locations that drive the gates
ing line that connects two adjacent cells are 7 Ω and 1 fF,
of the TG. However, different from the switches in FPGAs,
respectively, which are considerably less than those of the
switches in the PANDA platform carry DC current, which
switches. The total capacitance associated with a routing line
may induce voltage drop affecting the DC bias conditions and
can be evaluated as sum of parasitic capacitance of all the
may also destroy the circuit functionality. Increasing the TG
switches connected to that line and the capacitance of the rout-
size reduces the resistance, but it also increases the parasitic
ing segment itself. For example, the total estimated capacitance
capacitance, which in-turn decreases the maximum operating
on a long routing line that extends to 16 blocks is 216 fF,
frequency of the platform. Hence TG sizing is critical for the
whereas the total capacitance on a short line that connects
overall functionality and performance of the platform. We sized
adjacent cells is 21 fF.
up the NMOS transistor to 3 μm/60 nm and PMOS transistor
to 6 μm/60 nm to reduce the maximum ON resistance of TG
D. Configuration Memory and Infrastructure
switch to 400 Ω, whereas the approximate capacitance of the
switch is 10 fF. External I/Os are also connected to the internal Memory required to store the PANDA cell sizing, connection
routing tracks through the same reconfiguration switches. block and switch block connectivities is placed in each tile.
184 IEEE TRANSACTIONS ON CIRCUITS AND SYSTEMS—I: REGULAR PAPERS, VOL. 63, NO. 2, FEBRUARY 2016

Fig. 3. Measured I-V characteristics of (a) PANDA cell with effective W/L = 8 × 80 nm/60 nm emulating a target transistor of W/L = 560 nm/60 nm
mapped at nominal VG = 0.4 V, VD = 0.5 V, VS = 0. (b) 3-transistor PANDA cell with T1 and T2 as 230 nm/120 nm and T3 is OFF emulating an analog
transistor of W/L = 560 nm/260 nm at nominal VG = 0.6 V, VD = 0.6 V, VS = 0.

occupies a total area of 3.46 mm2 including the ESD protection


diodes and I/O bonding pads.

III. C IRCUIT I MPLEMENTATION M ETHODOLOGY


Implementation of a circuit on PANDA platform requires a
wide range of CAD tools for design partitioning, cell mapping,
placement, routing, and bit-stream generation. Although the
FPGA CAD tools are mature and widely used for digital circuit
implementation, they do not suit well for PANDA because of
the following shortcomings associated with them in comparison
with analog synthesis.
Fig. 4. Die micrograph of the 65 nm PANDA chip.

• Heterogeneity: All the logic blocks in typical digital


Byte-wise addressable memory is distributed into two columns FPGAs are identical, whereas PANDA has heterogeneous
in each tile for ease in routing of the memory outputs to cells with different transistor sizes, resistors, capacitors,
the reconfiguration switches. Although the cells have different etc. Recent FPGAs have heterogeneous blocks such as
dimensions, in order to maintain the uniform array structure, memory, arithmetic units along with conventional logic
all the tiles are designed to have uniform width while two blocks and there are also tools available for such archi-
tile heights are chosen based on the number of reconfiguration tectures [23]. However, these tools are not customized for
switches. This gives different ratios of area of actual transistor mapping analog circuits to PANDA.
to that of the entire tile as 0.05% for the smallest cell and 17% • Routing Parasitics: Parasitics from routing not only de-
for the largest cell. This shows that over 80% of the total chip grade circuit performance as that happens in digital
area is occupied by the reconfigurable routing fabric. FPGAs, but can also completely destroy the functionality
To transfer configuration bit-stream from computer to the of analog circuits because of the DC and AC voltage drops
PANDA platform a customized serial peripheral interface (SPI) in switches. Hence performance degradation because of
protocol is used. A SPI slave along with row/column address interconnect fabric must be fully addressed at each step
decoding logic, data/address buffers is incorporated into the of placement and routing.
system to help in reconfiguration. The micrograph of the • Special Requirements for Analog Circuits: The new
PANDA die fabricated in a standard 65 nm digital CMOS analog synthesis tool should be aware of different con-
technology with 1-poly and 8 metal layers is shown in Fig. 4. It straints such as circuit topology, matching and sensitive
SUDA et al.: A 65 nm PROGRAMMABLE ANALOG DEVICE ARRAY (PANDA) FOR ANALOG CIRCUIT EMULATION 185

Fig. 6. Pseudo-code for placement algorithm based on simulated-annealing.

B. Placement
PANDA-PRO placer is based on simulated-annealing [24],
Fig. 5. PANDA-PRO CAD tool flow. similar to traditional FPGA placers [25]; however the main
differences being the heterogeneous cell types and additional
nodes, etc., which are specific for analog circuits, but not design constraints of analog circuits. Simulated-annealing is a
required in FPGAs. probabilistic global optimization method, emulating a physical
• Design Scale: Modern FPGAs typically have 0.1– process called annealing, where a material at high temperature
4 million reconfigurable logic cells; hence the CAD tools (T) is gradually cooled to achieve a minimum energy state.
need to trade-off the quality of final solution for config- PANDA-cell mapped netlist is given to the placement tool
uration speed. In a typical analog circuit which only has which minimizes the placement cost given in the following
100–1000 transistors, the tool can afford multiple place- equation.
ment and routing iterations to achieve the target perfor-
mance and related accuracy. 
N ets
Placement cost = wtk (|xi − xj | + |yi − yj |) (1)
A new CAD tool, PANDA-PRO is developed overcoming k
the above shortcomings of FPGA CAD tools, to implement
where wtk is the weight assigned to each net, (xi , yi ) and
AMS circuits on PANDA platform. The steps involved in
(xj , yj ) are the coordinates of source and every destination cells
PANDA-PRO starting from mapping to bit-stream generation
for that net. Critical nets can be assigned higher weights so that
are summarized in Fig. 5.
its net length is optimized.
Placement process using simulated annealing is summarized
A. Automatic Mapping
as pseudo-code shown in Fig. 6. The placement starts with
Mapping of the input design to PANDA cells is the fun- an initial random placement at a high initial temperature (T),
damental and critical step in the implementation. First, the which is determined based on the circuit size [25]. If Ninst is
input design SPICE netlist is hierarchically partitioned and the number of instances, then the initial temperature is set to
operating bias conditions of each transistor are extracted. From 20 times the standard deviation of placement costs of Ninst
the circuit connectivity generic constraints such as input dif- random placements. Since different types of cells are present in
ferential transistors and matched pairs are extracted. These the array, a lookup table is utilized to aid the placement process
constraints will be used in placement and routing phase. Then, with information about the cell type, its locations in the array
each transistor is mapped to the PANDA cells for the extracted and whether the location is already occupied. First, a randomly
voltage bias conditions. Mapping involves sizing the transistors chosen instance (I1 ) is moved to a new location (X1 ) of the
of the PANDA cell to match its I-V characteristics to that of same cell type. If this new cell location is already occupied by
the target transistor, thereby matching ID , Gm , and Rout [17]. another instance (I2 ), then the instances I1 and I2 are swapped.
First, a coarse search on the discrete-sized 1-transistor and If it leads to a better placement, the move is accepted. If the
3-transistor PANDA cell types in Table II is performed and the new placement has higher cost, the move is accepted with
cell types which yield smaller error in ID , Gm , and Rout with certain probability and the acceptance probability reduces as
respect to target transistor are selected for a detailed-search. In the process continues. Temperature is slowly decreased while
the detailed search, the transistor sizes in the selected PANDA performing N moves at each temperature, where N = 10 · Ninst
4/3
cells are iteratively changed in the direction to reduce the error [25], [26]. The process is terminated when the placement cost
in ID , Gm , and Rout . The PANDA cell that achieves least error converges.
is selected as the final solution for that target transistor. Trans-
mission gate switches are included into the cell in mapping
C. Routing
stage, so that their impact is compensated during cell sizing.
The detailed methodology for mapping of each transistor to PANDA-PRO router is based on Dijkstra’s algorithm [27]
PANDA cells is presented in [17]. to find the shortest path from a source to destination utilizing
186 IEEE TRANSACTIONS ON CIRCUITS AND SYSTEMS—I: REGULAR PAPERS, VOL. 63, NO. 2, FEBRUARY 2016

Fig. 8. Typical setup for configuration and circuit measurements on PANDA


platform.

Fig. 7. Illustration of routing for parasitic reduction: Among multiple routing


solutions, route-2 is the final solution since it passes through only 1 switch IV. 65 nm C IRCUIT M EASUREMENTS
block and uses routing segments of smaller length.
Several fundamental AMS building blocks, such as ampli-
fiers, filters, voltage, and current reference circuits, are designed
fixed routing resources. For each net, the router starts expanding in 65 nm technology and mapped to the PANDA platform
all possible connectivities from the source connection block, to demonstrate the potential of this methodology for rapid
which is termed as wavefront expansion. Then the routing prototyping and validation. Each of these designs including the
cost at each expanded node is computed from the following biasing circuits are mapped to PANDA cells, placed, routed,
equation. and configuration bit-stream is generated using the developed
PANDA-PRO tool. This bit-stream is serially transferred from
costi = costi−1 + costSB + Lseg costseg (2) PC to the platform via a commercially available USB to SPI
converter IC (MCP2210). SPI receiver in the PANDA platform
where costi−1 is the cost at the previous node, costSB is receives the configuration bit-stream, decodes the addresses
the cost of switch block, Lseg is the length of the segment, and sends the data to corresponding memory locations that
costseg is the cost of segment of length 1. The last term in configure the transistor sizing and connectivity of the routing
the cost function (Lseg costseg ) is added to penalize longer network. Once programmed, the platform performs the func-
routing segments. When a wavefront reaches the destination, tionality of the designed target circuit till power down, reset,
the routing cost is noted and wavefront expansion is continued or reconfiguration. The typical measurement setup used for
till all the other nodes either exceed this routing cost or reach measurements of circuits implemented on PANDA platform is
the destination. This makes sure that short segments are given shown in Fig. 8. PANDA chip is integrated on to a test board in
higher priority than long segments for the same number of a socket along with the peripherals such as voltage regulators,
passing switches. The costSB is set a large number compared to USB to SPI protocol converter IC (MCP2210), etc., to help in
costseg , so that the router selects a route with a longer segment circuit measurements in different configurations.
than the route that passes through multiple switch blocks, Amplifiers are the fundamental building blocks in analog
thereby reducing switch parasitics. An example of routing for IC design ranging from biasing circuits to precision ampli-
reduced parasitics is shown in Fig. 7. Using costSB = 50 and fication stages and filters. Basic amplifier topologies such as
costseg = 1, the costs of route-1, 2 and 3 to route a net from 5-transistor operational transconductance amplifier (OTA) and
source cell “S” to destination cell “D” are 154, 54, and 61, 2-stage Miller-compensated OTA are designed in 65 nm tech-
respectively. Route-1 passes through 3 switches and thus, has nology and implemented on PANDA platform using the devel-
a high routing cost. Both route-2 and 3 pass through only oped tool. The measured performance metrics such as DC gain,
1 switch, but route-2 uses shorter length segments than route-3 unity gain frequency, common mode rejection ratio (CMRR),
and hence it has lower cost. power supply rejection ratio (PSRR), total harmonic distortion
After placement and routing, parasitics from routing includ- (THD) at 0.2 V output swing and current consumption of these
ing are stitched back to PANDA-mapped netlist and simulated circuits compared to the target design simulation results are
to verify if target circuit specifications are met. If the target shown in Table III. The target circuits are simulated with a load
specifications are not met, then the cells which are connected capacitance of 15 pF to account for pad and probe capacitance.
through large number of switches are resized to compensate for The measurement results show a good match in DC charac-
the DC drop across the switches. This process is repeated till the teristics including gain, CMRR, PSRR, but show degradation
target specifications are met and then configuration bit-stream in AC characteristics especially at frequencies > 10 MHz.
that controls the cell sizing and routing is generated. The overall Though AC performance can be improved to some extent by
tool flow including the netlist parsing, constraint generation, increasing bias current [17], it increases voltage drop in the
transistor-level mapping using HSPICE simulations, place and routing switches and may further degrade the circuit perfor-
route and post-route netlist generation is coded in Perl; while mance. Output distortion, on the other hand, shows significant
the placement, routing and bit-stream generation from the degradation compared to that target circuits because of the non-
PANDA-mapped netlist are implemented in C. For graphical linearity in the transmission gate based switches.
visualization of the placement and routing for debugging pur- Using these OTAs as building blocks, biasing circuits such
poses, VPR tool [25] is customized for PANDA architecture. as bandgap voltage reference and current reference circuits are
SUDA et al.: A 65 nm PROGRAMMABLE ANALOG DEVICE ARRAY (PANDA) FOR ANALOG CIRCUIT EMULATION 187

TABLE III TABLE IV


M EASURED P ERFORMANCE OF 65 nm PANDA-M APPED OTA S M EASURED P ERFORMANCE OF 65 nm PANDA-M APPED C IRCUITS

TABLE V
D ESIGN S CALE , ROUTING S WITCHES , AND T IME TO P LACE & ROUTE

An amplifier with resistive feedback, a first order Gm − C


filter, active RC low pass and high pass filters are also imple-
mented on the platform. The measured performance metrics of
these circuits match with the simulation results of the target
designs as shown in Table IV. Since ID , Rout , and Gm of each
transistor of the target analog circuit is reproduced by PANDA
cells successfully, circuits implemented on PANDA capture
Fig. 9. Measured bandgap reference voltage of the 65 nm PANDA implemen- the essential DC and AC characteristics of the target circuits.
tation compared to target bandgap reference circuit on the same die. The number of programmable cells along with the number of
reconfiguration switches and the time taken for place and route
of some circuits implemented on the platform are summarized
in Table V.

V. A PPLICATIONS AND L IMITATIONS


FPGAs are proven successful as both rapid prototyping
tools and application platforms for digital circuits. For analog
designs, due to the variety and complexity of analog circuits,
FPAAs are specific to a limited set of applications depend-
ing on the type of building blocks and routing architectures.
FPAAs are deployed in a wide range of applications includ-
ing biomedical applications [28], sensor analog front-end and
readout circuits [28]–[30], audio processing [31], biologically
Fig. 10. Measured current reference (IREF ) of PANDA implementation inspired signal processing [14], emulation of smart power grids
compared to the simulated target design. [32], [33], etc. Depending on scale of the design, PANDA
platform is also capable of implementing the above-mentioned
implemented on PANDA platform. The implemented bandgap applications.
reference circuit is shown in Fig. 9 inset. Bandgap reference Furthermore, owing to its transistor-level fine granularity,
voltage of PANDA implementation measured from room tem- PANDA provides a unique opportunity for analog designers
perature to 80 ◦ C compared to that of custom designed bandgap to validate new circuit topologies by rapid prototyping be-
reference circuit after trimming is shown in Fig. 9. Current fore an expensive tape-out in a new technology node. Other
reference circuit as shown in Fig. 10 inset is implemented on applications of the platform include the emulation of circuits
the platform, where a reference voltage (VREF ) is forced on a with sub-threshold transistors, where the transistor models are
resistor (R) using negative feedback which defines the current not accurate. The PANDA platform can also be used as an
through the resistor as VREF /R. Measured reference current educational tool, where students could design a circuit, simulate
of PANDA implementation shows a good match to the target and quickly prototype it on the platform to observe the circuit
design as shown in Fig. 10. performance in minutes.
188 IEEE TRANSACTIONS ON CIRCUITS AND SYSTEMS—I: REGULAR PAPERS, VOL. 63, NO. 2, FEBRUARY 2016

TABLE VI
S UMMARY OF PARAMETERS IN PANDA P LATFORM

is non-trivial [34] and might lead to over-design and/or high


power consumption. Moreover, with the increasing demand for
low cost consumer electronics and shrinking time-to-market,
FPAAs are proven to be powerful tools for such multi-sensor
front-end circuit implementation [35]. PANDA also presents a
Fig. 11. (a) Block diagram to demonstrate offset cancellation circuit using a
viable solution for this application owing to its transistor-level
comparator and FPGA in a closed loop. (b) Offset measurement circuit. fine-granularity along with its ability to reconfigure on-the-fly
to any sensor front-end circuit.
A. Dynamic Reconfiguration Capability
C. Limitations of the 65 nm PANDA Platform
Since configuration bit-stream is transmitted to the byte-wise
addressable memory that stores cell sizing and connectivity Measurement results of circuits implemented on PANDA
information, any memory location can be manually rewritten demonstrate a good match in primary performance metrics with
after configuration, thus enabling dynamic reconfiguration ca- those of the targets circuits such as the gain, CMRR, PSRR,
pability of PANDA. This capability to reconfigure only a part of bandwidth, etc., which depend on the transistor’s intrinsic
the circuit facilitates multiple applications such as calibration, properties—ID , Rout and Gm . However, second-order per-
digital trimming, automatic gain control, offset cancellation, formance metrics such as noise, distortion, matching, etc.,
device variability and aging compensation, etc. which depend more on technology parameters and physical
We demonstrate dynamic reconfiguration capability of layout than transistor properties, cannot be matched exactly by
PANDA via offset cancellation of a 2-stage OTA by using an PANDA-implemented circuits.
FPGA to detect the offset error and correct it by sending the PANDA cells are interconnected by transmission gate based
bit-stream to resize its input transistors. The block diagram switches that have a finite resistance (< 400 Ω), which places
of offset calibration is shown in Fig. 11(a) and (b) shows the an upper limit on the current per circuit branch. As the DC
circuit used to measure offset. To cancel the offset of the OTA, current increases, voltage drop across the transmission gate
a comparator is configured in PANDA and its output is fed switches increase which may destroy the circuit functionality
to FPGA. The status of the comparator output is read from by changing the bias conditions. Depending on the number of
the FPGA using SPI interface. Based on the output of the transistors in each branch, required voltage headroom for bias-
comparator, new bit-stream that resize the input transistors to ing each transistor and number of switches that connect them
cancel the offset is generated and sent to the platform. For after placement and routing, the current per branch is limited to
demonstration purposes, transistor sizing update based on com- 100 μA. For example, if a circuit branch consists of 2 transistors
parator output is implemented in software, which could easily connected by a total of 5 switches from the supply (1.2 V) to
be implemented in FPGA to make it a standalone platform. the ground and if it can tolerate a maximum voltage drop of
The offset cancellation loop continues to cancel the offset till 0.2 V across the switches, then the maximum current through
the system converges i.e. when the residual offset is limited that branch is 100 μA. This current limitation in turn limits
by the LSB of the input transistor size. Using this technique the maximum operating frequency of the PANDA-implemented
the offset of the 2-stage OTA is reduced from 452 μV to 29 μV. circuits driving the I/O pad and external PCB parasitics to
If the application demands for higher accuracy, smaller cells 100 MHz, unless driven by custom on-chip analog buffers.
can be used in parallel to original input transistors to have finer However, in this work the maximum measured frequency of the
control over the sizing. implemented OTA shown in Table III is only 8.6 MHz, because
of the passive probes used in measurements, which add a load
of 12 pF to the circuit.
B. Reconfigurable Multi-Sensor Readout Circuits
Implementation of large-scale AMS circuits with thousands
There is a growing demand for integrated sensors in mobile of transistors is not feasible in the current implementation
platforms, where low power consumption is critical. These of the platform because of the limited number of PANDA
sensors need diverse front-end circuits for signal conditioning cells. For such large-scale AMS circuit implementation, it is
of the different signal types to be sensed such as current, desirable to have a platform with a combination of coarse-
voltage, charge, resistance etc. A wide variety of circuits such grained macros such as opamps, ADCs, DACs along with fine-
as current sensing transimpedance amplifier, capacitive sensing grained transistor-level cells such that it will have the ease
charge amplifier, variable gain amplifier, etc., are required for of mapping and less parasitics associated with coarse-grained
sensing those signals. A generic multi-sensor front-end circuit macros along with versatility and flexibility of fine-grained
design to meet the requirements of all the diverse sensors configurable blocks.
SUDA et al.: A 65 nm PROGRAMMABLE ANALOG DEVICE ARRAY (PANDA) FOR ANALOG CIRCUIT EMULATION 189

TABLE VII
C OMPARISON OF 65 nm PANDA W ITH O THER FPAA S

Although digital circuits can be implemented by mapping [3] T. Hall, C. Twigg, J. Gray, P. Hasler, and D. Anderson, “Large-scale field-
each transistor to PANDA cells, it is quite cumbersome and area programmable analog arrays for analog signal processing,” IEEE Trans.
Circuits Syst. I, Reg. Papers, vol. 52, no. 11, pp. 2298–2307, Nov. 2005.
inefficient. It is preferable to have field programmable mixed- [4] J. Becker, F. Henrici, S. Trendelenburg, M. Ortmanns, and Y. Manoli,
signal array [15] using a combination of FPGA configurable “A continuous-time hexagonal field-programmable analog array in
logic blocks (CLB) with PANDA cells and coarse-grained 0.13 μm CMOS with 186 MHz GBW,” in Proc. IEEE Int. Solid-State
Circuits Conf., (ISSCC), Feb. 2008, pp. 70–596.
macros such that any digital circuit in feedback loop with the [5] H. Kutuk and K. Sung-Mo, “A field-programmable analog array (FPAA)
primary analog circuit can be implemented and validated using using switched-capacitor techniques,” in Proc. IEEE Int. Symp. Circuits
Syst. (ISCAS), May 1996, vol. 4, pp. 41–44.
the same platform. Nevertheless, PANDA provides a promising [6] C. R. Schlottmann, S. Shapero, S. Nease, and P. Hasler, “A digitally en-
solution for rapid prototyping and validation of analog circuits hanced dynamically reconfigurable analog platform for low-power signal
at scaled technology nodes with versatility and flexibility of processing,” IEEE J. Solid-State Circuits, vol. 47, no. 9, pp. 2174–2184,
Sep. 2012.
transistor-level granularity. [7] E. K. F. Lee and P. G. Gulak, “A CMOS field-programmable analog array,”
IEEE J. Solid-State Circuits, vol. 26, no. 12, pp. 1860–1867, Dec. 1991.
VI. C ONCLUSION [8] E. K. F. Lee and P. G. Gulak, “A transconductor-based field program-
mable analog array,” in Proc. IEEE Int. Solid-State Circuits Conf.,
A full system implementation of PANDA in a 65 nm CMOS Feb. 1995, pp. 198–199.
process is presented and its parameters are summarized in [9] J. Langeheine, J. Becker, S. Folling, K. Meier, and J. Schemmel,
“A CMOS FPTA chip for intrinsic hardware evolution of analog electronic
Table VI. CAD tools for mapping, placement, routing, and circuits,” in Proc. NASA/DoD Workshop Evolvable Hardware, 2001,
programming, which are essential for implementation of analog pp. 172–175.
circuits on the designed platform, are developed. The efficacy [10] A. Stoica, R. Zebulum, D. Keymeulen, R. Tawel, T. Daud, and
A. Thakoor, “Reconfigurable VLSI architectures for evolvable hardware:
of the platform in analog circuit validation is demonstrated From experimental field programmable transistor arrays to evolution-
through implementation of several analog circuit building oriented chips,” IEEE Trans. Very Large Scale Integr. (VLSI) Syst.,
blocks including amplifiers, biasing circuits, and active fil- vol. 9, no. 1, pp. 227–232, Feb. 2001.
[11] A. Basu, S. Brink, C. Schlottmann, and S. Ramakrishnan et al., “A
ters on the platform. Measured performance metrics of these floating-gate based field programmable analog array,” IEEE J. Solid State
circuits show a good match with the targeted designs, which Circuits, vol. 45, no. 9, pp. 1781–1794, Sep. 2010.
[12] A. Basu, C. Twigg, S. Brink, P. Hasler, C. Petre, S. Ramakrishnan,
prove the effectiveness of PANDA for rapid prototyping. A S. Koziol, and C. Schlottmann, “RASP 2.8: A new generation of floating-
comparison of 65 nm PANDA platform with other FPAAs is gate based field programmable analog array,” in Proc. IEEE Custom
summarized in Table VII. Transistor-level fine granularity of Integr. Circuits Conf. (CICC), Sep. 2008, pp. 213–216.
[13] C. R. Schlottmann, D. Abramson, and P. E. Hasler, “A MITE-based
PANDA provides a unique opportunity for analog designers translinear FPAA,” IEEE Trans. Very Large Scale Integr. (VLSI) Syst.,
to validate new circuit topologies and architectures before an vol. 20, no. 1, pp. 1–9, Jan. 2012.
expensive tape-out. Dynamic reconfiguration capability of the [14] A. Basu, S. Ramakrishnan, C. Petre, S. Koziol, S. Brink, and P. E. Hasler,
“Neural dynamics in reconfigurable silicon,” IEEE Trans. Biomed.
PANDA platform in conjunction with an external FPGA or Circuits Syst., vol. 4, no. 5, pp. 311–319, Oct. 2010.
a processor for reconfiguration opens up new realms such [15] R. B. Wunderlich, F. Adil, and P. Hasler, “Floating gate based field
as self-calibrating circuits, adaptive circuits, reconfigurable programmable mixed-signal array,” IEEE Trans. Very Large Scale Integr.
(VLSI) Syst., vol. 21, no. 8, pp. 1496–1505, Aug. 2013.
multi-sensor readout circuits, evolvable hardware, etc. Overall, [16] R. Zheng, J. Suh, C. Xu, N. Hakim, B. Bakkaloglu, and Y. Cao, “Program-
PANDA provides a good solution for rapid prototyping and mable analog device array: A platform for transistor-level analog recon-
validation of analog circuits and also functions as an application figurability,” in Proc. Design Autom. Conf., Jun. 2011, pp. 322–327.
[17] J. Suh, N. Suda, C. Xu, N. Hakim, Y. Cao, and B. Bakkaloglu, “Program-
platform for low frequency analog processing applications such mable ANalog Device Array (PANDA): A methodology for transistor-
as audio processing and sensor front-end circuits. level analog emulation,” IEEE Trans. Circuits Syst. I, Reg. Papers,
vol. 60, no. 6, pp. 1369–1380, Jun. 2013.
[18] V. Betz and J. Rose, “FPGA routing architecture: Segmentation and
R EFERENCES buffering to optimize speed and density,” in Proc. ACM/SIGDA Int. Symp.
[1] C. A. Looby and C. Lyden, “Op-amp based CMOS field-programmable Field Programmable Gate Arrays, 1999, pp. 59–68.
analogue array,” IEE Proc. Circuits, Devices, Syst., vol. 147, no. 2, [19] G. G. Lemieux and S. D. Brown, “A detailed router for allocating wire
pp. 93–99, Apr. 2000. segments in field programmable gate arrays,” in Proc. ACM Phys. Design
[2] B. Pankiewicz, M. Wojcikowski, S. Szczepanski, and Y. Sun, “A field Workshop, Apr. 1993.
programmable analog array for CMOS continuous-time OTA-C filter [20] Y. W. Chang, D. Wong, and C. Wong, “Universal switch modules
applications,” IEEE J. Solid-State Circuits, vol. 37, no. 2, pp. 125–136, for FPGA design,” ACM Trans. Design Autom. Electron. Syst., vol. 1,
Feb. 2002. pp. 80–101, Jan. 1996.
190 IEEE TRANSACTIONS ON CIRCUITS AND SYSTEMS—I: REGULAR PAPERS, VOL. 63, NO. 2, FEBRUARY 2016

[21] S. J. E. Wilton, “Architectures and algorithms for field programmable Nagib Hakim received the M.S. and Ph.D. de-
gate arrays with embedded memory,” Ph.D. dissertation, Univ. Toronto, grees in electrical engineering from Columbia Uni-
Toronto, Canada, ON, 1997. versity, New York, in 1986 and 1992, respectively
[22] M. I. Masud and S. J. E. Wilton, “A new switch block for segmented after which he joined Intel Corporation in Santa
FPGAs,” in Proc. 9th Int. Workshop Field Programmable Logic, 1999, Clara, CA, USA. His work in CAD for process
pp. 274–281. development and design includes statistical cir-
[23] J. Luu, I. Kuon, P. Jamieson, T. Campbell, A. Ye, W. Fang, and J. Rose, cuit modeling and optimization, SER prediction,
“VPR 5.0: FPGA CAD and architecture exploration tools with single- and power/performance analysis. He is currently a
driver routing, heterogeneity and process scaling,” in Proc. ACM/SIGDA Principal Engineer in the System Validation En-
Int. Symp. Field-Programmable Gate Arrays, 2009, pp. 133–142. abling division focusing on methodologies to accel-
[24] S. Kirkpatrick, C. D. Gelatt, and M. P. Vecchi, “Optimization by simulated erate post-silicon electrical validation. His research
annealing,” Science, pp. 671–680, May 13, 1983. and development interests include accurate large-scale circuit modeling and
[25] V. Betz and J. Rose, “VPR: A new packing, placement and routing tool emulation, as well as model to silicon correlation. He was a recipient of the
for FPGA research,” in Proc. 7th Int. Workshop Field Programmable Mahboob Khan Outstanding Industry Liaison Award in 2012.
Logic, 1997, pp. 213–222.
[26] W. Swartz and C. Sechen, “New algorithms for the placement and routing
of macro cells,” in Proc. Int. Conf. Comput.-Aided Design, Nov. 11–15,
1990, pp. 336–339.
[27] C. Y. Lee, “An algorithm for path connections and its applications,” IRE
Trans. Electron. Comput., vol. EC-10, pp. 346–365, 1961.
[28] U. F. Chan, W. W. Chan, S. H. Pun, M. I. Vai, and P. U. Mak, “Flexible Yu Cao (S’99–M’02–SM’09) received the B.S. de-
implementation of front-end bioelectric signal amplifier using FPAA for gree in physics from Peking University, China,
telemedicine system,” in Proc. IEEE Int. Conf. Eng. Med. Biol. Soc., in 1996. He received the M.A. degree in biophysics
Aug. 2007, pp. 3721–3724. and the Ph.D. degree in electrical engineering
[29] A. Baccigalupi and A. Liccardo, “Field programmable analog arrays from University of California, Berkeley, CA, USA,
for conditioning ultrasonic sensors,” IEEE J. Sensors, vol. 7, no. 8, in 1999 and 2002, respectively.
pp. 1176–1182, Aug. 2007. He worked as a summer intern at Hewlett-Packard
[30] D. P. Morales, A. Garcia, A. J. Palma, and A. Martinez-Olmos, “Merging Labs, Palo Alto, CA, USA, in 2000, and at IBM
FPGA and FPAA reconfiguration capabilities for IEEE 1451.4 compliant Microelectronics Division, East Fishkill, NY, USA,
smart sensor applications,” in Proc. 3rd Southern Conf. Programmable in 2001. After working as a Postdoctoral Researcher
Logic, Feb. 28–26, 2007, pp. 217–220. at the Berkeley Wireless Research Center (BWRC),
[31] P. Falkowski and A. Malcher, “Audio signal processing based on dynam- Berkeley, CA, USA, he is now an Associate Professor of Electrical Engineering
ically programmable analog arrays,” in Proc. Int. Conf. Signals Electron. at Arizona State University, Tempe, AZ, USA. He has published numerous
Syst., Sep. 7–10, 2010, pp. 29–32. articles and two books on nano-CMOS modeling and physical design. His
[32] A. S. Deese and C. O. Nwankpa, “Design and testing of custom FPAA research interests include physical modeling of nanoscale technologies, design
hardware with improved scalability for emulation of smart grids,” IEEE solutions for variability and reliability, reliable integration of post-silicon
Trans. Smart Grid, vol. 5, no. 3, pp. 1369–1378, May 2014. technologies, and hardware design for on-chip learning.
[33] Y. Zhang, C. Scherjon, and J. N. Burghartz, “Cost-efficient integration of Dr. Cao was a recipient of the 2012 Best Paper Award at IEEE Computer
industrial applications using smart power gate arrays,” IEEE Trans. Ind. Society Annual Symposium on VLSI, the 2010, 2012, 2013 and 2015 Top 5%
Electron., vol. 62, no. 3, pp. 2903–2911, May 2015. Teaching Award, Schools of Engineering, Arizona State University, 2009 ACM
[34] J. Zhang, J. Zhou, P. Balasundaram, and A. Mason, “A highly program- SIGDA Outstanding New Faculty Award, 2009 Promotion and Tenure Faculty
mable sensor network interface with multiple sensor readout circuits,” Exemplar, Arizona State University, 2009 Distinguished Lecturer of IEEE
Proc. IEEE Sensors, vol. 2, pp. 748–752, 2003. Circuits and Systems Society, 2008 Chunhui Award for outstanding oversea
[35] S. Peng, G. Gurun, C. M. Twigg, M. S. Qureshi, A. Basu, S. Brink, Chinese scholars, the 2007 Best Paper Award at International Symposium on
P. E. Hasler, and F. L. Degertekin, “A large-scale reconfigurable smart Low Power Electronics and Design, the 2006 NSF CAREER Award, the 2006
sensory chip,” in Proc. IEEE Int. Symp. Circuits Syst. (ISCAS), May 2009, and 2007 IBM Faculty Award, the 2004 Best Paper Award at International
pp. 2145–2148. Symposium on Quality Electronic Design, and the 2000 Beatrice Winner Award
at International Solid-State Circuits Conference. He has served as Associate
Editor of the IEEE T RANSACTIONS ON C OMPUTER -A IDED D ESIGN OF
I NTEGRATED C IRCUITS AND S YSTEMS , and on the technical program com-
Naveen Suda (S’12) received the B.Tech degree mittee of many conferences. He is a member of the IEEE EDS Compact
in electronics and communication engineering from Modeling Technical Committee.
National Institute of Technology Warangal, India,
in 2007 and the M.Tech degree in VLSI from
the Indian Institute of Technology Guwahati, India,
in 2010. He is currently pursuing the Ph.D. degree in
electrical engineering at Arizona State University.
He worked as an R&D Engineer at Tejas Net-
works, Bangalore, India, in 2007–2008 and as Circuit Bertan Bakkaloglu (M’94–SM’08) received the
Design Engineer at IBM Bangalore in 2010–2011. Ph.D. degree from Oregon State University,
He worked as a summer intern at Intel, Santa Clara, Corvallis, OR, USA, in 1995 and joined Texas
CA, USA, in 2014 and at ARM, San Jose, CA, USA, in 2015. His research in- Instruments Inc. Mixed Signal Wireless Design
terests are low-power analog/mixed signal circuits, field-programmable analog Group, Dallas, TX, USA, where he worked on
circuits, and design automation for reconfigurable design. analog, RF and mixed signal front ends for wireless
and wireline communication ICs. He worked on
system-on-chip designs with integrated battery
management and RF, analog baseband functionality
as a design leader. In 2004 he joined the Electrical
Jounghyuk Suh received the B.S. degree in elec- Engineering Department at Arizona State University,
tronics and electrical communication engineering Tempe, AZ, USA, as an Associate Professor. His research interests include
from Korea Aerospace University, Goyang, in 2007. mixed signal circuit design for supply regulators, biomedical, chemical and
He received M.S. and Ph.D. degrees in electrical MEMS sensor interface circuits, fractional-N frequency synthesizers, high
engineering from Arizona State University, Tempe, speed data converters, and built-in-self-diagnostic circuits for high reliability
AZ, USA, in 2009 and 2013. He joined NXP Semi- mixed signal circuits.
conductors Inc. Secure, Interface and Power Group, Dr. Bakkaloglu has been associate editor for IEEE T RANSACTIONS ON
working on analog and mixed signal interface and C IRCUITS AND S YSTEMS and currently an associate editor for IEEE T RANS -
power management ICs. ACTIONS ON M ICROWAVE T HEORY AND T ECHNIQUES . He is the General
Chair for 2015 RFIC Symposium.

You might also like