You are on page 1of 6

Session 11: Modern Routing: from Timing, Reliability

to Machine Learning GLSVLSI’18, May 23-25, 2018, Chicago, IL, USA

Electromigration Design Rule aware Global and Detailed


Routing Algorithm∗
Xiaotao Jia, Jing Wang, Yici Cai, Qiang Zhou
Tsinghua National Laboratory for Information Science and Technology
Department of Computer Science and Technology, Tsinghua University, Beijing, China
jiaxiaotao@outlook.com,j-w16@mails.tsinghua.edu.cn,{caiyc,zhouqiang}@tsinghua.edu.cn

ABSTRACT
Electromigration (EM) in interconnects is becoming a major
concern as the scaling of technology nodes. Electromigration
affects chip performance and signal integrity seriously by
generating shorts or opens, and then shortens the life-time
of integrated circuits. In this paper, we propose an EM-aware
routing algorithm in both global and detailed routing stages.
Based on physics-based EM modeling and analysis, EM issueFigure 2 : [EM failures - Void (Open) and Hillock (short)], Source – W.D. Nix et al. 1992
is modeled as physical design rule. In global routing stage, an Figure 1: EM-failures: Void (open circuit) and
efficient EM-aware Mazerouting algorithm is implemented. hillock (short circuit) [5].
An concurrent EM-aware detailed router is then proposed a hillock (short) as shown in Fig. 1, which eventually lead-
based on multi-commodity flow method. Experimental re- s to circuit functional error. The 2012 edition of the ITRS
sults show that comparing with general routing algorithm, roadmap has predicted that all minimum-sized interconnect-
the proposed EM-aware algorithm could effectively reduce s would be EM-affected by 2018, potentially restricting any
EM risk of signal wires by 92% with slight increasing of wire further downscaling of wire sizes (Fig. 2 [12]). In the latest
length and via count. edition of the ITRS roadmap, EM is reported as one difficult
challenge for 16 nm technology.
KEYWORDS Analog designers have become aware of EM issue for a
Electromigration, Global Routing, Detailed Routing, Design long time, and digital designers are now being affected as
Rule well. At 90 nm and before, EM impact on digital ICs, par-
ticularly signal nets, has been minimal, making signal EM
ACM Reference Format:
analysis and fixing an optional design step. At 45 nm and
Xiaotao Jia, Jing Wang, Yici Cai, Qiang Zhou. 2018. Electromi-
gration Design Rule aware Global and Detailed Routing Algo-
beyond, this is no longer the case. Metal widths are shrink-
rithm. In Proceedings of Great Lakes Symposium on VLSI 2018 ing because of pitch scaling. Interconnects become thinner
(GLSVLSI’18). ACM, New York, NY, USA, 6 pages. https://doi. and thinner. Wire length continuously increases to meet the
org/10.1145/3194554.3194567 complex device integration demands. These thin and long
interconnects switch at gigahertz speed in order to the push
1 INTRODUCTION for higher performance. Once combined, these factors result
Electromigration (EM) is becoming a major concern for cur- in higher current densities, which amplify the effects of signal
rent VLSI designs as the technology nodes advance into the EM at advanced technology nodes [5]. With the shrinking of
nanometer regime. It occurs when the current density flow- technology nodes, EM becomes even more critical in digital
ing through the conductor is high enough to cause the drift circuits on signal nets [11]. As declared by Synopsys, there
of metal ions. EM decreases the reliability of integrated cir- are more EM violations on signal interconnects at 28 nm
cuits (ICs). An EM failure may cause either a void (open) or compared to those at 65 nm and 40 nm.
Analysis, prevention and fixing are three main compo-

This work was supported by the National Natural Science Founda- nents of an optimal EM solution [6]. EM analysis provides
tion of China (Grand No. 61774091).
theoretical guidance for EM violation prevention and fixing.
Permission to make digital or hard copies of all or part of this work
Analysis accuracy could significantly affect the final EM re-
for personal or classroom use is granted without fee provided that sults. EM violations fixing is usually processed in post-layout
copies are not made or distributed for profit or commercial advan- stage. It is not acceptable to fix those violations manually by
tage and that copies bear this notice and the full citation on the first
page. Copyrights for components of this work owned by others than experienced engineers nowadays. Nano-technology requires
ACM must be honored. Abstracting with credit is permitted. To copy fixing method to be automatic, accurate, timing and design
otherwise, or republish, to post on servers or to redistribute to lists, rule checking aware. However, only performing fixing in post-
requires prior specific permission and/or a fee. Request permissions
from permissions@acm.org. stage is not an ideal strategy as it would be iterative and may
GLSVLSI’18, May 23–25, 2018, Chicago, IL, USA break down existing results. Furthermore, post-layout fixing
© 2018 Association for Computing Machinery. could not make obvious improvement because the increasing
ACM ISBN 978-1-4503-5724-1/18/05. . . $15.00
https://doi.org/10.1145/3194554.3194567 design complexity leaves very limited space for post-layout

267
Session 11: Modern Routing: from Timing, Reliability
to Machine Learning GLSVLSI’18, May 23-25, 2018, Chicago, IL, USA

analog and mixed-signal IC designs with the consideration


Minimum gate length in nm
of pin current for current-density-correct routing.
18 17 15 14 13 12 11 10 9 8 7
Current density in MA/cm²

6 There are likewise some works that model design rules for
5
EM issue such as [3]. In industrial area, complexity of metal
and via EM rules are also proposed depending on segmen-
4
t width and segment length [4]. The major challenge facing
3
any current-driven signal routing is the inherent feature that
2 exact segment currents are only known after the entire topol-
1 ogy of the net has been laid out. Combining current densi-
2014 2016 2018 2020 2022 2024
Year ty and net’s layout requirement together as physical design
Currently used manufacturing solutions rules could effectively reduce the EM risk in nanometer ICs.
Manufacturable EM-robust solutions are known
Manufacturable EM-robust solutions are NOT known
Our Contributions As described above, EM generation
Required current density for driving four inverter gates in ICs is mainly affected by current density, temperature,
Figure 2: Expected evolution of required and max- segment width and segment length. The best way to reduce
imum IC wires. While the required current density the EM risk is combining these factors together in design
scales with frequency and reducing cross-section, the stage. In this paper, an EM design rule aware routing algo-
maximum tolerable current density is shrinking due rithm in both global and detailed routing stages is proposed.
to smaller structure sizes [12]. The main contributions of this work are listed as follows.
• EM design rule is proposed based on EM modeling and
optimization. As described in [8], current density, temper-
analysis. With the EM design rule, it is much easy and
ature, segment width and segment length are several main
reasonable to consider EM issue in routing stage.
factors that cause EM violations. For a given design, the lay-
• A sequential EM design rule aware global routing al-
out is mainly determined in routing stage during which the
gorithm is proposed. The proposed algorithm could
segment length and width could be controlled to lower the
effectively reduce the EM-unsafe global segments.
probability of EM violation generation. For modern complex
and challenging ICs, the best way to effectively prevent sig- • An EM design rule aware detailed routing algorithm
nal EM violations is to address it as early as possible during based on multi-commodity flow theory is proposed, for
physical design stage. the first time to our best knowledge. With the guid-
Related Works: The EM issue was originally discovered ance of global routing results, the proposed concurrent
by Gerardin over 100 years ago [5]. It started to become of detailed routing algorithm could significantly reduce
practical interest in 1966 with the introduction of the first the EM risk.
ICs. EM has become a significant concern in analog circuit- The remainder of this paper is organized as follows. Section
s. There are many research works that address EM issue in 2 reviews the EM modeling of signal nets. Section 3 demon-
routing and post-routing stage. In digital circuits, the EM strates the overall flow of the proposed routing algorithms.
issue has been a significant concern in power delivery net- Section 4 proposes EM design rule and discusses the EM-
works for many years. But as the shrinking of technology n- aware global routing and detailed routing algorithm. Exper-
odes, the EM problem on interconnects i.e. metal wires and imental results are demonstrated in Section 5, followed by
vias is addressed by both academics and industries. Gener- the conclusion in Section 6.
ally, existing works related to EM could be classified as two
2 EM MODELING
terms: current-driven and design-rule driven.
As described in [6], an integrated clock signal EM solution Mostly EM reliability assessments are mainly based on the
is provided during routing stage by IC Compiler. A preven- semi-empirical Black’s equation [1] to estimate the mean
tion flow is implemented for clock nets during clock tree syn- time-to-failure (MTTF). Thus, many EM works during phys-
thesis stage. It also includes a signal EM analysis and fixing ical design stage also utilize this model such as the work in
flow after routing stage on a timing / route DRC clean data- [3]. However, Black’s equation suffers some major problems
base. The work in [3] presents a design strategy using special in today’s chip design. It is an over-simplified model of the
signal non-default rules to re-route the wire segments of crit- actual physics for EM failure mechanisms and only work-
ical nets which present a high density and are EM-unsafe. s for constant temperature and current density. Recently, a
The work in [14] proposes an EM-aware redundant via inser- more physics-based EM model have been proposed to mit-
tion algorithm that considers current distribution with dif- igate the shortcomings of Black’s equation [8]. In the work
ferent via layouts. However, post-layout optimization space of [8], a dynamic EM model under time-dependent current
is limited. In routing stage, EM issue on 3D ICs has been and temperature stressing is proposed and a fast stress cal-
considered especially around TSVs in [13]. However the work culation method (Eqn. (1)) is also proposed to demonstrate
does not consider other design rules such as spacing. Mag- what happened before the MTTF.
ma Inc. introduced Blast Fusion and Blast Noise in order to  ( ) 
∞ cos (2n+1)πx (2n+1)2 π 2
achieve a current-density-correct routing of signal nets. Pub- eZρjl

1 x ∑ l −κ t

σ = σT + − −4 e l2 (1)
lic Ltd. offered an integrated routing solution Lyric AMS for Ω 2 l n=0 (2n + 1)2 π 2

268
Session 11: Modern Routing: from Timing, Reliability
to Machine Learning GLSVLSI’18, May 23-25, 2018, Chicago, IL, USA

WůĂĐĞŵĞŶƚ complexity of the routing problem. The full routing flow is


DZƵůĞƐ
ZĞƐƵůƚƐ
constructed with global routing, track assignment and de-
tailed routing. EM design rules are incorporated into global
DͲĂǁĂƌĞ'ůŽďĂůZŽƵƚŝŶŐ routing stage and detailed routing stage.

4.1 EM design rule


dƌĂĐŬƐƐŝŐŶŵĞŶƚ
The theoretical analysis and modeling of EM prove that the
final layout of chip has significant effects on EM results. It is
DͲĂǁĂƌĞĞƚĂŝůĞĚZŽƵƚŝŶŐ therefore necessary to consider EM-related design parame-
ters as early as possible in the physical design flow, especially
ZĐŚĞĐŬ in routing stage.
In the IC design flow, exact wire currents are only known
after the entire topology of the net has been laid out. Cur-
Figure 3: Overall flow of the proposed EM-aware
rent calculation based on Kirchhoff’s current law requires
routing algorithm.
the sequence of all terminals. Since it is very hard to calcu-
Here, l is segment length, j is the current density, σT is
late the real time currents in routing stage, the current is
the thermal stress. e, Z and ρ are electronic constants. The
usually treated as a constant value. maximum current and
details of these symbols are described in [8].
mean current are commonly used. The constant value could
From Eqn.(1) we can find that the stress is only associ-
be estimated by some technology files such as process de-
ated with current density j, temperature T , segment width
sign kit (PDK). In other words, the current could be a given
w and segment length l. Lower current density (lower cur-
and constant value in routing stage and different nets have
rent or wider wire), lower temperature and shorter segment
different values. Thus, only wire width and wire length are
length could reduce the increasing speed of stress, and then
variable factors in routing stage. Fortunately, it is also the
increase the lifetime of chip. This conclusion agrees with
basic task of routing algorithm that generates physical lay-
the well-known “Blech Length” [2] which indicates that any
out with the requirement of wire shape. In order to reduce
wire whose length is shorter than this threshold will have a
the EM occurrence risk, EM design rules based on this ob-
stretched limit for electromigration.
servation are proposed in this work. For a given net, the
3 OVERALL FLOW proposed EM design rule requires that the value of s(j, l, w)
Fig. 3 shows the overall flow of the proposed EM design rule should be less than a threshold. The threshold is associated
aware routing algorithm. The inputs are placement results with signal net parameters such as temperature, working fre-
and EM design rules. Placement results are stored in Ope- quency, expected MTTF. In modern ICs, the wire width on
nAccess (OA) format. And EM design rules vary for different each layer is suggested being uniform. Thus, the EM design
parameters (current density, wire width, wire length, etc.). rule could be further simplified as a wire length and current
Then the proposed EM design rule aware global routing al- density dependent design rule in each layer.
gorithm is performed on the basis of traditional Mazerout-
ing algorithm in a 3D global routing graph. It will penalize
4.2 EM-aware Global Routing Algorithm
the routing results which violate the EM design rules. Tra- The implemented global routing algorithm is built on graph-
ditional track assignment algorithm is executed taking the search technique. The algorithm is guided by the congestion
global routing results as inputs. As the track assignment is information associated with routing regions and net topolo-
performed layer by layer, EM issue can not be avoided by gies. Before the graph-search technique is implemented in
a large margin. So it is not considered in this stage. The routing, a global routing graph (GRG) should be construct-
last routing stage is EM design rule aware detailed routing ed firstly. A global router is used to obtain the coarse solu-
based on multi-commodity flow method. EM design rules are tion of GRC to GRC paths for all nets on a GRG. There are
translated into constraints of an integer linear program (ILP) many graph-search techniques for global routing including
problem. These constraints are used to restrict the genera- Steiner tree based technique, A∗ algorithm and Mazerout-
tion of EM-unsafe wires. The ILP problem is solved by a ing algorithm. Each of them has its own advantages and
commercial solver. The final step is the design rule checking disadvantages. In this paper, a multi-level Mazerouting al-
(DRC) including open, short, spacing, minarea, EM etc. and gorithm is implemented to perform global routing in a 3D
the DRC violations will also be reported. routing graph.
At each level, an EM-aware Mazerouting algorithm is ap-
4 EM DESIGN RULE AWARE plied as shown in Algorithm 1. The routing process is exe-
ROUTING ALGORITHM cuted window by window at all routing levels. For each given
In this section, we firstly propose EM design rule based window, the inputs are net terminal positions and EM con-
on the EM modeling and analysis described in section 2. straints of all nets locating at this window. At the beginning,
Then an EM-aware routing algorithm restricted by the pro- the actual length ActLen of graph edge in current routing
posed EM design rule is presented. In our routing algorithm, level is calculated using window size and routing grid count
divide-and-conquer mechanism is utilized to to reduce the (line 1). Then the algorithm will route all the nets one by

269
Session 11: Modern Routing: from Timing, Reliability
to Machine Learning GLSVLSI’18, May 23-25, 2018, Chicago, IL, USA

Algorithm 1 EM-aware global routing algorithm Table 1: Sets and variables of detailed routing
Input: Routing window information, routing nets information, set/notion meaning
EM constraints
1: CalActualLength(window, track count) → ActLen; A/N /S arc/node/signal net set
2: for each net i do Anj adjacent arc set of node nj
3: GetWireWidth() → width Anj ,o /Anj ,in arc set that starts from / end at the node nj
4: EM Constr[i] × width/ActLen → σ Anj ,w /Anj ,v metal wire / via arc set of node nj
5: Mazerouting : c(ai ) the capacity of arc ai with value of 0 or 1
6: ··· p(ai ) the cost of arc ai with positive value
7: getPathLength() → L the flow command of node nj with value of
r(sk , nj )
8: ⌊L/σ⌋ → α -1, 0, or 1 that net sk requires
9: StdCost · 2α → EM Cost binary variable,
f (sk , ai )
10: AddEMCost2RoutingCost() flow of signal net sk goes through arc ai
11: ···
∑|S| ∑|A|
one. Based on the specified EM constraints, current densi- min. (f (sk , ai ) · p(ai )) (3a)
k=1 i=1
ty, wire width (may vary for different metal layers) of the s.t. η(sk , nj ) − γ(sk , nj ) = r(sk , nj ), ∀sk ∈ S, ∀nj ∈ N, (3b)
net, we can calculate the maximum step that the router can ∑
η(sk , nj ) = f (sk , a) (3c)
a∈An ,o
run (lines 3–4). Then is the EM-aware 3D Mazerouting. D- j

ifferent from the traditional one, EM design aware routing γ(sk , nj ) =
a∈An ,in
f (sk , a) (3d)
j
algorithm penalizes the paths that exceed the maximum step ∑|S|
f (sk , ai ) ≤ c(ai ), ∀ai ∈ A, (3e)
by Eqn. (2) (lines 7–10). It is obvious that the penalty value k=1
∑|S| ∑
is exponential with the quotient of path length and maxi- f (sk , a) ≤ 2, ∀nj ∈ N, (3f)
k=1 a∈An
j
mum step. The longer the path, the higher the routing cost.
f (sk , ai ) ∈ {0, 1}, ∀sk ∈ S, ∀ai ∈ A. (3g)
The StdCost in Algorithm 1 and Eqn. (2) represents the
routing cost of an edge used in general purpose routing al-
symbols and variables are listed in TABLE 1 to describe the
gorithm. Finally, the algorithm will select a path with the
routing model based on the routing graph. The meaning of
lowest routing cost.

StdCost if σ ≤ L
each set or variable is described in the second column. Based



 on those sets and variables, multi-commodity flow based ILP
StdCost · 2 if σ < L ≤ 2 · σ
EM Cost =


.
.
(2) formulation of detailed routing problem is built as Eqn. (3).

 .

 The objective function (3a) is to minimize the total routing
StdCost · 2n−1 if (n − 1) · σ < L ≤ n · σ
After the global routing, track assignment is implement- cost. Constraints (3b) are used to connect all the terminals
ed to assign global routing segments to each routing track. of a net together i.e. ensure that there are no open nets af-
Then the detailed routing algorithm described in the follow- ter routing. Arc and node capacity constraints (3e)(3f) could
ing section will take its results as inputs. guarantee no short violations are generated. In order to build
a practical detailed router, spacing design constraints learn-
4.3 EM-aware Concurrent Detailed
ing from [9, 10] are also formulated in this work. Our ILP
Routing Algorithm formulation is very similar to that in [9, 10]. However, EM
It has been found during our experiments that after the track related constraints are seamlessly integrated in our model
assignment, there are many segments that have a high prob- which will be described in the following sections.
ability of generating EM violations because of long distance, 4.3.2 Algorithm of Finding Net Detour Window. As dis-
even though EM-aware global routing algorithm is imple- cussed above, EM design rule aware detailed router is de-
mented. Thus, it is necessary to propose an EM-aware de- sirable to reduce the EM occurrence risk. However, it is not
tailed routing algorithm to shorten the long wires by some necessary that all the routing windows execute EM-aware
techniques such as net detour or layer shifting. In this sec- detailed routing. For a given segment, if it is too long that
tion, an EM-aware concurrent detailed routing algorithm is may generate EM violation, only part of windows that it goes
proposed based on multi-commodity flow method. through need integrate the EM constraints. The integration
4.3.1 Concurrent Detailed Routing Model. Normally, the of EM constraints makes the ILP problem much hard to solve
detailed routing problem of a chip is divided into many sub- and may increase the wirelength and via count. Therefor, by
problems based on global routing cells. Each subproblem this way, we can not only achieve the goal of reducing EM
is simplified as a path-finding problem. To finish the rout- occurrence risk but also maintain the routing quality and
ing task, a routing graph based on given routing resources reduce runtime. In this section, an algorithm of finding net
(routing layers, routing grids, blockages etc.) is built first- detour window is proposed as Algorithm 2 before detailed
ly. Because there are always several available routing layers, routing. For a given global segment on layer i, assuming that
the routing graph G = (N, A) is three dimensional. The con- the segment length is l and the maximum length for EM-safe
current detailed routing model is based on multi-commodity calculated by EM rules, current density and layer width is
flow method. In order to indicate the commodity flow direc- σ (line 2–3). If l ≤ σ, no EM ILP constraints are needed for
tion, the routing graph is formulated as directed. Some set this segment. Otherwise only n = ⌊l/σ⌋ window(s) needs to

270
Session 11: Modern Routing: from Timing, Reliability
to Machine Learning GLSVLSI’18, May 23-25, 2018, Chicago, IL, USA

Algorithm 2 Algorithm of finding net detour window Algorithm 3 Algorithm of formulating EM ILP constraints
Input: Track assignment results, EM constraints Input: Routing window w
1: for each segment s do Input: routing nets information
2: getLength(s) → l ; getWidth(s) → width 1: if w.unsaf e segs.size() ≤ 0 then
3: getMaxLength(width, EM Const) → σ 2: continue;
4: if l > σ then 3: for each s in unsaf e segs do
5: n = ⌊l/σ⌋ 4: if s.T 1.row = s.T 2.row and s.T 1.col = s.T 2.col then
6: for k = {1, 2, ..., n} do 5: modelEMConstraints(s)//based on Eqn. (4)
7: getWindow(s, k) → w
8: w.unsaf e segs.push back(s) direction). If routing path is forbidden to go through the
9: for each routing window w do window by a line, col count − 2 edges could be used at most.
10: model ILP formulation as Eqn.(3) Thus the right hand value of Eqn. (4) is col cnt − 2.
11: if w.unsaf e segs.size()> 0 then In order to make the work easier to comprehend, glob-
12: add EM constraints to Eqn.(3) al and detailed routing algorithm with the consideration of
13: solve ILP problem EM issue are simplified as EMGR and EMDR, respectively, other-
wise are QGR and QDR (routing-quality-driven global/detailed
build EM ILP constraints for this segment. If l > σ, the seg-
routing algorithm [10]).
ment is termed as EM-unsafe segment in this paper. Then
the segments will be partitioned evenly by n points. Those 5 EXPERIMENTAL RESULTS
windows where the partition points locating at need to build The proposed EM-aware routing algorithm is implemented
EM ILP constraints for this segment. In routing stage, de- with C++ language on Linux server with 2.6 GHz Intel Xeon
tailed router will be executed window by window. For each CPU and 16 GB memory. In detailed routing stage, GUROBI
window, if there are one or more segments that have to be [7] is applied as our ILP solver. Our experiments are execut-
detoured in it, EM design rule based constraints described ed on five industry benchmarks with 45 nm library on OA
in 4.3.3 will be formulated and added into Eqn. (3). format which are cited from [10] and have been placed by
4.3.3 EM design rule based ILP constraints. Algorithm 2 Encounter. However, we could only get access to the physi-
collects all the windows in which EM-aware detailed routing cal layout information of these benchmarks and no current
algorithm is performed. At the same time, it determines the information could obtain. In our experiments, the maximum
segment set that need to formulate EM ILP constraints for length of each net is assigned randomly with the range of 6
each window. Now EM-aware detailed routing model is pro- to 10 times of GRC width. These random length values could
posed. On the basis of concurrent detailed routing model in be replaced by real current information if it is available.
section 4.3.1, EM ILP constraints are formulated in order to In order to demonstrate the effectiveness of the proposed
detour the corresponding wires by Algorithm 3. For a giv- algorithm, some comparisons are made between routing al-
en routing window, firstly we need to estimate whether or gorithms with and without the consideration of EM issue.
not it is an EM-unsafe window. If yes, EM ILP constraints The metrics for comparison in the experiments are total
will be formulated. Concurrent detailed routing model could wire length (WL) measured by millimeter, total via count
route two-terminal and three-terminal nets simultaneously, (#Via), DRC violation count (#DRC) and runtime (Time)
but this work will only formulate EM ILP constraints for measured by second. Furthermore, we propose another com-
two-terminal nets. Because EM modeling of multi-branch parison parameter, EM-unsafe wire length (EMWL). It is cal-
and 3D wires is still inadequate. Based on the results of culated by the length sum of global segments or metal wires
Algorithm 2, we could get access of the necessary segment whose length exceeds the corresponding EM threshold. The
information such as terminal position. It is restricted that larger the EM-unsafe wire length, the greater the probability
two terminals (T 1 and T 2) of an EM-unsafe segment are lo- of EM violation generation.
cated at the same row or column, otherwise, EM constraints
will be not formulated. Based on the segment information,
5.1 Effectiveness of EM-aware global
EM ILP constraint(s) are formulated as Equation (4). The e- routing algorithm
quation is under construction to make sure that the wire has In this section, some experimental results are demonstrated
a detour in other layer rather than goes through the window to prove the effectiveness of the proposed EM-aware global
by a line. It is to be noted that not all the variables in this routing algorithm. In TABLE 2, the first column indicates
routing region need to be collected into EM ILP constraints. two global routing algorithm QGR and EMGR. The second col-
Assuming that the segment is horizontal and the row index umn shows four comparison metrics. Columns 3-7 are exper-
that the segment locating at is r. k is the net index that the imental results of five different benchmarks. The last column
segment is owned to. The constraint only collects the edge is the comparison results in average. The third row in TA-
variables associated with net k and row r, but associated via BLE 2 shows the net count of each benchmark. It could be
edges are not

collected∑as Eqn.(4) shows. seen from the comparison that comparing with QGR, EMGR
col cnt
f (sk , a) ≤ col cnt − 2 (4) could averagely reduce the EM-unsafe wire length by 26%
j=1,j=j+2 a∈An ,w
j
Here, nj is the node in the rth row. col cnt is the routing at the cost of slight increasing of wire length, via count and
track count, so there are col count − 1 edges (ignore edge runtime by 1%, 3% and 12%, respectively.

271
Session 11: Modern Routing: from Timing, Reliability
to Machine Learning GLSVLSI’18, May 23-25, 2018, Chicago, IL, USA

Table 2: Effectiveness of EM-aware GR algorithm study the effectiveness of overall EM-aware routing flow i.e.
Routing Benchmarks Flow4. Comparing with Flow3, Flow4 could further reduce
Metrics Comp.
Flow the EM-unsafe wire length by 5%. Meanwhile, DRC count
chip1 chip2 chip3 chip4 chip5
#Net - 36k 52k 10k 91k 100k - is also decreased by 9%. Compared with QGR, EMGR reduces
WL 645 820 1657 1519 1691 1
the subproblem count that should take EM into considera-
#VIA 351 380 738 665 739 1 tion in detailed routing stage. So detailed routing in Flow4
QGR EMWL 219 429 953 902 1019 1
Time 27.65 23.55 54.18 50.27 52.07 1 spends less time that in Flow3. Finally, the entire runtime of
WL 645 823 1667 1528 1701 1.01 Flow4 is reduced by 12% comparing with Flow3. While the
#VIA 354 391 766 693 769 1.03 routing quality is almost the same. Comparing with Flow1,
EMGR EMWL 165 324 718 662 752 0.74
Time 28.91 26.01 61.04 58.72 58.47 1.12 Flow4 finally reduces the EM-unsafe wire length by 92% at
the cost of 1.96× runtime. The results prove the effective-
ness of EMGR once again. These comparisons indicate that
Table 3: Comparison of different routing flow the proposed EM-aware routing algorithm could effectively
reduce the EM-unsafe wire length, thus, reduce the proba-
Routing Benchmarks
Metrics Comp. bility of EM violation generation. At the same time, there
Flow
chip1 chip2 chip3 chip4 chip5
are only a minor loss of the routing quality.
WL 647 827 1674 1536 1709 1
Flow1:
QGR
#VIA
EMWL
370
117
401
218
780
471
704
444
780
504
1
1
6 CONCLUSION
+
#DRC 61 94 166 156 164 1 In this paper, electromigration issue is considered as a de-
QDR
Time 1033 1165 2154 1925 2302 1
sign rule based on physics-based EM modeling and analysis.
WL 649 831 1682 1543 1717 1.00
Flow2: EM-aware global routing and detailed routing algorithms are
#VIA 371 406 793 717 796 1.02
EMGR
EMWL 92 168 355 332 371 0.75
+
#DRC 56 81 168 160 177 1.04
proposed to reduce the EM violation generating probabili-
QDR
Time 1038 1115 2228 2001 2268 1.01 ty. Experiments demonstrate that the proposed algorithms
Flow3:
WL 651 836 1693 1553 1729 1.01 reduce the EM-unsafe wire length by 92% which is helpful
#VIA 373 409 798 722 802 1.02
QGR
EMWL 11 16 42 38 42 0.09
to increase the chip reliability. In the future work, we will
+
EMDR
#DRC 62 79 171 159 181 1.02 take via EM model into consideration to make the algorith-
Time 2061 2566 4926 4447 5087 2.22
m much complete and test the proposed algorithm using
WL 652 835 1692 1552 1728 1.01
Flow4:
#VIA 373 405 788 712 789 1.01 benchmarks with advanced technology nodes.
EMGR
EMWL 11 15 39 37 40 0.08
+
EMDR
#DRC 58 83 152 170 152 0.93 REFERENCES
Time 1900 2269 4349 3906 4414 1.96 [1] James R Black. 1969. ElectromigrationA brief survey and some
recent results. IEEE TES 16, 4 (1969), 338–347.
5.2 Effectiveness of EM-aware detailed [2] Illan A Blech. 1976. Electromigration in thin aluminum films
on titanium nitride. Journal of Applied Physics 47, 4 (1976),
routing algorithm 1203–1208.
Even though the EM-aware global routing algorithm could [3] Lucas de Paris, Gracieli Posser, and Ricardo Reis. 2016. Elec-
tromigration aware circuits by using special signal non-default
reduce the EM-unsafe wire length a lot, there is still much routing rules. In Proc. ISCAS. IEEE, 2795–2798.
optimization space for that. In this section, we compare the [4] Chan Gary and TSMC. 2011. Hybrid IR/EM Analysis Flow with
EM Rule Extension. In SNUG Conference.
routing results of routing quality driven routing flow and the [5] Bradley Geden. 2011. Understand and Avoid Electromigration
proposed EM-aware routing flow. Four routing flows are im- (EM) IR-drop in Custom IP Blocks. In Synopsys White Paper.
plemented based on different combinations of global routing [6] Rangarajan Geetha and Deng James. 2013. Addressing signal
electromigration (EM) in todays complex digital designs.
and detailed routing algorithms as shown in the first column [7] Gurobi Optimization Inc. 2017. Gurobi Optimizer Reference
of TABLE 3. Firstly, we continue to evaluate the effect of the Manual. http://www.gurobi.com. (2017).
EMGR algorithm by the comparison between Flow1 and Flow2. [8] Xin Huang, Tan Yu, Valeriy Sukharev, and Sheldon X-D Tan.
2014. Physics-based electromigration assessment for power grid
As the results show, even though the same detailed routing networks. In Proc. DAC. ACM, 1–6.
algorithm is used, EMGR could provide better guidance for de- [9] Xiaotao Jia, Yici Cai, Qiang Zhou, Gang Chen, Zhuoyuan Li, and
Zuowei Li. 2014. MCFRoute: a detailed router based on multi-
tailed routing than QGR. Experimental results show that in commodity flow method. In Proc. ICCAD. IEEE, 397–404.
the final layout EM-unsafe wire length is reduced by 25% and [10] Xiaotao Jia, Yici Cai, Qiang Zhou, and Bei Yu. 2018. A Multi-
DRC count has an increasement of 4%. While the total wire commodity Flow-Based Detailed Router With Efficient Acceler-
ation Techniques. IEEE TCAD 37, 1 (Jan 2018), 217–230.
length, via count and runtime are almost the same. Second- [11] Andrew B Kahng, Siddhartha Nath, and Tajana S Rosing. 2013.
ly, we study the effectiveness of EM-aware detailed routing On potential design impacts of electromigration awareness. In
algorithm from the comparison between Flow1 and Flow3. Proc. ASPDAC. IEEE, 527–532.
[12] Jens Lienig. 2013. Electromigration and its impact on physical
The length of EM-unsafe wire decreases with the proposed design in future technologies. In Proc. ISPD. ACM, 33–40.
detailed routing algorithm by 91% on average while the rout- [13] Jiwoo Pak, Sung Kyu Lim, and David Z Pan. 2012.
Electromigration-aware routing for 3D ICs with stress-aware EM
ing quality in terms of total wire length, via count and DRC modeling. In Proc. ICCAD. ACM, 325–332.
number remains basically the same. However, the runtime of [14] Jiwoo Pak, Bei Yu, and David Z Pan. 2015. Electromigration-
EM-aware detailed routing is 2.22× of Flow1. It is because aware redundant via insertion. In Proc. ASPDAC. IEEE, 544–
549.
that ILP formulation of detailed routing problem become
hard to solve after the consideration of EM issue. Thirdly, we

272

You might also like