You are on page 1of 53

Comprehensive

Thin Film Analysis:


XRD vs Ellipsometry and Raman
Welcome

Today’s Topics
„ Introduction to X-ray Diffraction (XRD)
„ Comparison of XRD with other Metrology
Methods
„ Semiconductor Application Examples

Uwe Preckwinkel
Webinar Host

Guest Speakers
„ Mike Lyubchenko – Applications Scientist, XRD, Madison, WI
„ Dr. Assunta Vigliante – Head of Business Development,
Semiconductor Industry, Karlsruhe, Germany
Introduction
What Can XRD “See”?

When x-rays are scattered from nanoscale structures –


such as atoms, molecules, films, grains or pores –
a diffraction pattern will appear that carries information about the
structure and morphology of the illuminated sample volume
X-ray Applications for Materials in
the Semiconductor Field

Phase, Orientation, Stress, Grain Size,


Thickness,
XRD Composition, Texture, Strain, Density Porosity,
Profiling
Crystallinity Mis-cut Relaxation Roughness
Metal layers 9 9 9 9
Diffusion stop layers 9 9 9

Low-k layers 9 9

Silicides 9 9

High-k materials 9 9

Channel materials 9 9 9
Substrate 9 9
Advanced Technology Platform

D8 DISCOVER
D8 DISCOVER for Thin Film Analysis

Eulerian KEC
Cradle
Göbel Mirror
Detector
X-ray Source

Secondary
Optics
4-bounce
Monochromator
D8 Goniometer Ge 022 asym.
Detectors for XRD
Dimension

GF >1000 GF >1500

GF >150 GF >500

Gain factor 3
Capabilities
XRD3 – Diffraction Space Viewer
LEPTOS
Material Database
Introduction
XRD in the Semiconductor Field

Advanced sources, optics and detectors, as well as


goniometers and software, allow straightforward analysis of:
ƒ small sample features and thin films
ƒ in high, medium and low resolution
ƒ for high-end research and fully integrated metrology

During this webinar, we will compare XRD to other analytical


techniques and show application examples related to thin films
Semiconductor Metrology
Methods & Applications

Mike Lyubchenko
Semiconductor Applications

Metal layers: Cu, Al-Cu, W


Thickness, phase, orientation, stress

Barrier layers: Ta/TaN, Ti/TiN, W/WN


Thickness, density, phase

Low-k layers: Black Diamond, SiLK


Thickness, porosity

Silicide: WSi, TiSi, CoSi, NiSi


Thickness, phase

Gate oxide / High-k: SiO2, SiNO, Ta2O5, HfO2


Thickness, phase, crystallinity

Channel: epi-SiGe, strained Si


Thickness, composition, relaxation

Substrate: Si, SOI, sSOI


Oxide thickness / depth, Ge profile, mis-cut angle
Metrology Techniques for Thin Films

Film Thickness Measurement


Transmission Electron Microscopy (TEM)
Spectroscopic Ellipsometry (SE)
X-ray Reflectivity (XRR)
X-ray Fluorescence (XRF)
X-ray Diffraction (XRD)
Secondary Ion Mass Spectrometry (SIMS)
Auger Electron Spectrometry (AES)
Rutherford Backscattering Spectrometry (RBS)

Elemental Composition
X-ray Fluorescence (XRF)
X-ray Diffraction (XRD)
Auger Electron Spectrometry
Spectroscopic Ellipsometry (SE)
Secondary Ion Mass Spectrometry (SIMS)
Rutherford Backscattering Spectrometry (RBS)
Energy Dispersive Spectroscopy (EDS/TEM)
Metrology Techniques for Thin Films

Lattice Strain Characterization


X-ray Diffraction (XRD)
UV Raman Spectroscopy
High Resolution Lattice Image (HRTEM)

Surface/Interface Roughness
X-ray Reflectivity (XRR)
Atomic Force Microscopy (AFM)
Transmission Electron Microscopy (TEM)
Your Turn…
„ Use your mouse to answer
the question on the right of
your screen:
What methods do you currently
use for thin films analysis?
(Check all that apply):
‰ Transmission Electron Microscopy
‰ Spectroscopic Ellipsometry
‰ Raman Spectroscopy
‰ X-ray Reflectivity
‰ X-ray Fluorescence
‰ X-ray Diffraction
‰ Other

„ And the results are...


Metrology Techniques - TEM
Capabilities
ƒ Multi-layer thickness capability
ƒ Excellent defect detection
ƒ Elemental composition (with EDS)
Advantages
ƒ Provides accurate film thickness
ƒ Excellent contrast between films
ƒ Provides film interface information
ƒ Provides information on crystallinity
ƒ High resolution (higher than SEM)
Disadvantages
ƒ Destructive; samples need thinning, down to <50 μm
ƒ Specimen preparation is time and labor intensive (2-3 hrs typical)
ƒ Image artifacts require expert interpretation of micrographs
ƒ Samples may be sensitive to electron beam damage
ƒ Sample may not be representative
Spectroscopic Ellipsometry

„ Ellipsometry is an optical technique used to analyze thin transparent layers. As


the light shining on the sample passes through and bounces out, it undergoes
some changes in its amplitude and phase. These two parameters are analyzed
to derive information about the layers, such as their refractive indices and
thicknesses.
Metrology Techniques - SE
Capabilities
ƒ Uses polarized light for stacked film optical thickness (refractive index)
ƒ Elemental composition, roughness, thickness, optical constants
Advantages
ƒ Rapid
ƒ Non-destructive
ƒ Insensitive to light intensity fluctuations & losses
ƒ Many in-line systems available
ƒ Small spot size (25 μm) for features
Disadvantages
ƒ Requires transparent films
ƒ Does not measure quantities directly
ƒ Quantifiable only with standards
ƒ Analysis can be difficult
ƒ Difficult for thin conformal Si layer on rough relaxed SiGe
ƒ Problems with fits when substrate stress is different from model stress

Optical constants change as a function of strain.


Raman – Principles
When a photon strikes a molecule, it can interact with it in many different ways.
The two main ones are:
1. Rayleigh scattering - The photon bounces off of the molecule without any
energy exchange
2. Raman scattering - The photon strikes the molecule, gets absorbed exciting
the molecule. The molecule then relaxes to one of the lower energy states by
emitting another photon of energy different from the incident one. The
energy of the re-emitted photon can be either higher or lower than the
original depending on a chemical state of he molecule.
Metrology Techniques – UV Raman
Capabilities
ƒ Composition, crystallinity, strain/stress
ƒ Phonon frequency shift in Si used to
determine strain in Si channel
ƒ Peak broadening related to defects:
dislocations, disorder, Ge out-diffusion,
strain, nonuniformity
Advantages
ƒ Non-destructive, no sample preparation
ƒ Rapid (364 nm Ar-ion laser resonance
Raman 10X faster than 325 nm He-Cd
laser non-resonance Raman)
ƒ Small spot size (0.4 μm)
ƒ Not affected much by roughness
ƒ Control of penetration depth (325nm <10 nm)

Disadvantages
ƒ No in-line monitoring tools available for patterned wafers
ƒ Requires complex data interpretation
ƒ Ge composition variation (out-diffusion) affects accuracy of derived strain value.
Metrology Techniques - XRF
Capabilities
ƒ Multi-element composition
ƒ Good precision
ƒ Fluorescence intensity is proportional to atomic density
ƒ Thickness measurements

Advantages
ƒ Spot size (40-50μm)
ƒ ppm capability in a few cases; more commonly, 0.01% detection limits
ƒ Simple spectra – No fitting or models required
ƒ High Throughput (~10 sec per site)
ƒ Whole wafer analysis
ƒ Non-destructive

Disadvantages
ƒ Calibration standards required
ƒ Some elements (e.g. Ge) have low fluorescence yield (long acquisition times)
ƒ Matrix effects (fluorescence absorption and enhancement) must be compensated
ƒ Only elements beyond O detectable
ƒ Interference (different element, same peak)
ƒ Diffraction background depends on substrate type
Metrology Techniques –
High Resolution X-ray Diffraction
Capabilities

ƒ Strain/stress
ƒ Film thickness
ƒ Composition

Advantages

ƒ In-line monitoring tools available


ƒ Non-destructive
ƒ Rapid
ƒ High-accuracy

Disadvantages

ƒ Strain measurement straight-forward only on bulk Si wafers


ƒ Strain measurement on SOI wafers is time-consuming
ƒ Requires triple-axis reciprocal space maps
What Can Be Measured with X-rays?
Thin Film Real Structure
Analytical Tasks
X-ray Reflectometry

AC

A BxC1-x

AB
Layer thickness Chemical Roughness Lateral structure
Composition
X-ray Reflectometry
General Remarks
„ Based on reflection of X-rays at interfaces – no crystal lattices
needed
„ Non-destructive method for the investigation of the near surface
region of different sample systems
• single crystalline, polycrystalline and amorphous samples
• polymers, organic samples (Langmuir Blodgett, etc.), fluids

„ Specular reflection of X-rays


• film thickness of single- and multilayer systems (0.1nm → 1000nm)
• density profiles of near surface regions (~1%)
• roughness of surfaces and interfaces (0.1nm → 5nm)

„ Diffuse scattering of X-rays


• roughness structure of surfaces and interfaces
• morphology, correlation length, fractal parameters
XRR – X-ray Reflectometry

thickness

density

Slope = roughness

A technique which utilizes the effect of total external reflection of X-rays. The
measurements are done around a “critical angle” (an angle of total reflection). Below the
critical angle, the X-rays don’t penetrate the sample surface. Above it, the penetration
rises quickly with an angle. At every interface, a portion of X-rays is reflected.
Interference of these partially reflected X-ray beams creates a reflectometry pattern.
XRR – X-ray Reflectometry

Capabilities
ƒ Stacked film thickness, density, surface/interface roughness
ƒ Most accurate in-line thin film metrology

Advantages
ƒ Can accurately determine thickness, roughness, density of layers
ƒ Any type of material can be analyzed (amorphous, crystalline, opaque)
ƒ Complex multilayer structures can be measured
ƒ Doesn’t require a prior knowledge of material composition

Disadvantages
ƒ Modeling can be difficult
ƒ Fairly slow
ƒ Spot size, 80μm x ~3mm
ƒ Ge diffusion reduces density contrast
ƒ Doesn’t work well with very rough interfaces
ƒ There is an upper limit on thickness (<0.5 μm)
XRR – X-ray Reflectometry
Typical Experimental Setup
Comparison of X-ray and Optical
Analytical Techniques

„ Thickness
Æ XRR is a direct method
Æ XRR is material independent
Æ Can resolve complex layer stacks

„ Crystallinity
Æ XRD is the ideal technique to probe crystal structure

„ Strain
Æ HRXRD can determine strain and concentration independently
with precision <1%
Æ HRXRD analysis is simple and can be automated
Semiconductor Industrial Applications

Assunta Vigliante
Bruker AXS
D8 FABLINE with UMC300 STAGE
Industrial Applications
Measurement Schemes

„ High-Resolution X-ray Diffraction (HRXRD)


• Single-crystal / epitaxial layer structure
• Composition, thickness, relaxation
„ X-ray Reflectivity (XRR)
• Polycrystalline, amorphous, single-crystal film structure
• Thickness, density, surface / interface roughness
„ Grazing Incidence Diffraction (GID)
• Polycrystalline thin film
• Crystallinity phase, grain size
Please Tell Us…
„ Use your mouse to answer
the question on the right of
your screen:
What is your biggest challenge in
analyzing semiconductor or thin
film samples? Choose one:
 Integrating analysis instruments
into my fabrication processes
 Determining the best
measurement method for my
application
 Being able to apply multiple
analytical methods with one
instrument
 Logistical and environmental
constraints of placing an
instrument in my facility And the results are…
Limits of X-ray Reflectometry
Thick Layers
Example: SiO2 on Si

1e4

1014 nm SiO2:H

Si
1000
Int. [au]

100

10

0.11 0.2 0.3 0.4 0.5 0.6 0.7 0.8 0.9 1.0 1.1

2θ [°]
Limits of X-ray Reflectometry
Thin Layers
Example: LaZrO on Si
0
1*10

-1 6.7 nm LaZrO
1*10

-2
1*10 Si (111)
-3
1*10
Intensity [au]

-4
1*10

-5
1*10

-6
1*10

-7
1*10

-8
1*10
2 4 6 8 10 12 14
2θ [°]
X-ray Reflectometry
Example of Sensitivity to Layer Thickness

0
10
-1 InP
10 50nm
5nm InP
10x 5x 4nm InGaAs
-2
10 4nm InP
InP
-3
10
Reflectivity

-4
10
-5
10
-6 50nm InP
10
5nm InP
10x 3,5nm InGaAs
-7 5x
10 4,5nm InP
InP
-8
10
0,0 0,5 1,0 1,5 2,0 2,5 3,0
o
Incidence angle [ ]
Metal / Barrier Layers (Cu Process)
30 nm Cu/10 nm Ta/Si
Thickness Roughness Density
Material
(nm) (nm) (g cm-3)
100
Cu2O 2.20 ± 0.57 0.80 ± 0.47 2.38 ± 1.70
experimental
10-1 Cu simulation
29.14 ± 0.56 1.91 ± 0.93 9.00 ± 0.55
Relative intensity

10-2 Ta 8.23 ± 0.05 0.35 ± 0.09 16.62 ± 0.55

Si --- 0.48 ± 0.04 2.33


10-3

10-4

10-5

10-6

10-7
1 2 3 4 5
2θ (degrees)
Silicide Layers
TiSi / TiN / Si
VLR40077.05

6000

5000 Θ=0.3°
4000
Lin (Counts)

3000

2000

1000

20 30 40 50 60 70 80 90

2-Theta - Scale
File: VLR40077-05.raw - Type: 2Th alone - Start: 20.000 ° - 00-029-1362 (*) - Titanium Silicon - Ti5Si3 - Y: 18.75 % - d
Operations: Import 00-038-1420 (*) - Osbornite, syn - TiN - Y: 50.00 % - d x by
File: VLR40077-05.raw - Type: 2Th alone - Start: 20.000 ° -
Operations: Background 0.000,1.000 | Import
00-035-0785 (*) - Titanium Silicon - alpha-TiSi2 - Y: 12.50

Detected Phases: TiSi2, Ti5Si3, TiN


Pattern or Product Wafers
Heterostructures
Relaxation Degree R
Example: Si1-xGex - Si structure
pseudomorphic layer partially relaxed layer completely relaxed layer

R=0
R=1

Δd Δd Δd Δd Δa
≠0 = =
d || d || d || d ⊥ a
R=
Δa
a rel d L|| ≠ aS d L⊥ = d L|| = aL
Measurement Scheme (I)
High-Resolution X-ray Diffractometry (HRXRD)

„ Applicable
semiconductor
processes
• SiGe and SiC
0
1*10

epitaxial thin film 1*10


-1

• Strained Si film 1*10


-2

Intensity
• SOI and sSOI -3
1*10

„ Analysis parameters
-4
1*10

• Composition
• Thickness
-5
1*10
67.2 67.4 67.6 67.8 68 68.2 68.4 68.6 68.8 69 69.2 69.4 69.6 69.8
2theta / omega (degree)

Sim Curve Raw Curve

• Relaxation
Pattern Recognition

ƒ Cognex Pattern Recognition


ƒ Autofocus function
ƒ 7 zoom factors
ƒ Laser video system
SiGe on Si

2e4

1e4

1000
Log (Cps)

100

10

6
5
4
3
2

33.6 33.7 33.8 33.9 34.0 34.1 34.2 34.3 34.4 34.5 34

Theta - Scale
File: PatternedSiGe_VS0i1_HS0i2_SiGe004_06.raw - Type: Rocking curve - Start: 33.600 ° - End: 34.600 ° - Step: 0.002 ° - Step time: 2.1 s - Temp.: 25 °C (Room) - Time Started: 1074 s - 2-Theta: 68.
Operations: Import
SiGe on SOI
4.03 4.03

4.02 4.02

4.01 4.01

4.00 4.00

3.99 3.99

3.98 3.98

l [001]
l [001]

3.97 3.97

3.96 3.96

3.95 3.95

(0; 3,9436)
3.94
(1,9975; 3,9457)
3.94 (1,9994; 3,9437)
(-0,0018; 3,9436)
3.93 3.93

3.92 3.92

3.915 3.915

0
Miscut=0,038 deg) 1.986 1.99

h [110]
2.00 2.0

h [110]
1 10
10 100
100 1000 1e4 1 10 100 1000
1000 1e4

Cps Counts
[001] - File: m004 [001].raw - Type: General Scan - Start: -0 [001] - File: m224+ [001].raw - Type: General Scan - Start: 1.
SOI

200μm collimeter, about 400 seconds per point


DIFFRACplus LEPTOS 3
Automatic Fitting
Extended Genetic Algorithm
D8 FABLINE
Automated Operation and Analysis

„ Measurement tasks automated using Visual Basic scripts


„ Automatic alignment for reflectometry and Bragg
reflections
„ First task - analytic profile fitting - TOPAS BBQ
• Choice of profile shape function: Pseudo-Voigt and
Pearson VII
• Crystallite size determination by Scherrer method
„ Second task - simulation & fitting- LEPTOS
• unified program for XRR &HRXRD
• script automation
Texture Measurements on Cu Lines

Beam spot 100μm


Texture measurement can be done in 15 min
(111) and (200) Cu reflections are collected simultaneously
Stress Measurements on Cu Lines
Beam spot 100 μm
Stress measurement can be done in 2 hrs
(331) and (420) Cu reflections are collected simultaneously
Stress Measurements on Cu Lines
Industrial Applications

„ High-Resolution X-ray Diffraction (HRXRD)


„ X-ray Reflectivity (XRR)
„ Grazing Incidence Diffraction (GID)
„ Grazing Incidence Small Angle Scattering (GI-SAXS)
„ In-Plane Grazing Incidence Diffraction (IP-GID)
„ Texture
„ Stress

All in one instrument!


Thank you for attending!
Please provide feedback by
completing our brief survey.

Also, please type any questions you


may have in the Q&A panel to the
right of your screen and click Send.

Links to a recording of this webinar


and resources on thin films will be
emailed to you.
www.bruker-axs.com
See us at:
International Conference on Crystal Growth (ICCG-15)
August 12-17, 2007
Salt Lake City, UT

You might also like