You are on page 1of 3

2010 International Conference on Advances in Computer Engineering

Leakage Current Reduction in 6T Single Cell


SRAM at 90nmTechnology
Shilpi Birla Neeraj Kr. Shukla Debasis Mukherjee R.K. Singh
E&CE Deptt E&CE Deptt E&CE Deptt E&CE Deptt
SPSU, Udaipur (India) ITM, Gurgaon (India) KEC, Kumaon (India)
ITM, Gurgaon (India)

Abstract-The emerging Wireless Sensor Network the ON-State as well as in the OFF-State of the MOS
technologies are facilitating novel applications in health transistor operation. Further, lowering the operating voltage
monitoring, industrial monitoring and security surveillance. of the MOS Cell will lower the stability of SRAM Cell by
The small physical dimensions of wireless sensor nodes often approaching its limit towards the SNM [9,11].
restrict the energy source to a small battery. The limited
energy consumption requirement demands for ultra-low power To address the current leakage problem, several
sensing, processing and communication. This paper targets the techniques have been proposed at the circuit level, e.g.,
modeling and simulation of CMOS leakage currents and its Standby Leakage Control using Transistor Stack, Multiple
minimization approach to reduce the power consumption by a Vth Scheme (Multithreshold Voltage CMOS, Dual
single cell SRAM cache. The popular approaches for leakage Threshold Voltage CMOS, Variable Threshold Voltage
reduction are the data retention gated ground, and dynamic CMOS, Dynamic Threshold Voltage CMOS, Double Gate
threshold voltage for cache. The work focuses on the Dynamic Threshold SOI CMOS, etc.) [3].
simulation of a SRAM Cell for the data retention gated ground
and drowsy mode SRAM Cell which shows that the current The structure of conventional SRAM with 6T cell is
reduction of around 25% in s simulation model, respectively in shown in Fig. 1. In the following we describe the read and
comparison with the conventional cell with no current write operation in conventional SRAM [1].
reduction technique.
Keywords-Deep Sub-micron, Leakage Current, Sub-
Threshold Voltage.
I. INTRODUCTION
According to ITRS roadmap in 2002, memory chip will
occupy 90% of chip area in 2013 [1]. Recent surveys in this
area shows, a roughly around 30% of the semiconductor
business (world-wide) is due to semiconductor memory
chips. In recent years as the need of leakage reduction in
high-processors and microcontroller architectures, memory
structures increases, there have been many research
activities on low-voltage SRAM dynamic and standby
Fig. 1 Conventional 6T SRAM Cell
techniques. Most of the researchers reported circuit
techniques in this area focusing on the designs at the sleep
A. Write Operation
mode, .g., an array of dynamically-controlled sleep
The row and column address decoded and select one cell
transistors was used to provide a finely programmable from memory array. For a write, this bit-line driving
standby VDD [2]. conducts simultaneously with the row and column decoding
by turning on proper write buffer. After this step, the bit-line
II. CONVENTIONAL 6T SRAM CELL pair will forced into full-swing logic level. If the value of
The Static Random Access Memory (SRAM) is found as the stored bit in the target cell is opposite to the value being
an integral component in many embedded applications. written, then cell flipping process will take place. At the end
Traditionally an SRAM is mainly formed by an array of of write operation all bit-lines pre-charged to the VDD and
CMOS Cells which has Six-Transistors along with a number get ready for next read or write operation [2].
of other peripheral circuitry, e.g., row decoder, column
decoder, sense amplifier, write buffer, etc.[1]. As the IC B. Read Operation
process technology scales down, the device oxide thickness The row and column address decoded and select the
and the device operating voltage continuously following a target cell. After the word-line go to height voltage, the
down path. It is found by many researchers and commented target cell connected to bit-line and bit-line-bar. The so-
very smartly that the gate oxide thickness in recent and called cell current through a driver of target cell will
future IC process technology has approached its limit discharge the voltage of either bit-line or bit-line-bar
especially when direct tunneling cause the gate leakage in

978-0-7695-4058-0/10 $26.00 © 2010 IEEE 292


DOI 10.1109/ACE.2010.42
progressively and this resulted in a difference voltage technique and with a leakage reduction technique, the DRG
between the bit-line and bit-line-bar. [10] technique, in this paper.
The sense amplifier is turned on to amplify the small A. Data Retention Gated Ground Scheme
difference voltage at bit-line pair into full-swing logic
signals. At the end of read operation all bit-line precharged In the DRG Scheme, the unused portion of the memory
to the VDD. core is put to the low leakage mode. It uses an extra NMOS
transistor in leakage path to turned off if unused and turned
III. LEAKAGE COMPONENTS on if in use in the unused or used portion of the memory
core.
The leakage current of a deep submicron CMOS
transistor consists of three major components: junction A. Transistor stacking effect
tunneling current, sub threshold current, and gate tunneling
current,as shown in fig.1 This takes place when more than one transistor in series
A. Junction Tunneling Leakage is turned off. Thus the transistor stacking places the unused
parts of memory in a low leakage current mode. An
The reversed biased p-n junction leakage has two main additional NMOS is introduced inside the leakage path. That
components: one is minority carriers’ diffusion near the transistor is kept on for used portion. So, there is no effect in
edge of the depletion region and the other is due to electron- normal operation of memory. But for the unused portion, the
hole pair generation in the depletion region of the reverse extra NMOS is kept off. It does not affect the normal
biased junction [12]. The junction tunneling current is an operation of memory but reduces the amount of leakage
exponential function of junction doping and reverse bias current to a great extent due to transistor stacking effect
voltage across the junction. [7,9].
B. Subthreshold Leakage B. Drowsy Scheme
Subthreshold leakage is the drain-source current of a In the SRAM operation there is mainly two stages. One
transistor when the gate-source voltage is less than the is when read or write operation is done. It can be assumed as
threshold voltage. More precisely, sub threshold leakage active mode when actual data transaction takes place. On the
happens when the transistor is operating in the weak other hand when there is no data transaction only
inversion region. The subthreshold current depends information preserve is done by the latching phenomena of
exponentially on threshold voltage, which results in large memory. It is found that there is very low supply voltage
subthreshold current in short channel devices. needed for preservation of data. Drowsy cache method puts
C. Gate Tunneling Leakage memory in a low power supply drowsy mode when only
data preservation is needed. It uses multiple supply voltage
Electrons (holes) tunneling from the bulk silicon through sources. It supply high voltage when access of memory
the gate oxide into the gate results in gate tunneling current
contents is needed [6, 8]. Leakage current is decreased as
in an NMOS (PMOS) transistor. Gate tunneling current is
supply voltage decreases. Reduced supply voltage and
composed of three major components: (1) gate to source and
gate to drain overlap current, (2) gate to channel current, reduced leakage current together make a huge reduction in
part of which goes to source and the rest goes to drain, and leakage current [8].
(3) gate to substrate current. In bulk CMOS technology, the Thus, the memory core is put in the low-power drowsy
gate to substrate leakage current is several orders of mode when the information prevention is required and in the
magnitude lower than the overlap tunneling current and gate high-power mode before the access of the contents. The
to channel current. On the other hand, while the overlap leakage current reduces with the voltage scaling. This
tunneling current dominates the gate leakage in the OFF reduced leakage and supply voltage results in a huge
state, gate to channel tunneling dictates the gate current in reduction of the leakage power [12].
the ON condition. Since the gate to source and gate to drain
overlap regions are much smaller than the channel region, V. SIMULATION RESULTS
the gate tunneling current in the OFF state is much smaller
than gate tunneling in the ON state . A. Conventional 6-T SRAM Cell
Here, Fig. 5(a) shows the 6T conventional SRAM and
IV. LEAKAGE REDUCTION SCHEMES FOR SRAM CELL 5(b) shows the simulation results when no technique is
Reduction in dimension, increase of doping, reduction being applied. It is found that before the application of the
of VT etc causes the increase of leakage current in every pulse, there was a current of 8.2µA. When a pulse is being
generation. Today the amount of leakage current has gone to applied, there was a drastic change in current of 1.8nA is
such a limit that it is affecting power consumption and seen. The peak of is is about 45µA.
normal transistor behavior to a great extent. So, leakage
reduction technique is the demand of modern age. Here we
have discussed theoretically the two good techniques for
leakage reductions and the simulation model is presented for
a 6T conventional SRAM with no-leakage reduction

293
Fig. 6(b) Leakage Current in 90nm Technology for DGR Scheme

CONCLUSION
Fig. 5(a) A Conventional SRAM in 90 nm Technology
In this paper the low leakage technique is successfully
implemented in Cadence platform at 90nm technology. The
read, write and preservation of data are happening correctly.
Power consumption wave forms are also observed. Power
consumption takes place when there is no initialization of
SRAM state. The same simulation is done for the DGR-
technique, before and after the application of pulse, after
multiple iterations it is found that there is drastic change in
current is seen.
ACKNOWLEDGMENT
The authors are very grateful to the respective organizations
for their help and encouragement.

REFERENCES

Fig. 5(b) Leakage Current in 90nm Technology for 6T Conventional [1] Arash Azizi-Mazreah, Mohammad T. Manzuri Shalmani, Hamid
SRAM Barati, and Ali Barati “Delay and Energy Consumption Analysis of
B. Data Retention Gated Ground Scheme Conventional SRAM” Proc. Of World Academy of Science, Engineering
and Technology, Vol. 27 Feb. 2008
Here, Fig.6 (a) shows the 6T conventional SRAM with [2] S. P. Cheng, S. Y. Huang "A Low-Power SRAM Design Using Quiet-
Bitline Architecture” Proc. of IEEE Int’l Workshop on Memory
DGR Technique applied to it and 6(b) shows the simulation Technology Design and Testing, 2005.
results when no technique is being applied. In it is found [3] Charan Thondapu, Praveen Elakkumanan, and Ramalingam Sridhar,
that before the application of the pulse, there was a current "RG-SRAM: A LOW GATE LEAKAGE MEMORY DESIGN", IEEE
of 113pA. When a pulse is being applied, there was a drastic Computer Society Annual Symposium on VLSI, 2005.
[4]A. Agarwal, H. Li, and K. Roy, “DRG-Cache:Adata retention gated
change in current of 100pA is seen. ground cache for low power,” in Proc. Design Automation Conf., 2002, pp.
473–478.
[5] K. Flautner, N. S. Kim, S. Martin, D. Blaauw, and T. Mudge, “Drowsy
caches: Simple techniques for reducing leakage power,” in Proc. 29th
Annual Int. Symp. Computer Architecture, 2002, pp. 148–157.
[6] Kaushik Roy, Saibal Mukhopadhyay, and Hamid Mahmoodi-Meimand
“Leakage Current Mechanisms and Leakage Reduction Techniques in
Deep-Submicrometer CMOS Circuits” proceedings of the IEEE, vol. 91,
no. 2, February 2003.
[7] V. De, Y. Ye, A. Keshavarzi, S. Narendra, J. Kao, D. Somasekhar, R.
Nair, and S. Borkar, “Techniques for leakage power reduction,” in Design
of High-Performance Microprocessor Circuits, A. Chandrakasan, W.
Bowhill, and F. Fox, Eds. Piscataway, NJ: IEEE, 2001, ch. 3, pp. 48–52.
[8] R. Pierret, Semiconductor Device Fundamentals. Reading, MA:
Addison-Wesley, 1996, ch. 6, pp. 235–300.
[9] Y. Taur and T. H. Ning, “Fundamentals of Modern VLSI Devices”.
New York: Cambridge Univ. Press, 1998, ch. 2, pp. 94–99.
[10]International Technology Roadmap for Semiconductors, 2003,
www.itrs.org/index.html.
[11] University of California, Berkeley, Devices Group, http://www-
Fig.6(a) A SRAM with DGR Scheme devices.eecs.berkeley.edu/~ptm/.
[12] A. Agarwal, H. Li, and K. Roy, “DRG-Cache:Adata retention
gatedground cache for low power,” in Proc. Design Automation Conf.,
2002, pp. 473–478.

294

You might also like