You are on page 1of 6

GUÍA DE LABORATORIO N°3

SISTEMAS DE MEDICIÓN DIGITALES – CONVERSION A/D - D/A


Rolando Duitama Vergara
Jose David Pulido
Facultad de Ingeniería
Programa de Ingeniería Electrónica extensión Tunja
Universidad Pedagógica y Tecnológica de Colombia
Tunja, Boyacá - Colombia

tratamiento lógico-matemático, transmisión-


recepción, visualización, simulación y detección de
1. RESUMEN.
error superiores a las que se obtienen con sus
En esta práctica de laboratorio estudiaremos los contrapartes análogas, por ello son utilizadas en la
sistemas de conversión ADC y DAC, y la construcción de instrumentos de medida y máquinas
funcionalidad de estos dispositivos de microprocesadas.
conversión en instrumentos de medida tal como
lo son voltímetros y medidores de temperatura.
3. OBJETIVOS
2. INTRODUCCIÓN
 Determinar los usos prácticos de los
Si bien es cierto, las señales que se tienen en el sistemas ADC y DAC en procesos de
mundo real poseen características análogas, en instrumentación y control de procesos
ocasiones se requiere un tratamiento especial de la
señal de información hacía parámetros como
corrientes o voltajes codificados o bajo un formato  Diseñar sistemas de conversión funcionales
específico, caso que ocurre cuando se usan con elementos discretos definiendo así
sistemas de adquisición y procesamiento digitales; fortalezas y falencias en implementaciones
dichos sistemas poseen un tipo de escritura basada más complejas.
en representaciones binarias que como lenguaje
poseen información codificada en forma de bits, esta  Acondicionar señales en función a las
forma de estructura facilita los procesos de trabajo necesidades de tratamiento de señales
lógico-matemático en unidades de proceso llamados digitales.
microprocesadores que en conjunto con dispositivos
periféricos permiten generar procesos eficientes de  Determinar factores como resolución, rango
manipulación de los datos. Es por ello que se busca dinámico, requerimientos de energía y
llevar las señales del mundo análogo al digital errores en sistemas ADC y DAC.
mediante la transformación de variables medibles a
códigos definidos bajo un estándar (por ejemplo la  Investigar sobre nuevas tendencias
lógica TTL); dicha transformación se realiza
tecnológicas para la fabricación de sistemas
mediante Conversores Analógico a Digital o ADC’s
de conversión.
que mediante diversas técnicas obtienen la señal
analógica, la muestrean y la organizan en un código
binario de longitud N y resolución 2N.  Observar la funcionalidad de los dispositivos
de conversión en instrumentos de medida.
El proceso contrario lo realizan los Conversores
Digital a Analógico (DAC’s) que recogen energía 4. MATERIALES, ELEMENTOS Y
procedente de cada bit en un código binario y EQUIPOS
mediante suma de alguna de sus componentes,
especialmente corriente, genera un escalón de
 Circuito integrado ICL7107
salida proporcional a cada cambio de estado en el
código. Dicho código digital tiene propiedades que le  Sensor de temperatura LM35
permiten manipulaciones directas con software y  Circuitos amplificadores operacionales.
hardware definido para registro, procesamiento,
 Resistencias y condensadores de diversos
valores.
 Diodos led
 Display 7 segmentos ánodo común.

5. EJERCICIOS TEÓRICOS G. Investigue en consiste cada uno de


estos dispositivos:
1. Defina los siguientes conceptos:
A) De aproximaciones sucesivas: El margen
A. Teorema de muestreo: que hay entre el nivel de referencia y el
ruido de fondo de un determinado sistema,
El teorema de muestreo consiste en la construcción medido en decibelios.
de una señal periódica continua a partir de la toma
de sus datos B) De seguimiento (tracking):El contador está
continuamente sincronizado, y la línea de
B. Ruido de cuantización.
control hacia arriba / abajo es impulsada por
Es la perturbación que sufre una señal cuando se la salida del comparador. Por lo tanto,
trasmite. cuando la señal de entrada analógica
excede la salida DAC, el contador entra en
C. Operación de retención. el modo "cuenta ascendente". Cuando la
salida DAC excede la entrada analógica, el
su función es llenar los espacios entre los periodos contador cambia al modo "cuenta regresiva".
de muestreo para poder construir la señal análoga .
original de entrada.
C) De integración doble rampa: En este tipo
de convertidor hay dos fases: la primera,
D. Resolución del conversor
que consiste en determinar la rampa para Vi
Es la precisión con la que se produce la señal en la entrada, en un tiempo fijo; la segunda,
original, se puede saber cuando conozcamos el el tiempo que tarda, con pendiente fija y
valor máximo de la entrada a convertir y la cantidad tensión de referencia conocida, VREF, en
máxima de salida en números binarios.
𝑅𝑒𝑠𝑜𝑙𝑢𝑐𝑖ó𝑛 = +𝑉𝑟𝑒𝑓/2𝑛 D) Tipo flash: Consisten en una serie de
Donde n es el número de bits 1 comparadores que comparan la señal de
entrada con una referencia para cada nivel.
E. Rango dinámico.
El resultado de las comparaciones ingresa a
El rango dinámico es el margen que existe entre un un circuito lógico que “cuenta” los
nivel máximo o de saturación y el ruido de fondo 2 comparadores activados.

F. Error de cuantización. E) Sigma delta: La operación básica de un


convertidor Sigma-Delta es intercambiar
Es la diferencia entre la señal de entrada y salida. tasa de muestreo por resolución. La forma
más simple de entender la operación es
suponer una pequeña variación de una
1
condición, en estado estable y ver que pasa.
https://playground.arduino.cc/ArduinoNotebookTraducci
on/Appendix6
2

https://ondaacusticablog.wordpress.com/2016/04/17/ra
ngo-dinamico-de-los-dispositivos-electronicos-mackie-8/
6. EJERCICIOS PRÁCTICOS
b3 b2 b1 b0 VOLTAJE
1. Utilizando el siguiente esquema que hace 0 0 0 0 0
referencia a un conversor D-A de sumas 0 0 0 1 -0.529
ponderadas, mida los valores de voltaje para
0 0 1 0 -1
cada combinación de entrada en los cuatro
primeros bits de entrada b0 a b3 (mostrados
0 0 1 1 -1.986
en el circuito); construya la tabla de valores 0 1 0 0 -2.501
respectiva y grafique cada estado de forma 0 1 0 1 -2.996
que se obtenga la rampa de conversión. 0 1 1 0 -3.498
0 1 1 1 -4.012
1 0 0 0 -4.56
1 0 0 1 -5.2
1 0 1 0 -5.76
1 0 1 1 -6.13
1 1 0 0 -6.75
1 1 0 1 -7.01
1 1 1 0 -7.26
1 1 1 1 -7.56
Tabla.1 valores obtenidos del conversor.

Imagen.1 (conversor digital-analogo de 4 bits sumas


ponderadas)

Grafica.1 (relación entrada salida del conversor)


Como se puede visualizar en la gráfica anterior se
tienen los valores de entrada en relación con los
valores de salida de la tabla.1, en la cual se
relaciona los voltajes de entrada (binario) con su
respectivo numero decimal, para dar lugar así, a una
señal de salida concisa en forma alterna, como se
puede ver para cada valor de entrada, se presentara
Imagen.2 montaje del conversor digital análogo un pico de subida inmediato a la señal de salida y se
mantendrá constante hasta la siguiente variación en
la señal de entrada, dando lugar así, a la forma de
Luego de realizar el montaje de la imagen 2 con su escalera que se puede visualizar en la gráfica
respectivo switch, dando lugar así a una señal anterior.
especifica correspondiente a la tabla de valores,
teniendo en cuenta que cada valor de voltaje
obtenido será correspondiente a un “1” lógico, con lo
cual básicamente, al conectar el switch con la fuente 2. Utilizando el siguiente esquema que
y la resistencia, se obtendrá la señal, y al dejarlo corresponde a un conversor D-A tipo R-2R,
como circuito abierto se obtendrá el “0” lógico obtenga la señal de salida para 8 bits;
visualizado en la tabla mencionada. grafique la señal.
de subida inmediato a la señal de salida y se
mantendrá constante hasta la siguiente variación en
la señal de entrada, dando lugar así, a la forma de
escalera que se puede visualizar en la gráfica
anterior.

Imagen.3 conversor Digital-Analogo por R-2R

ENTRADA SALIDA DIGITAL


ANALOGA
B3 B2 B1 B0
-0.625 0 0 0 0
-1.25 0 0 0 1
-1.875 0 0 1 0
-2.5 0 0 1 1
-3.125 0 1 0 0
-3.75 0 1 0 1
-4.375 0 1 1 0
-5 0 1 1 1
-5.625 1 0 0 0
-6.25 1 0 0 1
-6.875 1 0 1 0 Imagen.4 conversor Digital-Analogo por R-2R
-7.5 1 0 1 1
3. IMPLEMENTE EL SIGUIENTE CIRCUITO
-8.125 1 1 0 0 CON EL CONVERSOR ADC (ICL 7107)
-8.75 1 1 0 1 DETERMINE LOS VOLTAJES DE MEDIDA
-9.375 1 1 1 0 EN FUNCION A LA RESISTENCIA
1 1 1 1 1 MULTIPLICADORA R5.
Tabla.2 Conversor Digital-Analogo por R-2R

Grafica.2 relación entrada salida conversor Digital- Imagen.5 circuito con el conversor ADC (ICL7107)
Analogo de R-2R
El voltaje de entrada se compara con un voltaje de
referencia interno y el resultado se convierte en un
Como se puede visualizar en la gráfica anterior se
formato digital
tienen los valores de entrada en relación con los
valores de salida de la tabla.2 en la cual se relaciona
los voltajes de entrada (binario) con su respectivo
numero decimal, para dar lugar así, a una señal de
salida concisa en forma alterna, como se puede ver
para cada valor de entrada, se presentara un pico
Imagen.6 circuito con el conversor ADC (ICL7107)
funcionando.

Algunos de los componentes de este circuito


funcionan de la siguiente manera: La resistencia R2 Imagen 7 voltaje medido con el ICL vs con un
y C1 se utilizan para establecer la frecuencia del multímetro.
reloj interno de IC. El condensador C2 neutraliza las
fluctuaciones en el voltaje de referencia interno y 4. Realice el diseño de un medidor de
aumenta la estabilidad de la pantalla. R4 controla el temperatura utilizando el circuito anterior;
rango del voltímetro. La mayoría de las tres para ello, adapte un sensor LM35. (Siga
pantallas están conectadas para que puedan como ejemplo el circuito planteado a
mostrar todos los dígitos. continuación.

La siguiente tabla muestra la toma de muestras de


voltaje con una fuente y las comparamos con
multímetro obteniendo los siguientes resultados.

Voltaje con el ICL Voltaje con el


multimetro
-10 -10
-5,23 -5
-4 -4,23
-1.02 -0,9
0 0
1,07 1,1
4,1 3,9 Imagen 8. Fuente
7,12 7 http://blog.circuits4you.com/2015/06/digital-
9,14 9 temperature-indicator.html)
10,1 10,3
Este diseño es un indicador de temperatura digital
Tabla No3 comparación de mediciones de voltaje con con pantalla LED de 7 segmentos utilizando el
el ICL7107. ICL7107. Mide la temperatura desde 00.0 C hasta
99.9 C.

Para diseñar el medidor de temperatura primero


diseñamos un voltímetro de 99,9 mv, tenemos un
voltaje de referencia de 100 mV se genera usando
un Zener de 1,2 voltios y una resistencia variable
forma un divisor de voltaje, La resistencia de
integración, debe ser lo suficientemente grande
como para permanecer en esta región muy lineal en
el rango de voltaje de entrada
Para el circuito utilizado es importante la
configuración del ICL 7107ya que los valores
capacitivos y resistivos tienen que ser los que hay
en la imagen 8 o el ICL no se estabiliza, y no
visualizara lo que queremos.

Imagen 9 montaje del termómetro digital.

7. CONCLUSIONES

1. Los usos del conversor ADC (ICL7107)


van desde la implementación de
sistemas que tomen lecturas de voltaje,
potencia, temperatura, frecuencímetros
y varios más.
2. La conversión analógica a digital es muy
importante en la electrónica, porque
consiste en la transcripción de señales
analógicas en señal digital, con el
propósito de facilitar su procesamiento.

Bibliografía.

 Coisidine Douglas M. Manual de


Instrumentación Aplicada..Mc. Graw Hill.
 http://www.ti.com/lit/gpn/lm35
 http://www.editronikx.com/2012/05/voltimetro-
digital-con-icl-7107.html

You might also like