You are on page 1of 33

Public

EUV High-NA scanner to extend EUV single exposure


Jan van Schoot1, Eelco van Setten1, Gerardo Bottiglieri1, Kars Troost1,
Sascha Migura3 , Bernhard Kneer3, Jens Timo Neumann3, Winfried Kaiser3
1 ASML Veldhoven, The Netherlands
2 ASML Wilton, CT, USA
3 Carl Zeiss Oberkochen, Germany

15 June 2016, EUVL Workshop, Berkeley


Public quotes from major customers on EUV adoption
Public
Slide 2
25 February 2016

EUV to shorten time to yield


in the next 5 yrs

Brian Krzanich CEO Intel

EUV will be adopted for


production at N5

Mark Liu, Co-CEO TSMC

Intend do deploy EUV for 7


nm
Sources: Transcript, Intel Credit Suise Media conference, Brian Krzanich, December 2015, Source: Transcript, TSMC Q1 2016 earnings call, Mark Liu, April 2015, Joshua Ho,
Anand tech, “Samsung Foundry Updates: 7 nm EUV, 10 LPP, and 14LPC, April 22 2016
NXE extension roadmap to optimize capital efficiency
Public
Slide 3
25 February 2016
1st Logic DRAM 55 WpH 125 WpH 145 WpH 185 WpH
Shipment
Half pitch Focus DCO/MMO OPO

2013 R&D NXE:3300B 22 nm 110 nm 3.0/5.0 nm 7.0 nm

UVLS

D1H SNEP 3350B

2015
7 NXE:3350B 16 nm 70 nm 1.5/2.5 nm 3.5 nm

20% PFR illuminator


D1M OFP 3350B3400B

2017 5 NXE:3400B 13 nm 60 nm 1.4/<2.0 nm 2.5 nm

D1L
UVLS – Mk2
SMASH – MkX
3.x
Lens thermal
NXE:3450C 13 nm 50 nm 1.2/<1.7 nm 2.0 nm

<D1L

2~2.5
High NA <8 nm <40 nm 1.0/<1.4 nm 1.5 nm

Anamorphic lens
Stages, handlers
Roadmap: Nov 2015
Products under study
#Critical exposures for critical logic
Public
Further reduction of # exposures using EUV 0.55 NA Slide 4
25 February 2016

ArF triple patterning

High-
NA

EUV single patterning

Source: Luc van den Hove, IMEC, ”Technologies for the intuitive internet of everything”, ITPC 2015,
Larger NA reduces Local CDU
Public
Due to larger aerial image contrast Slide 5
25 February 2016

0.33NA

0.55NA

Jo Finders et al, SPIE 2016 Non-CAR resist, Quasar Illumination


Larger NA results in higher effective throughput
Public
NA limits dose and # of LE steps Slide 6
25 February 2016

200
180
Effective TPT [wf/hr]*

160
0.55NA @ 500W
140
120 0.33NA @ 350W
100 Quasar Illumination
80 LCDU = 2.0nm 0.33

60 LCDU = 2.0nm 0.55


LE^2
40
LE^3
20
0
10 12 14 16 18 20 22 24
(Final) Half Pitch [nm]

* Effective throughput = throughput / # LE steps


Overview main System Changes High-NA tool Public
Slide 7
29 September 2015
Mask Stage Illuminator
• 4x current acceleration • Improved
New Frames • Same for REMA transmission
• Larger to
support Lens

Source
• Increased power

Wafer Stage Lens


• 2x current acceleration • NA 0.55, high transmission
Improved leveling • Improved Thermal Control
Overview main System Changes High-NA tool Public
Slide 8
29 September 2015
Mask Stage Illuminator
• 4x current acceleration • Improved
New Frames • Same for REMA transmission
• Larger to
support Lens

Source
• Increased power

Wafer Stage Lens


• 2x current acceleration • NA 0.55, high transmission
Improved leveling • Improved Thermal Control
EUV: it’s all about the angle
Public
High-NA comes with large angles Slide 9
25 February 2016

MoSi Multilayer ML reflection NA=0.5

30deg!!
EUV Optical Train
Public
Slide 10
25 February 2016
Reticle (mask)
field facet mirror

projection
intermediate focus optics
illuminator
Two key-area’s
where High-NA
imposes large
angles
plasma
source

pupil facet mirror

collector wafer
W. Kaiser, J. van Schoot, Sematech Workshop on High-NA, 9 July 2013
Simple model of the optical column
Public
Bending out the light cones at the mask reduces contrast strongly Slide 11
25 February 2016

4x10nm = 40nm
𝑁𝐴𝑤𝑎𝑓𝑒𝑟
𝑁𝐴𝑚𝑎𝑠𝑘 = effective line effective line

𝑀𝑎𝑔 width width

mask

~120nm
illumination optics w w

j
NAmask projection
Small angle optics
Large angle
Mag=4

We have to limit the


NAwafer angles on the mask!

increase magnification

source wafer
Image contrast increases with a larger magnification
Public
But only needed in one orientation Slide 12
25 February 2016

NXE:3300 Horizontal Lines Vertical Lines


3 3

2 requirement
2
NILS*

NILS*
1 13 nm L/S, 0.33 NA (k1=0.318) 1 13 nm L/S, 0.33 NA (k1=0.318)
8 nm L/S, 0.55 NA (k1=0.326) 8 nm L/S, 0.55 NA (k1=0.326)
0 0
4 5 6 7 8 4 5 6 7 8
Magnification Magnification
Anamorphic
High-NA tool
magnification needed
for High-NA

*NILS = Normalized Image Log Slope, J. Van Schoot, et al, “EUV lithography scanner for
measure for image contrast sub-8nm resolution,” Proc. SPIE 9422, (2015).
High-NA >0.5NA 4x/8x anamorphic magnification
Public
Chief Ray Angle at Mask can be maintained Slide 13
25 February 2016

• Anamorphic optics  half field:


reticle
8x Magnification in scan
scan 4x Magnification in other direction
• Chief ray angle ok  Imaging ok
Pupil-in Pupil-out

scan
pupil

scan The pattern at the


mask needs to change
wafer

scan
High-NA Anamorphic Lens prints a half field
By utilizing the current 6” mask Public
Slide 14
25 February 2016

4x Conventional lens New


Full Field (FF) Half Field (HF)
Mask
104 mm 104 mm

Mask
field

132 mm

132 mm
size

Lens 4x 4x/8x
26 mm 26 mm

16.5 mm
Wafer QF
33 mm

Wafer FF field
size HF

Note: rectangular slit shown for illustration purposes


Anamorphic optics are used in cinematography
“Don’t change the mask” Public
Slide 15
25 February 2016

16x9 16x9

“The Mask”
(24x36mm2)

Anamorphic
Camera
Anamorphic
Projector
High-NA optics design concepts available
Public
Larger elements with tighter specifications, no showstoppers Slide 16
25 February 2016

Extreme aspheres enabling


further improved wavefront /
Reticle imaging performance
level

Tight surface specifications enabling


low straylight / high contrast imaging

Big last mirror driven by


High NA

Wafer
level NA 0.25 NA 0.33 NA >0.5 Design examples
B. Kneer, et al, “EUV lithography optics for sub-9nm resolution,” Proc. SPIE 9422, (2015).
Imaging verification of the new Half Field concept
Public
Logic N5 clip Metal-1, 11nm lines, SMO is done at 8x Slide 17
25 February 2016

Aerial Image Intensity in Hyperlith

FF QFHF

Note: pictures at same scale,


smaller mask reflection is
also visible
High-NA optics has ~2x transmission
Public
Smaller angles enable transmission gain vs non-obscured NA 0.33 Slide 18
25 February 2016

unobscured

obscured
Angles and
Substrate
angular spread
decrease

And even better:


Standard EUV coatings cannot The smaller angular
range increases the
handle these large angles
transmission
Proven imaging performance with High-NA optics
Public
Spaces through pitch with small annular illumination Slide 19
25 February 2016

wafer

HighNA
• Start pitch: 24nm for high-NA, 40nm for NA 0.33  k1 = 0.49 in both cases. obscured pupil
• Anamorphic high NA w/ central obscuration: comparable exposure latitude, @ smaller pitches.
• Lower Best Focus variation for high NA.
Overview main System Changes High-NA tool Public
Slide 20
29 September 2015
Mask Stage Illuminator
• 4x current acceleration • Improved
New Frames • Same for REMA transmission
• Larger to
support Lens

Source
• Increased power

Wafer Stage Lens


• 2x current acceleration • NA 0.55, high transmission
Improved leveling • Improved Thermal Control
Principle NXE:3300/3400 illuminator can be reused
Public
For anamorphic lithography pupil facet mirror becomes asymmetric Slide 21
25 February 2016

Field Facet Mirror

Intermediate
Focus
Pupil Facet Mirror
Overview main System Changes High-NA tool Public
Slide 22
29 September 2015
Mask Stage Illuminator
• 4x current acceleration • Improved
New Frames • Same for REMA transmission
• Larger to
support Lens

Source
• Increased power

Wafer Stage Lens


• 2x current acceleration • NA 0.55, high transmission
Improved leveling • Improved Thermal Control
High-NA anamorphic Half Field concept
Public
Faster stages enable high productivity Slide 23
25 February 2016

Half Field yields 2x more fields


• 2x wafer stage acceleration maintains
overhead while going to twice number
of scans

Y-magnification 4x  8x
Full Fields Half Fields
• 2x wafer acceleration results in 4x
mask acceleration

Acceleration of wafer stage ~2x


Acceleration of mask stage ~4x
High-NA Mask Stage solution for increased acceleration
Public
Improved motor technology & different architecture Slide 24
25 February 2016

∞!
Improved RS motor Power ~ 𝐼 2 ∙ 𝑅
Current RS Power: 9 x ref
No solution
= 𝑘 ∙ 𝑎𝑐𝑐 ∙ 𝑚𝑎𝑠𝑠 2 ∙ 𝑅𝑚𝑜𝑡𝑜𝑟
200 10 x
185

870%

170 Limiting increasing power by:


ThroughPut [wph]

Relative RMS RS Power


• Improved motor technology (k, R)

125
140 390%
5x Reduce mass
4x

110 Further Optimizing power


ref consumption:
80 0 • New stage architecture with
0 1x 2x 3x 4x lower mass
Relative RS acceleration in Y-direction
Current RS in High-NA
Power: ref Courtesy Chris Hoogendam, ASML
High-NA Field and Mask Size productivity
Public
500W enables throughput of >150wph with anamorphic HF Slide 25
25 February 2016

Throughput for various source powers and doses


200 WS, RS current performance
High NA anamorphic
180 WS 2x, RS 4x FF
NXE:3300
160
Throughput [300mm/hr]

140

120 HF
100

80

60 500W Watt
500 Watt
60mJ/cm2 30mJ/cm2
40
High-NA Half Field scanner
20
needs 500W for
0
0 5 10 15 20 25 30 35
150wph at 60mJ/cm2
Source Power/Dose [W/(mJ/cm2]
Overview main System Changes High-NA tool Public
Slide 26
29 September 2015
Mask Stage Illuminator
• 4x current acceleration • Improved
New Frames • Same for REMA transmission
• Larger to
support Lens

Source
• Increased power

Wafer Stage Lens


• 2x current acceleration • NA 0.55, high transmission
Improved leveling • Improved Thermal Control
High-NA calls for tight focus control
Public
High-NA scanner will be introduced in line with focus scaling Slide 27
25 February 2016

k2 = 1
Rayleigh NXE:3300

λ
𝐷𝑜𝐹 = 𝑘2 focus control budget High-NA
𝑁𝐴2 at introduction
Focus latitude scales according expectation
Public
Spaces through pitch with small annular illumination Slide 28
25 February 2016

NA 0.33, 20nm spaces 1.8


NA 0.55, 12nm spaces
1.8

1.6 1.6

I0 [a.u.]
I0 [a.u.]

1.4 1.4

1.2 1.2

1.0 1.0
-150 -75 0 75 -150 -50 -25 0 25 -50
Focus [nm] Focus [nm]

150nm 50nm
Overlapping process window @ 8%EL  45nm
NA=0.55, Random cuts, 24nm minimum pitch Public
Slide 29
25 February 2016

Cutmask Building Blocks Overlapping PW 14 Exposure Latitude vs DoF


1.15

Exposure Latitude [%]


12
1.10
10

Dose [a.u.]
1.05 8
6
1.00
4

0.95 2
0
-30 -20 -10 0 10 20 30 0 10 20 30 40 50 60
Focus [nm] DoF [nm]

• Combined set of 4 building blocks, 24nm minimum pitch


• Annular illumination used
• Overlapping process windows calculated
High-NA system has smaller M3D effects than 0.33NA
Public
Smaller mask angles of incidence due to anamorphic system Slide 30
25 February 2016

Two-bar trenches are a


canary for M3D effects

(4x)

*L. de Winter, Understanding the Litho-impact of Phase due to 3D Mask-Effects when using off-axis illumination, EMLC 2015
Way forward to 30 nm focus control
Public
Slide 31
25 February 2016

120

100
Focus Control [nm]

80

60

40

20

0
3300 Machine Optics Product wafer
performance improvements improvements flatness
(level sensor,
stages, etc.)
Summary
Public
Slide 32
25 February 2016

• High-NA extends Moore’s Law into the next decade


• Larger contrast of High-NA helps mitigating LCDU

• New anamorphic concept enables good imaging with existing


mask infrastructure resulting in a Half Field image

• New stages technologies and high transmission enable throughput


~185WpH

• We identified measures to meet the tight focus budget


Public
The authors would like to thank the High-NA teams in
- Oberkochen
- Wilton
- Veldhoven

Thank you
for your attention

You might also like