You are on page 1of 11

Contadores

UNIVERSIDAD NACIONAL DEL CALLAO


“Año del dialogo y la reconciliación nacional”

Facultad de Ingeniería Eléctrica y Electrónica

INFORME PREVIO DE SISTEMAS DIGITALES.


“CONTADORES”

TABOADA GAMBINI WILLIAM ABEL 1623225347


DOCENTE: UTRILLA SALAZAR DARIO

Callao – Perú
2018
1
Contadores

CONTADORES
I. INTRODUCCION
En el presente laboratorio, se desarrolla el análisis funcional de los circuitos secuenciales
desarrollados con los biestables (Latch y Flip Flops); que permite obtener secuencias de
estados binarios que al ser codificados nos permiten obtener una sucesión de estados
ascendente, descendente y/o desordenado (escalonado) pero periódico, estableciendo el
modulo del contador, permitiendo además establecer funciones de almacenamiento de
pulsos recibidos por el sistema digital (proceso de conteo) y relacionar con la temporización
de eventos del sistema digital de lógica cableada.

II. OBJETIVOS
 Analizar e implementar diversos circuitos secuenciales asíncronos y síncronos,
relacionados con la generación de estados ascendentes, descendentes y/o
escaladores; implementados con los Flip Flops.
 La visualización del funcionamiento de cada una de los circuitos de contadores, son
implementados utilizando dispositivos display y/o diodos led’s en las salidas.
 Implementar circuitos básicos con IC TTL y CMOS.
 Adquirir destreza en el montaje de cableado de circuitos digitales en el prothoboard
y/o en circuito impreso.
 Que el estudiante aprenda utilizar los principios básicos para el análisis de circuitos
digitales secuenciales mediante simuladores y que tenga la capacidad de realizar la
detección de fallos, corregirlos y comprobar su buen funcionamiento.

III. RESUMEN

El experimento consta de circuitos secuenciales que desarrollan las funciones de


contadores, por lo que se debe atender especial atención de su análisis, funcionamiento,
operación de los circuitos y los resultados obtenidos (respuesta de funcionamiento del
circuito, diagramas de tiempo). Por lo que se recomienda efectuar las consultas previas en
los apuntes de clases, manuales técnicos adecuados en relación a los dispositivos a
emplear y los circuitos digitales a implementar. Por último se implementa el circuito con los
circuitos integrados realizando conjuntamente pruebas individuales de su funcionamiento y
al terminar dicho proceso se procede a hacer las verificaciones y desarrollo de las tablas
de estados y construir los diagramas de tiempo.

2
Contadores

IV. PARA EL INFORME PREVIO.


1.- Definir el concepto de contador digital, analice su funcionamiento y mencione los
tipos característicos de los contadores según la sincronización con la señal de reloj
(Clk) y analizar cada uno de ellos (Los circuitos y sus características, tablas de
estados y diagramas de tiempo).

Los flip flops pueden conectarse entre sí para realizar funciones de recuento. A esta
combinación de flip flops se le denomina contador. El número de flip flops que se utiliza
determinan el número de estados (que recibe el nombre de modulo) y también la
secuencia especifica de estados por los que pasa el contador durante un ciclo completo.
Dependiendo del modo que se aplique la señal de reloj, los contadores se clasifican en
dos amplias categorías: asíncronos y síncronos.

A) CONTADORES ASINCRONOS:
Son contadores que no poseen una relación temporal fija entre ellos, los flip-flop del
contador, no cambian de estado exactamente al mismo tiempo, dado que no comparten
el mismo impulso de reloj. Un ejemplo básico de este tipo de contador tenemos al contador
asíncrono binario, que se ilustra en la siguiente figura.

B) CONTADORES SINCRONOS:
Los eventos que ocurren el tienen una relación fija entre si. Un contador síncrono es aquel
en el que todos los flip-flops del contador reciben en el mismo instante la señal de reloj.
Como ejemplo de ello tenemos al contador binario síncrono de dos bits que se ilustra a
continuación.

3
Contadores

2.- Describir las características específicas de los contadores: Contadores


asíncronos, síncronos y sus aplicaciones.

 Contadores asíncronos:
El término asíncrono se refiere a los sucesos que no poseen una relación temporal fija entre
ellos y que, generalmente, no ocurre al mismo tiempo. Un contador asíncrono es aquel en
el que los flip flops (FF) del contador no cambia de estado exactamente al mismo tiempo,
dado que no comparten el mismo impulso de reloj.
 Contadores síncronos:
El termino síncrono se refiere a los eventos que tienen una relación temporal fija entre sí.
Con respecto al funcionamiento del contador, síncrono significa que todos loa flip flops del
contador reciben en el mismo instante la señal de reloj.
3.- De los manuales técnicos obtener los IC TTL y CMOS que cumplen con las
funciones de contadores, analice brevemente la tabla de verdad, diagramas de
tiempo. Y funcionamiento.

 CONTADORES CON CI TTL

Son circuitos integrados donde vienen incluidos los flip-flops conectados según el tipo de
contador y las puertas. Estos contadores se pueden llamar de propósito general.

4
Contadores

 74LS192

El CI 74192 es un contador reversible BCD síncrono TTL, es decir, módulo-10. Tiene doble
entrada de reloj, una para cuenta ascendente y una para cuenta descendente que
conmutan en la transición del nivel BAJO al nivel ALTO del pulso.

Símbolo del contador 74192

 TTL 7493.

El contador 7493 utilizan 4 flip-flops JK en modo de conmutación, con entradas de reloj ÇP0
y ÇP1 en donde ÇP1 es la entrada de reloj del segundo flip-flop por lo que para formar un
contador de 4 bits mod-16 hay que conectar la salida del primer flip-flop de manera externa
(puente) con la entrada ÇP1, quedando ÇP0 como la entrada de reloj del contador.

Contador 7493

 CONTADOR CMOS

 74HC393

El CI 74HC393 es un doble contador binario de 4 bits. Esta construido a base del flip-flop
T. Las entradas de reloj (1ÇP y 2ÇP) son activadas por flanco posterior, o sea, en la
transicion de ALTO a BAJO del pulso de reloj.

5
Contadores

 CI 74HC193

Tiene 2 entradas de reloj (CPU y CPD), que se activan en la transición del nivel
BAJO al ALTO del pulso de reloj, la entrada CPU es para la cuenta ascendente
(UP) y la entrada CPD es para la cuenta descendente (D), por lo que
dependiendo si el contador que se necesite se conecta al nivel alto o +5V.

4.- Cual es la diferencia entre un contador asíncrono y un contador síncrono; así


como la diferencia entre un contador convencional y un contador escalador;
muestre circuitos prácticos para explicarlos.
La diferencia entre un contador síncrono y asíncronos:

Los contadores síncronos tienen un reloj interno, mientras que los asíncronos no. Como resultado,
todos los flipflops en un contador síncrono son accionados simultáneamente por un simple pulso
de un reloj común. En un contador asíncrono, el primer flip-flop es impulsado por un pulso desde
un reloj externo y cada flip-flop sucesivo es impulsado por la salida del flip-flop anterior en la
secuencia. Esta es la diferencia esencial entre los contadores síncronos y asíncronos.

Diferencia entre un contador convencional y un contador escalador:

6
Contadores

Un contador convencional es un dispositivo electrónico digital que mide la frecuencia de una señal
de entrada, como medida indirecta del resultado de la cuenta directa de eventos. En su diseño
también se incluyen las opciones de medida de periodos, cocientes entre las frecuencias de las
señales aplicadas a sus entradas, medidas de intervalos temporales entre dos eventos y
funcionamiento en modo totalizador.

Un contadores calador es aquel que está configurado para generar una secuencia desordenada de
códigos (en esta secuencia solo se puede utilizar un código en binario a la vez).

5. Diseñar un contador asíncrono utilizando FF´s de tipo JK, de módulo 16, 12, 10, 6.

a) modulo 16:

b) modulo 12:

7
Contadores

c) modulo 10:

d) Modulo 6:

6. Diseñar un contador descendente modulo 13, utilizando FF´s tipo D.

Realizaremos la implementación de este circuito empleando flip flops tipo D. Para ello primero
tenemos que tomar en cuenta algunos aspectos como la tabla de habilitación del FF tipo D y a su
vez elaborar una tabla de estados. Indicaremos también que empleamos 4 FF. En primer lugar
identificamos la tabla de verdad del FF tipo D:

8
Contadores

TABLA DE HABILITACION DEL FF D

Qn Q n+1 D

0 0 0

0 1 1

1 0 0

1 1 1

Una vez realizado este paso, realizaremos el circuito implementándolo acorde a la siguiente tabla
de estados en la cual queda demostrado que se trata de un Contador Síncrono Descendente de
Modulo 13.

TABLA DE ESTADOS DEL CONTADOR DESCENDENTE MODULO 13

EST. Q4n Q3n Q2n Qn D4 D3 D2 D1


12 1 1 0 0 1 0 1 1
11 1 0 1 1 1 0 1 0
10 1 0 1 0 1 0 0 1
9 1 0 0 1 1 0 0 0
8 1 0 0 0 0 1 1 1
7 0 1 1 1 0 1 1 0
6 0 1 1 0 0 1 0 1
5 0 1 0 1 0 1 0 0
4 0 1 0 0 0 0 1 1
3 0 0 1 1 0 0 1 0
2 0 0 1 0 0 0 0 1
1 0 0 0 1 0 0 0 0
0 0 0 0 0 1 1 0 0

Observando esto realizamos para cada salida su respectivo mapa de Karnaugh:

9
Contadores

Luego de este análisis realizamos la respectiva implementación mostrada en la figura:

U6:B
5
U5:A 6
13 U5:B 4
12 2 5
1 6 4 7432
3
7411
7411
U4:B U4:C
U6:D
10

5 10
9

6 8 13
4 U6:C 9 11
12
2

7432
7408 7408
U6:A 7432
7432

U1:A U1:B U2:A U2:B


8
10

10
4

2 5 12 9 2 5 12 9
S

D Q D Q D Q D Q
3

3 11 3 11
CLK CLK CLK CLK
6 8 6 8
3

Q Q Q Q
R

U7:A
1

13

13

7474 7474 7474 7432 7474

U4:A
U3:A
1

2
3 8
1 9 2
1
7408 U4:D
4075 U3:B 12
3 11
4 6 13
5 R4
7408 10k
4075 1 U9:A
2
6
4
5
R1 R2 R3 R5 74HC21
220 220 220 220 C2
1u

D1 D2 D3 D4
LED-YELLOW LED-YELLOW LED-YELLOW LED-YELLOW

10
Contadores

CONCLUSIONES:

 Existen principalmente tres tipos de contadores: asíncronos (la salida de un FF es el


clock del otro), síncronos (los FF’s poseen el mismo clock) y los escaladores (no siguen
un ascendente o descendente).

 Gracias a las experiencias conocemos que un contador asíncrono sólo podemos


utilizarlo para frecuencias bajas de hasta 100 Hz, en caos contrario se suelen emplear
los síncronos. Lo que sucede es que al llegar el flanco de cambio de una salida a la
respectiva entra transcurre un intervalo de tiempo que puede retardar la salida
generando así lo que se conoce como RIZADO.

 Para saber cuál es el módulo de un contador por lo general se suele usar la siguiente
fórmula: 2𝑛 = ⋕ 𝑑𝑒 𝑒𝑠𝑡𝑎𝑑𝑜𝑠. Entendamos por módulo a los estados que se generan.

 Para los contadores asíncronos se suele emplear un circuito de Reseteo de acuerdo al


número de estados que pretendamos obtener. Ahora hay que tener cuidado al
realizar tal circuito combinacional; por ejemplo si pretendemos resetearlo al 5 estado
no debemos hacerlo cuando la salida sea el número 4 puesto que el tiempo de
propagación entre compuertas ( 10 nanosegundos) no permitirá mostrar la salida, lo
que se hace es resetearlo a partir del estado siguiente (numero 5).

 Para diseñar contadores síncronos podemos optar por 2 maneras, empleando la


ecuación característica del FF o su respectiva tabla de habilitación.

 E la vida cotidiana empleamos estos conocimientos muchas veces en lo que se


denomina ingeniería inversa en la que pretendemos construir (para contadores) sus
tablas de estado a partir del esquema que tenemos como en la experiencia 4.

 Se pueden diseñar contadores UP / DOWN a partir de señales de control que sean


adaptadas a los previos contadores Up y Down respectivamente.

OBSERVACIONES:

 Al emplear un Clock (en este caso un Timer 555) es recomendable hallar la frecuencia
adecuada que permita trabajar al usuario de manera adecuada y visualizar sus
experiencias.

 Como mencionamos antes para evitar el rizado en los circuitos asíncronos debemos
optar por emplear un clock con frecuencia baja.

 La implementación de cables en el protoboard debe realizarse con cuidado porque


muchas veces estos son los errores que dificultan la realización del proyecto.

 Se recomienda trabajar con la ayuda de los manuales técnicos adecuados para


conocer la utilización exacta de cada pin del chip.

11

You might also like