Professional Documents
Culture Documents
Teemu Pitkänen
Teemu.pitkanen@tut.fi
TH318
(03) 3115 4778
entity Comparator is
port ( A, B : in std_logic_vector(7 downto 0);
EQ : out std_logic);
end Comparator;
REGS:block
begin
statements
end block REGS;
end behav;
Not synthesizable.
Structural
Dataflow Higher level of abstraction
Behavioral
component AndGate
port(A, B, C, D : in std_logic;
Y : out std_logic);
end component;
...
end Structural;
Transport delay
– models the delay on a wire
– pulses of any width are
propagated
c <= transport a after 5 ns;
entity rsff is
port (s, r: in bit; q, qn: out bit );
end rsff;
architecture beh of rsff is
begin
q <= s nand qn;-- Executed once in t
qn <= r nand q;-- Executed twice in t
end beh;