You are on page 1of 16

Routing Evaluations

Abstract

The use of right configurations are essential for the efficient performance of any routing
tool. In this project a comparative study was done on the results obtained from various
configurations of the tool and different routing scripts were used to obtain best possible
results. The two versions of the tool used were Talus 1.0.80 and Talus 1.0.82.The
experiments were carried out on OMAP3430 and Kaleido databases.

Introduction

Overview of Talus Platform:

The Talus platform consists of the Automated Chip Creation product, which is most
useful for larger, hierarchical designs, and Talus Design and Talus Vortex products,
which are most useful for block and flat designs. To create a chip design we have to
follow certain process. The process in order is shown in the figure on the next page.

Routing Basics

In the Magma design flow, you complete routing at the fix wire stage, after completing
physical optimization (fix cell) and clock routing (fix clock), as shown in the Figure.

Routing Within the Magma Design Flow


The fix wire Stage

In the fix wire stage, the Magma design tools complete the physical implementation of a
design. Up to this point, the tools have done some routing of both power and clock nets,
but they do the majority of the routing of a design at this time. The fix wire script routes
all signal nets, and finishes clock net routing and any power routing not previously
completed.

Basic Routing Flow


The Magma design software performs four types of routing during the fix wire stage:
1. Stub routing works on very short nets and routes them on the metal1 layer only.
2. Global routing focuses on congestion and timing, creates net segments, and defines the
initial bucket-level routing topologies.
3. Track routing also works on the bucket level. It orders and spaces the net segments and
assigns them to legal track positions.
4. Detailed routing converts the segments to actual wires and vias that connect all pins of
all nets.

Stub Routing
Stub routing is the first step in signal routing. During stub routing, very short nets are
identified and routed on the metal1 layer only. The purpose of stub routing is to take
advantage of the otherwise unused metal1 resources and to avoid inaccuracy during the
global routing of short nets.

Global Routing

Global routing focuses on resolving congestion and timing issues. Global routing creates
net segments and defines the initial bucket-level routing topologies. The topologies
include a layer assignment for each segment of each net. Each net segment is a total
contiguous length of interconnect on a given layer.

Sample Bucket-Level (Global-Routing) Topology


Track Routing

Track routing is a fast process, compared to detailed routing, and quickly produces initial
routing that will later be used during detailed routing. Track routing does not generate
vias or create connections
To exact pin locations and does not address all layout rules.
Like global routing, track routing works on the bucket level . It orders
and spaces the net segments and assigns them to legal track positions. Proper ordering
and spacing greatly reduces crosstalk coupling and noise. Track routing does not adjust
the topologies set during global routing; therefore, the congestion predicted by global
routing is not altered.

Track Routing

Track routing complements detailed routing in terms of the types of regions routed. By
routing long channels across entire single-bucket rows or columns, track routing
processes much larger areas at a time than detailed routing. A more global view of the
layout allows the track router to generate long, straight routes for long nets and produces
accurate jogging around large routing obstacles, such as
power mesh elements.Track routing results are the starting point for final routing by the
detailed router.

Detailed Routing

The Magma design system’s detailed router is a powerful area router that works on
arbitrary regions (of reasonable sizes). Detailed routing respects any nondefault routing
rules you define, allowing you to control routing results. In addition, detailed routing has
engineering change order (ECO) and incremental capability, which supports quick
repairs. The detailed router observes DRC and LVS rules, such as island and multiport
rules.
• Analyzes the net-segment topologies
• Converts the segments to actual wires and vias that connect all pins
of all nets.
• Begins the process of correcting technology rule violations

Experiments

Aim: To find the change in various parameters between normal fix wire and when
crosstalk delay option is enabled in the fix wire.

Parameters to be tabulated were

• Change in length per metal layer


• Change in wire length per net
• Change in positions of cell
• Change in crosstalk delay

Before enabling crosstalk option

Layer | -- Segment Statistics -- | -- Wire Statistics –


MET1: 0.072 ( 0.2%) in 13426 | 0.096 ( 0.3%) in 53892
MET2: 1.900 ( 5.6%) in 2814186 | 2.328 ( 6.8%) in 3941510
MET3: 8.028 (23.8%) in 2088074 | 8.116 (23.8%) in 2081043
MET4: 7.303 (21.6%) in 1011744 | 7.205 (21.1%) in 996710
MET5: 8.540 (25.3%) in 546706 | 8.500 (24.9%) in 517299
MET6: 7.917 (23.4%) in 309507 | 7.838 (23.0%) in 251557
Total : 33.75984 meter in 6783643 | 34.08222 meter in 7842011 wires

After enabling crosstalk option


Wire statistics
Layer | -- Segment Statistics -- | -- Wire Statistics --
MET1: 0.073 ( 0.2%) in 13863 | 0.099 ( 0.3%) in 63803
MET2: 1.907 ( 5.6%) in 2817312 | 2.275 ( 6.7%) in 3886459
MET3: 8.028 (23.8%) in 2091905 | 8.116 (23.9%) in 2149714
MET4: 8.116 (24.0%) in 1092874 | 7.201 (21.2%) in 1020289
MET5: 8.574 (25.4%) in 637585 | 8.500 (25.0%) in 525925
MET6: 7.081 (21.0%) in 332290 | 7.830 (23.0%) in 252841
Total : 33.77908 meter in 6985829 | 34.02125 meter in 7899031 wires

Change in wire length per net

Number of nets vs change in length

600000
481223 nets changed their length in the range of 0 to 10
392557 nets did not undergo any change in wire length
500000 All figures are in microns

100-250
400000 10 to 50
50 to 100
300000 250 -500
500 - 750
200000 750 -1000
0 -10

100000

0
Number of cells versus displacement in microns
(remaining cells displacement is 0)

12000

10000 0-5
5 to 15
8000 15-30
30-50
6000 50-75
75-150
4000 150-250
250-400
2000 >400

Number of pins vs Crosstalk delay(ps)


(remaining pins crosstalk delay is 0)
Experiment: To find the change in the number of vias if overhang rotation is allowed

Change in the number of vias

• Via1 : 95067 3.43%


• Via2 : 405523 17.54%
• Via3 : 21377 1.94%
• Via4 : 5249 1.37%
• Via5 : 1268 0.38%
• Via6 :0 0%
• Viatop : 0 0%
• Find 528484 array vias and custom vias 7.67%

18
16
14 via1

12 via2
via3
10
via4
8
via5
6
via6
4 via7
2
0

Via vs percentage change in number of vias

Aim: To find the change in the number of vias after changing the overhang dimensions
according to the fab requirements.

Fab requirements :

Via12 : Met1 0 20 0 20 ; Met2 20 0 20 0


Via23 : Met2 0 20 0 20 ; Met3 20 0 20 0
Via34 : Met3 0 20 0 20 ; Met4 20 0 20 0
Via45 : Met4 0 20 0 20 ; Met5 20 0 20 0
Via56 : Met5 0 55 0 100 ; Met6 55 0 100 0
Via67 : Met6 0 130 0 130 ; Met7 130 0 130 0

Change in the number of vias


• Via1 : 266 0.095%
• Via2 : 4127 0.178%
• Via3 : 2122 0.193%
• Via4 : 1245 0.325%
• Via5 : 598 0.182%
• Via6 :0 0.0%
• Viatop : 0 0.0%
• Find 8358 array vias and custom vias 0.56%

Via vs percentage change in number of vias


0.35

0.3
via1
0.25 via2
0.2 via3
via4
0.15
via5
0.1 via6

0.05 via7

Drc volations change after overhang was changed

• SPCE 34747 6
• SHRT 64216 0
• ISPC 1626 0
• offg 306509 1752
• ispc 164926 8980
• spce 387876 32913
• nspc 8478 0
• shrt 458021 -7725
• ntch 33370 1357
• Open 64 6
• ilnd 494055 152070
• dgnl 939 18
• Hole 530 -10
• mprt 543 -1
• pwro 79683 0
• viav 9338 0
• shed 49647 -275

Aim: To obtain changes in various routing parameters with different versions of TALUS
and different fix wire scripts.
COMPARISON OF VARIOUS PARAMETERS DURING ROUTING IN
DIFFERENT VERSIONS OF TALUS (OMAP3430)

PARAMETERS FIXWIRE FIXWIRE PYRAMID PYRAMID


1.0.80 1.0.82 1.0.80 1.0.82

No. of CPUs 1 1 1 1

Hybrid Setting auto auto auto auto

Bucket count 21392910 21392910 21392910 21392910

Overflow>100% 0% 0% 0% 0%
G
L Overflow>85% 83% 83.1% 83.3% 83.3%
O
B Std. Cell Region 0% 0% 0% 0%
A Overflow>100%
L
Std. Cell Region 39.1% 39.2% 40.5% 40.5%
R Overflow>85%
O
U Set Up WNS/TNS/FEP -7631 -7649 -7629 -7629
T -3481331 -3510595 -3563669 -3563669
I 1070 1073 1079 1079
N
G Hold WNS/TNS/FEP -4691 -4848 -4762 -4762
-318391 -323497 -314234 -314234
808 841 730 730

GR Run Time 53minutes 68 minutes 70 minutes 76minutes

Short 3963 3846 4312 4486


T (54003u) (53015u) (49976u) (53072u)
R
A Spacing 219 223 339 353
C (3362u) (3041u) (4185u) (4342u)
K
Set Up WNS/TNS/FEP -7745 -7646 -7777 -7777
-3578669 -3499682 -3641624 -3641624
1118 1104 1232 1232

Hold WNS/TNS/FEP -4655 -4678 -4548 4548


-322582 -320203 -320579 -320579
1171 1173 1080 1080

TR Run Time 52 minutes 63 minutes 60 minutes 60 minutes

DRC count
Spce 219 223 339 353
shrt 3963 3846 4312 4486

DRC SinglePass 1667318 1656044 1070581 1071845


Spce 1250051 1225248 674800 679211
D Shrt
E
T RRF Run Time 187 241 188 minutes C 210
A minutes minutes W 220
I
L RRR Run Time 145 125 107 C 82
E minutes minutes minutes W 84
D
Run Route Antenna 21 minutes 12 minutes 14 minutes 16 minutes
Run Time

Jog Reduction run time 12 minutes 14 minutes 5 minutes 1 minute

Redundant Via Run 190 minutes 188 minutes C 67mint 70 minutes


Time W 84mint

O Total Wire Length 98.417 m 98.495m 100.285m 100.348m


P
T Total Via 3.910 12944251 13067985 14422969 14601697
I Redundant 3910227 4182728 153902 156289
M After jog opt change 957000 10620 --------- ---------
I
Z DRC Spot Count 9855 11056 5094 5599
A 4367 4886 1824 2108
T
I Set Up WNS/TNS/FEP -7552 -7453 -7578 -7578
O -3469474 -3352121 -3464389 -3464389
N 1114 1094 1145 1145

Hold WNS/TNS/FEP -4691 -4686 -4581 -4581


-314524 -313697 -312724 -312724
736 779 724 724
COMPARISON OF VARIOUS PARAMETERS DURING ROUTING IN
DIFFERENT VERSIONS OF TALUS (KALEIDO)

PARAMETERS FIXWIRE FIXWIRE PYRAMID PYRAMID


1.0.80 1.0.82 1.0.80 1.0.82

No. of CPUs 4 4 4 4

Hybrid Setting auto auto auto auto

Bucket count 3082996 3082996 3082996 3082996

Overflow>100% 0% 0% 0% 0%
G
L Overflow>85% 35% 35% 35.2% 35%
O
B Std. Cell Region 0% 0% 0% 0%
A Overflow>100%
L
Std. Cell Region 26.3% 26.5% 26.6% 26.4%
R Overflow>85%
O
U Set Up WNS/TNS/FEP -70 -78 -6 -11
T -260 -377 -6 -25
I 6 9 1 4
N
G Hold WNS/TNS/FEP -68186 -68187 -68184 -68184
-1632341 -1641320 -1674599 -1726031
32499 32580 33229 34065

GR Run Time 19 minutes 21 minutes 36 minutes minutes

T
R Short 0 0 0 0
A
C Spacing 0 0 1273 649
K (15679u) (7587u)

Set Up -820 -1117 -1263 -1022


WNS/TNS/FEP -259069 -2081421 -1326026 -1061480
2142 10905 6829 5917

Hold WNS/TNS/FEP -68181 -68183 -68180 -68180


-1906062 -1810411 -1943416 -1904461
36089 34861 36042 35822

TR Run Time 10 minutes 13 minutes 15minutes 16 minutes

DRC SinglePass 785045 779096 347142 296656


D Spce 635330 625709 616998 440864
E Shrt
T
A RRF Run Time 102 110 C 10033 C 6912
I minutes minutes W 2936 W 2114
L
E RRR Run Time 45 40 C 464 C 114
D W 409 W 114

Jog Reduction run time 8 minutes 8 minutes 5 minutes 2 minutes


O
P Redundant Via Run 110 minutes 96 minutes ------- -------
T Time
I
M Total Wire Length 34.082 m 34.134m 34.657m 34.815 m
I
Z Total single Via 8393783 8464859 9137955 8629743
A Redundant 1501412 1428238
T After jog opt.change 11350 11230
I
O DRC 8497 8411 5372 7849
N Spce 1689 1599 1352 122
shrt

Set Up WNS/TNS/FEP -1174 -1117 -507 -278


-2196359 -2081408 -24385 -9045
11460 10905 -320 164

Hold WNS/TNS/FEP -68181 -68183 -68183 -68180


-1795669 -1810390 -1704134 -1682393
34742 34860 33246 33193
COMPARISON OF VARIOUS PARAMETERS DURING ROUTING
WITH DIFFERENT SCRIPTS ON OMAP3430

Talus 1.0.82

Parameters Magma Fix Pyramid Fix Script 1 Script 2


Wire Wire

DRC fixwire 11056 5599 46078 1722603


Spce 4886 2108 1463 4174
Shrt

DRC fix drc ------- 5599 2161 1722603


spce 2108 1463 4174
Shrt

Run Time stub 70 51 64 47

Run Time 68 75 102 83


GR

Run Time 63 60 C 66 59
TR W 28

Run Time 161 139 C 152 160


Singlepass W 56

Run Time 241 215 C 259 C 400


RRF W 99 W 410

Run Time 125 83 77 100


RRR

RR Antenna 12 11 11 13

Run Time 883 659 C 659 C 987


Fix Wire W 425 W 1040

Fix DRC -------- 75 75 72

Run Time 883 970 C 680 C 1087


Total W 550 W 1120
Conclusion

The right configurations and the right script gave considerably better results. Talus
version 1.0.82 did not show much benefit though. Enabling sub grid routing reduced the
DRC count. Configuring enhanced access points also improved results. Allowed via
overhang rotation increased via count considerably and did not make much changes in
the DRC count. Changing the dimensions of the overhang according to the Fabrication
requirements increased the via count marginally but considerably increased the DRC
count.

You might also like