You are on page 1of 17

A SYNOPSIS ON

OFDM baseband modulation technology based on VHDL

Submitted By Mr. T.kiranpani M.Tech (VLSI) Under the Guidance Of Prof.

Department of Electronics and Communications Peerancheru, Hyderabad. 2009-2011

M.Tech DISSERTATION SYNOPSIS Name of College Name of Course Name of Student Name of Guide Title of Project : Shadan College of Engg & Tech., Hyderabad. : M.Tech (VLSI) : Mr. T.Kiranpani. : Prof. : OFDM baseband modulation technology based on VHDL

Name of University : JNT University, Hyderabad.

Date of Registration :

Background:
OFDM is a special form of multicarrier modulation, which was originally used in high frequency military radio. An efficient way to implement OFDM by means of a discrete Fourier transform (DFT) was found by Weinstein in 1971. The computational complexity could be further reduced by a fast Fourier transform (FFT). However, OFDM was not popular at that time because the implementation of large-size FFTs was still too expensive. Recent advances in VLSI technologies have enabled cheap and fast implementation of FFTs and IFFTs. In the 1980s, Cimini first investigated the use of OFDM for mobile communications. Since then, OFDM has become popular. In the 1990s, OFDM was adopted in the standards of digital audio broadcasting (DAB), digital video broadcasting (DVB), asymmetric digital subscriber line (ADSL), and IEEE802.11a. OFDM is also considered specifications [3] [4]. In 1971, Weinstein and Ebert made an important contribution to OFDM. Discrete Fourier transform (DFT) method was proposed to perform the base band modulation and demodulation. DFT is an efficient signal processing algorithm. It eliminates the banks of sub carrier oscillators. The over all System will be designed using HDL language and simulation, synthesis and implementation (Translation, Mapping, Placing and Routing) will be done using various FPGA based EDA Tools. Finally the proposed system architecture performance (speed, power and throughput) will be compared and analyzed with already existing implementations. in the new fixed broadband wireless access system

Introduction
Orthogonal Frequency Division Multiplexing (OFDM)
Orthogonal Frequency Division Multiplexing (OFDM) is a multicarrier transmission technique, which divides the available spectrum into many carriers, each one being modulated by a low rate data stream. OFDM is similar to FDMA in that the multiple user access is achieved by subdividing the available bandwidth into multiple channels, which are then allocated to users. However, OFDM uses the spectrum much more efficiently by spacing the channels much closer together. This is achieved by making all the carriers orthogonal to one another, preventing interference between the closely spaced carriers.

Coded Orthogonal Frequency Division Multiplexing (COFDM) is the same as OFDM except that forward error correction is applied to the signal before transmission. This is to overcome errors in the transmission due to lost carriers from frequency selective fading, channel noise and other propagation effects. For this discussion the terms OFDM and COFDM are used interchangeably, as the main focus of this thesis is on OFDM, but it is assumed that any practical system will use forward error correction, thus would be COFDM.

Fig: Basic OFDM Structure

Relevance of the topic:


This section presents VHDL implement results of interleaver, subcarrier modulation, IFFT and adding CP. Next, these will be discussed respectively. A. Interleaver Interleaver is to disperse lost information to decrease error bit rate, in other words, when user information bits are lost among transmission process, lost information is part bits of some users, not all bits of one user and original information can be recovered by the remaining information [7]. The process of interleaver is that data are read from the RAM where grouping data are stored, according to some rule. Here data are across read with equal interval, and the interval is 4.

Fig: Spectrum density of single subcarrier B. Subcarrier Modulation: Subcarrier modulation uses three modes that are FSK (Frequency Shift Keying), PSK (Phase Shift Keying) and MQAM (Multiple quadratures Amplitude Modulation). In the article employ BFSK (Binary Frequency Shift Keying), QPSK (Quadra Phase Shift Keying) and 16QAM (16-system QAM). Pilot modulation usually adopts BFSK that f1 and f2 correspond to "0" and "1". For QPSK, phase 0, /4, /2 and 3/4 are denoted by code "00", "01","10" and "11", respectively. Frequency division and phase shift of QPSK are realized by taking count of system clock. MQAM is amplitude and phase keying system where amplitude and phase are synchronously modulated as two independent parameters.

|Fig:

Spectrum density of complex subcarriers

Adding CP:In OFDM systems, the entire channel is divided into N narrow subchannels and the high-rate data are transmitted in parallel through the subchannels at the same time. Therefore, the symbol duration is N times longer than that of single- carrier systems and the inter-symbol interference (ISI) is reduced by N times. Through adding a cyclic prefix (CP) ahead of each OFDM symbol, the ISI can be totally suppressed as long as the length of CP Tg is longer than the maximum channel delay max. Usually the length of CP is much smaller than the symbol duration; therefore, the spectrum efficiency decrease is negligible. To preserve the orthogonality, the subchannel spacing satisfies f = 1/ Ts, where Ts is the OFDM symbol duration [10] [11]. However, introduced guard interval (GI) brings loss of power and information velocity.

Literature Survey
Design of blocks The input data is a serial stream of binary digits. By inverse multiplexing, these are first demultiplexed into parallel streams, and each one mapped to a (possibly complex) symbol stream using some modulation constellation (QAM, PSK, etc.). Note that the constellations may be different, so some streams may carry a higher bit-rate than others. An inverse FFT is computed on each set of symbols, giving a set of complex time-domain samples. These samples are then quadrature-mixed to passband in the standard way. The real and imaginary components are first converted to the analogue domain using digital-to-analogue converters (DACs); the analogue signals are then used to modulate cosine and sine waves at the carrier frequency, , respectively. These signals are then summed to give the transmission signal, output signal. Our project concentrates on implementation using Inverse Fast Fourier Transform (IFFT). The work also includes in designing a mapping module, serial to parallel and parallel to serial converter module. We also added cyclic prefix in designing our blocks, illustrated in Fig

Fig Block diagram of an OFDM transmitter Modulator Bank To implement the OFDM transmission scheme, the message signal must be digitally modulated. Then carrier is divided into low-frequency sub-carriers, which is orthogonal to each other. This is done by formulating a series numbers of used signal processing operations. The message is the first use of signal modulation schemes such as BPSK (binary phase shift keying), QPSK (quadrature phase shift keying), or some form of QAM (quadrature amplitude modulation), 16QAM or the 64QAM modulation as an example. We chose BPSK (also sometimes called PRK, Phase Reversal Keying, or 2PSK) because it is the simplest form of phase shift keying (PSK). It uses two phases which are separated by 180 and so can also be termed 2-PSK. This modulation is the most robust of all the PSKs since it takes the highest level of noise or distortion to make the demodulator reach an incorrect decision. It is, however, only able to modulate at 1 bit and so is unsuitable for high data-rate applications when bandwidth is limited. In BPSK, each data symbol modulates the phase of a higher frequency carrier. In the frequency domain, the effect of the phase shifts in the carrier is to expand the bandwidth occupied by the BPSK signal. 7

BPS K
Fig3. The Mapping Module Fig shows the mapping module for transmitter. The mapping module used is BPSK type of modulation. BPSK is used because module is much easier to design compared to QPSK or other modulation method. If the input is 1 then the value is mapped with 1 while if the input is 0 the value is mapped with 0. The input passed through this module actually does not get any changes to the value, but it can be modulated after pass through it. Serial to Parallel

Figure . Block diagram of Serial to parallel In this block we get 3 inputs and 3 outputs. The Serial_in signal will get the signal from the output of PBSK block; the clk signal and the Reset signal provide the clock cycles and the asynchronous control. The Data_out signal gives the output of this block, for the need of the IFFT block we set it in 8 bits; the Parity signal takes the responsibility of error detection; the ready signal will give the 1 to the IFFT block when every 8bit data have finish the shifting, that will make sure the IFFT block get the right input signal. when this block successfully shift the serial input into parallel signal. And at the end of the data shift, the ready signal will rise up to 1, and the IFFT block will start to receive the data.

Parallel to Serial A parallel to serial converter is a special function shift register. These data are parallel loaded into the shift register, and then transfer the bit also arrived in the start and stop bits. In the OFDM transmitter module, parallel to serial converter is used to transform calculation, in parallel to a serial before being sent to other processing modules.

This block is the last part of the system we make, its main work is to convert the output of IFFT block into 8 one-bit signals, so it get the only output Serial_out. And there are 4 input signals, data_in for getting the data from the IFFT block, Reset for the asynchronous control, clk for the clock cycle, and the PL signal for getting the right data into the block.

Fig5. Parallel to Serial Module In this block, when PL signal rise up the system get the data from the IFFT output signal, then the PL signal goes down the system start to shift the data. In order to make the output data more clearly, we set a head for the output signal. We are conidered if a situation like the input signal is started with 0, the first output data must be 0, and the problem come out. We can not tell the 0 is the signal or the defult output. So we set an 1 before every group of output signal, that is the head. IFFT Inverse IFFT is used to create the OFDM symbols. The data bits are represented as the frequency domain signals, and the IFFT block converts them back into the time domain. IFFT is used in place of DFT due to its fast calculation capability. The algorithm used is DIF (Decimation in Frequency). This module will be discussed in detail in IFFT chapter. Inverse Fast Fourier Transform (IFFT): Inverse Fast Fourier Transform is one of the most important operations performed in for the generation of OFDM carriers. The inverse fast Fourier transform (IFFT) is basically derived from DFT (Discrete Fourier Transform). This is needed in generating the OFDM symbols. The main reason why IFFT is used in place of DFT is that it makes the computation very fast. This improves the overall rate of data transfer. In case of the DFT, the computation for each of the individual DFT points in an N point DFT is done one at a single time. This slows down the overall speed of computation. However, in case of the IFFT, since all the calculations are done simultaneously, the overall speed is increased. The basic equation of an N point DFT is shown below.

Where, X(k) DFT Frequency Output N- No. of Sample Points in DFT data frame K-spectral point ( 0 to N-1) X(n)-nth time sample (n 0 to N-1) Now, the same equation can be written as

The quantity W in the above equation is defined as,

The above factor is called as the Twiddle factor. This factor above is first calculated, and then it is put in a tabular form. This makes the computation much faster, as individual calculations are not needed. During the IFFT computation, this factor need not be recalculated, as it can be simply called from the table. The table which stores the 8 point IFFT is shown below

10

For the calculation of the IFFT, we make use of the IFFT signal flow graph, which is shown below.

The computation of the IFFT is done in 3 stages. The inputs to the IFFT are X (0) to X (7), which come from the serial to parallel block. At each stage, two operations are carried out to carry out the final computation. The outputs from the IFFT are Y (0) to Y (7). The upward arrow denoted the addition operation being performed, whereas the downward arrow represents the subtraction operation being performed. The subtracted value is multiplied by the twiddle factor before it is sent to the next stage. All these operations are done concurrently. This graphical is called as the butterfly diagram. For the second stage, the number of butterfly processes computed are two, and for the final stage, the processes are 4. In the first stage, the butterfly process gets eight inputs, while in the second stage, it is reduced to four, and two for the final stage of computation. Each of the butterfly process is executed concurrently, thus making the FFT a very fast computational algorithm.

11

Existing Research Work

Fig:- OFDM system, transmitting subsequent blocks of N complex data.. In the present existing system the ofdm system is designed by using IDFT & dft.

Proposed Research Work:


In the proposed system the DFT & IDFT are replaced with the FFT & IFFT blocks. By using these blocks the setup time, study time & memory resources are effectively optimized. In the
proposed system theoretically the expected values are like this: data transmission rate - 25Mb/s, mobile velocity - 400 km/h, sampling frequency-12.5MHz, FFT period - 3.84s, and CP length - 0.96s..

The proposed system will reduces setup time and increases steady time which makes complicated baseband works be accomplished well.

12

Expected Results:
modulation setup time (s) steady time (s) memory resources (%) CFOs error

64QAM 16QAM QPSK BPSK mix

213.23 55.74 20.65 12.33 71.05 (average)

1223.65 381.77 108.26 65.53 440.03 (average)

10.5% 7.8% 4.2% 2.0% 7.6% (average)

0.00987 0.00966 0.00792 0.00654 0.00971 (average)

Advantages:
The major advantage of OFDM is its robustness against multi path propagation.

Applications:Digital Audio Broadcasting (DAB) DAB is the first standard to use OFDM. DAB network is efficient in handling multipath delay spread. As a result, improved CD quality sound, new data services and higher spectrum efficiency can be achieved. Terrestrial Digital Video Broadcasting (DVB) DVB was created by a pan-broadcasting-industry group in 1993. DVB defined a set of specifications for delivery of digital television over cable, DSL, and satellite. In 1997, Digital Terrestrial Television Broadcasting (DTTB) was standardized. It utilizes OFDM system in the 2000 and 8000 sub carrier modes.

13

Facilities Available:
Library, Electronics Laboratory, Computer, Internet.

VHSIC Hardware Description Language VHDL is an acronym for VHSIC (Very High Speed Integrated Circuit) Hardware Description Language. It is intended for documenting and modeling digital systems ranging from a small chip to a large system. It can be used to model a digital system at any level of abstraction ranging from the architectural level down to the gate level.

Software Requirements: Xilinx ISE: Integrated Software Environment (ISE) enables the HDL test bench
generator and us to quickly verify the functionality of these sources using the integrated simulation capabilities (ModelSim). HDL sources could be synthesized and implemented using the Xilinx Synthesis Technology (XST) and implementation tools.

Date of Submission :

Estimated Cost

: ******

14

REFERENCES:

[1] 2008.

T WANG, J G PROAKIS, J R ZEIDLER, Interference analysis of filtered multitone modulation

over time-varying frequency-selective fading channels, IEEE Trans. Commun., vol. 55, pp. 717-727,

[2] T. ROMAN, M. ENESCU, V. KOIVUNEN, Joint time-domain tracking of channel and frequency offset for OFDM systems, in Proc. IEEE Workshop on Signal Process. Advances in Wireless Commun., vol. 24, pp. 605-609, 2003. [3] P. Y. TSAI, H. Y. KAND, and T. D. CHIUEH, Joint weighted least-squares estimation of carrierfrequency offset and timing offset for OFDM systems over multipath fading channels, IEEE Trans Veh. Technol., vol. 54, pp. 211-223, 2005. [4] W. WEI, and Z. H. HOU, A fast motion estimation algorithm with adaptive threshold, Journal of Optoelectronics Laser, vol. 18(10), pp. 1143-1146, 2007. (in Chinese) [5] T. ROMAN, S. VISURI, and V. KOIVUNEN, Blind frequency synchronization in OFDM via diagonality criterion, IEEE Trans. Signal Process., vol. 54, pp. 3125-3135, 2006. [6] A. F. MOLISCH, M. TOELTSCH, and S. VERMANI, Iterative methods for cancellation of intercarrier interference in OFDM systems, IEEE Trans. Veh. Technol., vol. 56, pp. 2158-2167, 2007. [7] T. WANG, J. G. PROAKIS, and J. R. ZEIDLER, Interference analysis of filtered multitone modulation over time-varying frequency-selective fading channels, IEEE Trans. Commun., vol. 55, pp. 717-727, 2007. [8] Air Interface for Fixed and Mobile Broadband Wireless Access Systems, IEEE Std. 802.16e, 2005. [9] M. LUISE, M. MARSELLI, and R. REGGIANNINI, Low- complexity blind carrier frequency recovery for OFDM signals over frequency-selective radio channels, IEEE Trans. Commun., vol. 50, pp. 15

1182-1188, 2002. [10] Q. YU, and S. LAMBOTHARAN, Iterative (turbo) estimation and detection techniques for requencyselective channels with multiple frequency offsets, IEEE Signal Process. Lett., vol. 14, pp. 236-239, 2007. [11] J. J. VAN DE BEEK, M. SANDELL, and P. O. BRJESSON, ML estimation of time and frequency offset in OFDM systems, IEEE Trans. Signal Process., vol. 45, pp. 1800-1805, 1997.

Books: Basic CMOS VLSI Design Digital design with verilog HDL Digital communication Automata theory Basic Digital Design Verilog HDL & Synthesis ------ Pucknull ------ Samir Palntkarl ------ Hikeyn ------ Kukavi ------ Mano ------ Ceilite

Application Specific Integrated Circuits ------ Smith

WEB SITES: www.xilinx.com www.altera.com www.google.com www.aldec.com

16

Submitted by: T.Kiranpani M.Tech Student. (VLSI) Shadan College, Peerancheru. JNT University

Guide: Prof. ECE department Shadan College, peerancheru

Prof. Head of ECE department Shadan College, peerancheru

17

You might also like