Professional Documents
Culture Documents
SEQUENCE DETECTOR
AIM To design and implement sequence detector (1101) SOFTWARES USED 1. ModelSim (Versions) 2. Xilinx (Versions) 3. Iverilog 4. Gtkwave
CIRCUIT DIAGRAM
0/0
0/0
1/0
Roll No:4
Roll No:4
case(ps) 3'b000:begin if(x==1'b0) begin ns=3'b0; ps=ns; z=1'b0; end else begin ns=3'b001; ps=ns; z=1'b0; end end 3'b001:begin if(x==1'b0) begin ns=3'b0; ps=ns; z=1'b0; end else begin ns=3'b010; ps=ns;
Roll No:4
z=1'b0; end end 3'b010:begin if(x==1'b0) begin ns=3'b011; ps=ns; z=1'b0; end else begin ns=3'b100; ps=ns; z=1'b0; end end 3'b011:begin if(x==1'b0) begin ns=3'b000; ps=ns; z=1'b0; end else begin
Roll No:4
ns=3'b100; ps=ns; z=1'b1; end end 3'b100:begin if(x==1'b0) begin ns=3'b0; ps=ns; z=1'b0; end else begin ns=3'b100; ps=ns; z=1'b0; end end endcase end end endmodule
`include "seqnonoverlap.v" module seqnonover_tb; reg clk,reset,x; wire z; seqnonover t1(x,clk,reset,z); initial begin $dumpfile("senonovdump.vcd"); $dumpvars(2,seqnonover_tb.t1); end initial begin clk=1'b1; reset=1'b1; x=1'b0; #10 reset=1'b0; x=1'b0; #10 x=1'b1; #10 x=1'b1; #10 x=1'b0; #10 x=1'b1; #10 x=1'b1; #10 x=1'b1; #10 x=1'b0; #10 x=1'b1;
Roll No:4
#10 x=1'b0; #10 x=1'b1; #10 x=1'b1; #10 x=1'b0; #10 x=1'b1; #10 x=1'b1; #10 x=1'b0; #10 x=1'b1; #10 x=1'b1; end always #5 clk=~clk; initial #100 $finish; endmodule
OBSERVATION
Roll No:4
Roll No:4