You are on page 1of 66

January 2012 Doc ID 018499 Rev 5 1/66

UM1057
User manual

STM3220G-EVAL evaluation board
Introduction
The STM3220G-EVAL evaluation board is a complete demonstration and development
platform for the STM32F2 series and includes an embedded STM32F207IGH6 high-
performance ARM

Cortex-M3 32-bit microcontroller .


The full range of hardware features on the board is provided to help you evaluate all
peripherals (USB OTG HS, USB OTG FS, ethernet, motor control, CAN, MicroSD Card,
smartcard, USART, Audio DAC, RS-232, IrDA, SRAM, MEMS, EEPROM etc.) and
develop your own applications. Extension headers make it possible to easily connect a
daughter board or wrapping board for your specific application.
The in-circuit ST-LINK tool can be easily used for JTAG and SWD interface debugging and
programming.

Figure 1. STM3220G-EVAL evaluation board

www.st.com
Contents UM1057
2/66 Doc ID 018499 Rev 5
Contents
1 Overview . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 4
1.1 Features . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 4
1.2 Demonstration software . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 4
1.3 Order code . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 4
1.4 Delivery recommendations . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 5
2 Hardware layout and configuration . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 6
2.1 Power supply . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 9
2.2 Boot option . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 10
2.3 Clock source . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 11
2.4 Reset source . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 11
2.5 Audio . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 11
2.6 EEPROM . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 12
2.7 CAN . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 12
2.8 RS-232 and IrDA . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 13
2.9 Motor control . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 14
2.10 Smartcard . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 15
2.11 MicroSD Card . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 15
2.12 MEMS . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 16
2.13 Potentiometer . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 16
2.14 ADC . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 16
2.15 USB OTG FS . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 16
2.16 Ethernet . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 17
2.17 USB OTG HS . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 18
2.18 Camera module . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 18
2.19 SRAM . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 19
2.20 Development and debug support . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 20
2.21 Display and input devices . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 21
3 Connectors . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 22
3.1 Daughter board extension connectors CN1, 2, 3 and 4 . . . . . . . . . . . . . . 22
UM1057 Contents
Doc ID 018499 Rev 5 3/66
3.2 Motor control connector CN5 . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 29
3.3 MicroSD connector CN6 . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 30
3.4 Ethernet RJ45 connector CN7 . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 30
3.5 USB OTG FS Micro-AB connector CN8 . . . . . . . . . . . . . . . . . . . . . . . . . . 31
3.6 USB OTG HS Micro-AB connector CN9 . . . . . . . . . . . . . . . . . . . . . . . . . 31
3.7 CAN D-type 9-pin male connectors CN10 (CAN1 or CAN2) . . . . . . . . . . 32
3.8 Audio connector CN11 . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 32
3.9 Trace debugging connector CN13 . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 32
3.10 JTAG debugging connector CN14 . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 33
3.11 Camera module connector CN15 . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 34
3.12 RS-232 connector CN16 . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 35
3.13 Power connector CN18 . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 35
3.14 TFT LCD connector CN19 . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 35
3.15 Smartcard connector CN20 . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 36
3.16 ST-LINK/V2 connector CN21 . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 36
3.17 Camera extension connector CN23 . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 36
3.18 STM3220G-EVAL pinout . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 37
4 Schematics . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 43
5 Revision history . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 65
Overview UM1057
4/66 Doc ID 018499 Rev 5
1 Overview
1.1 Features
STM32F207IGH6 microcontroller
16 Mbit SRAM
1 Gbyte or more MicroSD Card
Boot from user Flash, system memory or SRAM
Both ISO/IEC 14443 type A and B smartcard support
I
2
C compatible serial interface 8 Kbytes EEPROM, MEMS and I/O expander
IEEE 802.3-2002 compliant ethernet connector
Two CAN 2.0 A/B channels on the same DB connector
RS-232 communication
IrDA transceiver
USB OTG (HS and FS) with Micro-AB connector
Inductor motor control connector
I
2
S Audio DAC, stereo audio jack for headset
3.2" 240x320 TFT color LCD with touchscreen
4 color LEDs
Camera module and extension connector for ST camera plug-in
Joystick with 4-direction control and selector
Reset, wakeup, tamper and user button
RTC with backup battery
Extension connector for daughterboard or wrapping board
JTAG, SW and trace debug support
Embedded ST-LINK/V2
Five 5V power supply options: Power jack, USB FS connector, USB HS connector,
ST-LINK/V2 or daughterboard
MCU consumption measurement circuit
1.2 Demonstration software
Demonstration software is preloaded in the board's Flash memory for easy demonstration of
the device peripherals in standalone mode. For more information and to download the latest
version, please refer to STM3220G-EVAL demonstration software available on web:
www.st.com/mcu
1.3 Order code
To order the STM32F207IGH6 MCU evaluation board, use the order code STM3220G-
EVAL.
UM1057 Overview
Doc ID 018499 Rev 5 5/66
1.4 Delivery recommendations
Several verifications are needed before using the board for the first time to make sure that
nothing has been damaged during shipment and no components are unplugged and lost.
When the board is extracted from its plastic bag, please check that no component remains
in the bag. Main components to verify are:
1. The 25 MHz crytals (X1 and X4) may have been removed by a shock.
2. The camera connected on socket CN15 located on the right side of the board under the
JTAG connector may be unplugged. If this is the case, please refer to the note in
Section 2.18: Camera module to make sure to replug it in the correct position.
3. The MicroSD Card may have been ejected from its connector CN6 (top left corner of
the board).
The plastic protection on the camera should be removed carefully as the connection is very
fragile.
Hardware layout and configuration UM1057
6/66 Doc ID 018499 Rev 5
2 Hardware layout and configuration
The STM3220G-EVAL evaluation board is designed around the STM32F207IGH6 in the
UFBGA176 package. Figure 2 illustrates the connection between STM32F207IGH6 and
peripherals (camera module, LCD, SRAM, EEPROM, MEMS, USART, IrDA, USB OTG HS,
USB OTG FS, Ethernet, Audio, CAN bus, Smart card, MicroSD Card and motor control) and
Figure 3 helps you locate these features on the actual evaluation board.
UM1057 Hardware layout and configuration
Doc ID 018499 Rev 5 7/66
Figure 2. Hardware layout and configuration
MS19169V1
STM32F207GH6
RS-232
transceiver
rDA
transceiver
Smartcard
interface
3.3V regulator
TFT LCD
MicroSD
card
Audio DAC
MC control
connector
LEDs,Key
JTAG
MEMS
Potentiometer
Smartcard
connector
ntegrated RJ45
connector
RS-232
DB9 connector
ADC
2S2
GPO
M/RM
USART6
2C1
Extension
connector for
GPOs
PHY
USART3
CAN1
CAN
transceiver
CAN
DB9 connector
MC
SDO
Trace
Debug
OTG FS
USB Micro-AB
connector
EEPROM
/O
expander
Joystick
Touchscreen
USB power
switch
CAN2
2.8V regulator
2.5V regulator
1.8V regulator
DCM
Camera
module
OTG HS
USBMicro-AB
connector
USB power
switch
USB HS
PHY
DAC
FSMC
SRAM
ST-LNK/V2
Camera extension
connector
Microphone
Amplifier
Audio Jack
ADC
Hardware layout and configuration UM1057
8/66 Doc ID 018499 Rev 5
Figure 3. STM3220G-EVAL evaluation board layout
06 9
&1
0RWRUFRQWURO
&1
0LFUR6'FDUG
&1
7UDFH
&1
-7$*
8
,U'$
&1
86%27*)6
&1
86%27*+6
&1
67/,1.9
&1
&RORU/&'
&1
&DPHUDPRGXOH
&1
&DPHUDH[WHQVLRQ
FRQQHFWRU
8
-R\VWLFN
%
8VHUNH\
%
7DPSHU
&1
6PDUWFDUG
%
:DNHXS
%
5HVHW
59
3RWHQWLRPHWHU
&1
9SRZHUMDFN
&1
$XGLRMDFN
&1
86$57
&1
&$1
8
670),*+
&1
5-
FRQQHFWRU
&1 &1
([WHQVLRQ
connector (DTB)
UM1057 Hardware layout and configuration
Doc ID 018499 Rev 5 9/66
2.1 Power supply
The STM3220G-EVAL evaluation board is designed to be powered by a 5 V DC power
supply and to be protected by PolyZen from a wrong power plug-in event. It is possible to
configure the evaluation board to use any of following five sources for the power supply:
5 V DC power adapter connected to JP18, the power jack on the board
5 V DC power with 500 mA limitation from CN8, the USB OTG FS Micro-AB connector
5 V DC power with 500 mA limitation from CN9, the USB OTG HS Micro-AB connector
5 V DC power with 500 mA limitation from CN21, the ST-LINK/V2 USB connector
5 V DC power from both CN1 and CN3, the extension connector for daughterboard
(DTB for daughterboard on silkscreen)
The power supply is configured by setting the related jumpers JP4, JP32, JP18 and JP19 as
described in Table 1.

Table 1. Power related jumpers and solder bridges
Jumper Description
JP4
Jumper reserved for future use (RFU).
Default setting: Fitted
JP32
MCU_VDD is connected to 3.3 V power when JP32 is closed and MCU current
consumption measurement can be done manually by a multimeter when JP32 is open.
Default setting: Fitted
JP18
JP18 selects one of the five possible power supply sources. To
select the ST-LINK/V2 USB connector (CN21) power supply,
set JP18 as shown:
(Default setting)
To select power supply jack (CN18) power supply, set JP18
as shown:
To select daughterboard connector (CN1 and CN3) power
supply, set JP18 as shown:
HS
FS
DTB
PSU
STlk
HS
FS
DTB
PSU
STlk
HS
FS
DTB
PSU
STlk
Hardware layout and configuration UM1057
10/66 Doc ID 018499 Rev 5
Note: LED LD9 is lit when the STM3220G-EVAL evaluation board is powered by the 5 V correctly.
2.2 Boot option
The STM3220G-EVAL evaluation board is able to boot from:
Embedded user Flash
System memory with boot loader for ISP
Embedded SRAM for debugging
The boot option is configured by setting switch SW1 (BOOT1) and SW2 (BOOT0). The
BOOT0 can be configured also via the RS-232 connector CN16.

JP18
(cont.)
To select USB OTG FS (CN8) power supply, set JP18 as
shown:
To select USB OTG HS (CN9) power supply, set JP18 as
shown:
To select power supply jack (CN18) power supply to both
STM3220G-EVAL and daughterboard connected on CN1 and
CN3, set JP18 as shown (daughterboard must not have its
own power supply connected)
JP19
To connect Vbat to the battery, set JP19 as shown:
To connect Vbat to 3.3 V power, set JP19 as shown:
(Default setting)
Table 1. Power related jumpers and solder bridges (continued)
Jumper Description
HS
FS
DTB
PSU
STlk
HS
FS
DTB
PSU
STlk
HS
FS
DTB
PSU
STlk
3 2 1
3 2 1
Table 2. Boot related jumpers
BOOT 0 BOOT 1 Boot source
0 0 or 1 STM3220G-EVAL boots from User Flash (Default setting)
1 1 STM3220G-EVAL boots from Embedded SRAM
1 0 STM3220G-EVAL boots from System Memory
UM1057 Hardware layout and configuration
Doc ID 018499 Rev 5 11/66
2.3 Clock source
Four clock sources are available on the STM3220G-EVAL evaluation board for the
STM32F207IGH6 and embedded RTC:
X1, 25 MHz crystal for ethernet PHY with socket. It can be removed when clock is
provided by MCO pin of the MCU
X2, 26 MHz crystal for USB OTG HS PHY
X3, 32 kHz crystal for embedded RTC
X4, 25 MHz crystal with socket for the STM32F207IGH6 microcontroller. (It can be
removed from socket when internal RC clock is used.)
2.4 Reset source
The reset signal of the STM3220G-EVAL evaluation board is low active and the reset
sources include:
Reset button B1
Debugging tools from JTAG connector CN14 and trace connector CN13
Daughterboard from CN3
RS-232 connector CN16 for ISP
ST-LINK/V2
2.5 Audio
The STM3220G-EVAL evaluation board enables stereo audio play and microphone
recording by an external headset connected on audio jack CN11. An audio DAC CS43L22 is
connected to both an I2S2 port and a DAC channel while a microphone amplifier is
connected to the ADC of the STM32F207IGH6. The CS43L22 can be configured via I2C1
and external PLL (U36) can provide an external clock which is connected to I2S_CKIN pin
(PC9).
Note: To avoid speaker damage it is mandatory to connect the headphone to the board on CN11
during audio code debug. When the program is stopped on a breakpoint, a DC voltage may
be applied to the speaker which induces power consumption incompatible with the speaker.
Warning: Signal I2S_SD (PI3) is close to signal TCK/SWCLK of the
JTAG/SWD interface, so to avoid possible communication
issues on JTAG/SWD when the I2S interface is used the
recommendations are to:
1) Prefer usage of embedded ST-LINK/V2 to external tool
connected on CN14.
2) Configure PI3 GPIO in low speed (2 MHz or 10 MHz).

Table 3. Audio related jumpers
Jumper Description
JP16 Description of JP16 is in Table 10 on page 15.
JP33 The microphone amplifier can be disabled when JP33 is fitted. Default setting: Not fitted.
Hardware layout and configuration UM1057
12/66 Doc ID 018499 Rev 5
2.6 EEPROM
A 64 KBit EEPROM is connected to the I2C1 bus of the STM32F207IGH6.

2.7 CAN
The STM3220G-EVAL evaluation board enables two channels of CAN2.0A/B compliant
CAN bus communication based on a 3.3 V CAN transceiver on one DB9 connector (CN10).
The two CAN buses can be disconnected by jumpers from relevant STM32F207IGH6 I/Os
which are shared with FSMC and USB OTG HS. Jumpers JP3 and JP10 must be refitted to
enable CAN1 or CAN2 as listed in Table 5.
High-speed, Standby and Slope Control modes are selected by setting JP7.

Table 4. EEPROM related jumper and solder bridge
Jumper Description
JP24
The EEPROM is in Write Protection mode when JP24 is not fitted.
Default setting: Not fitted.
Table 5. CAN-related jumpers
Jumper Description
JP3
To connect CAN1_TX to CAN transceiver, set JP3 as shown:
To connect CAN2_TX to CAN transceiver, set JP3 as shown:
JP10
To connect CAN1_RX to CAN transceiver, set JP10 as shown:
To connect CAN2_RX to CAN transceiver, set JP10 as shown:
PD0 and PB5 are disconnected from the CAN transceiver and used for FSMC and
USB_OTG_HS when jumper JP10 is not fitted (Default setting).
JP7
To enable the selected CAN transceiver to work in Standby mode, set JP7 as
shown:
To enable the selected CAN transceiver to work in High-speed mode, set JP7 as
shown (Default setting):
To enable the selected CAN transceiver to work in Slope Control mode, do not fit a jumper
on JP7.
JP9
To enable the terminal resistor for the selected CAN, fit a jumper on JP9.
(Default setting: Not fitted).
3 2 1
3 2 1
3 2 1
3 2 1
3 2 1
3 2 1
UM1057 Hardware layout and configuration
Doc ID 018499 Rev 5 13/66
2.8 RS-232 and IrDA
Both RS-232 and IrDA communication is enabled by a D-type 9-pin RS-232 connector
(CN16) and IrDA transceiver U11 which are connected to USART3 of the STM32F207IGH6
on the STM3220G-EVAL evaluation board.
For ISP support, two signals are added on the RS-232 connector CN16:
Bootloader_RESET (shared with CTS signal)
Bootloader_BOOT0 (shared with DSR signal)
RS-232 or IrDA can be selected by setting JP22 and ISP can be enabled by setting JP29
and JP34.

Table 6. RS-232 and IrDA related jumper
Jumper Description
JP22
To connect USART3_RX to IrDA transceiver and enable IrDA communication,
set JP22 as shown:
To connect USART3_RX to RS-232 transceiver and enable RS-232
communication, set JP22 as shown (Default setting):
To enable MicroSD Card, which shares same I/Os with RS-232, JP22 is not fitted.
JP29
Bootloader_BOOT0 is managed by pin 6 of CN16 (RS-232 DSR signal) when JP29 is
closed. This configuration is used for boot loader application only.
Default setting: Not fitted.
JP34
Bootloader_RESET is managed by pin 8 of CN16 (RS-232 CTS signal) when JP34 is
fitted. This configuration is used for boot loader application only.
Default setting: Not fitted.
3 2 1
3 2 1
Hardware layout and configuration UM1057
14/66 Doc ID 018499 Rev 5
2.9 Motor control
The STM3220G-EVAL evaluation board enables a three-phase brushless motor control via
a 34-pin connector (CN5), which provides all required control and feedback signals to and
from the motor power-driving board. Available signals on this connector include emergency
stop, motor speed, 3-phase motor current, bus voltage, heatsink temperature coming from
the motor driving board and 6 channels of PWM control signal going to the motor driving
circuit.
The solder bridge (SB18) allows to choose two kinds of synchronization methods for PFCs
(Power Factor Correction) while SB17 can be set for different signals on pin 31 of CN5.
The I/O pins used on motor control connector CN5 are multiplexed with some peripherals on
the board; either motor control connector or multiplexed peripherals can be enabled by the
setting of solder bridges SB10, SB11, SB12, SB14, SB15 and SB16.

Note: 1 Some 0 ohm resistors have to be removed or soldered to enable motor control application
except the solder bridges configurations mentioned above:
R34, R58 & R51 to be removed
R66, R204 & R205 to be soldered
2 The MicroSD Card must be removed from CN6 for motor control application.
Table 7. Motor control solder bridges
Solder
bridge
Description
Multiplexed
peripherals
SB18
When closed, SB18 redirects the PFC synchronized signal to the timer 3
input capture pin 2 in addition to the timer 3 external trigger input.
Default setting: Open
SB17
For CN5 encoder signal input (pin 31), SB17 must be open.
For CN5 special motor analog signal input (pin 31), SB17 must be closed.
Default setting: Open
SB16
To connect MC_EmergencySTOP to PI4, close SB16.
Default setting: Open
Camera module
connected to
CN15
SB10
To connect MC_EnIndex to PB8, close SB10.
Default setting: Open
Ethernet SB11
To connect MC_CurrentA to PC1, close SB11.
Default setting: Open
SB12
To connect MC_CurrentB to PC2, close SB12.
Default setting: Open
SB14
To connect MC_EnB to PD13, close SB14.
Default setting: Open
FSMC
SB15
To connect MC_EnA to PD12 close SB15.
Default setting: Open
UM1057 Hardware layout and configuration
Doc ID 018499 Rev 5 15/66
2.10 Smartcard
STMicroelectronics smartcard interface chip ST8024 is used on the STM3220G-EVAL
board for asynchronous 3 V and 5 V smartcards. It performs all supply protection and
control functions based on the connections with the STM32F207IGH6 listed in Table 8:

Smartcard shares some I/Os with I2S bus for Audio. Some jumper settings need to be
reconfigured to enable smartcard as indicated below:

2.11 MicroSD Card
A 1 GByte or more MicroSD Card connected to SDIO of the STM32F207IGH6 is available
on the board. MicroSD Card detection is managed by the standard I/O port PH13. MicroSD
Card shares I/Os with motor control, RS-232 and audio. The jumpers JP22 and JP16 must
be refitted and the motor control connector (CN5) must be disconnected for MicroSD Card
function.

Table 8. Connection between ST8024 and STM32F207IGH6
ST8024 signals Description Connect to STM32F207IGH6
5V/3V Smartcard power supply selection pin PH15
I/OUC MCU data I/O line PC6
XTAL1 Crystal or external clock input PG7
OFF
Detect presence of a card, MCU interrupt, share
same pin with motor controller
PF6
RSTIN Card reset input from MCU PF7
CMDVCC
Start activation sequence input (Active Low),
share same pin with I2S DAC and Motor control
PG12
Table 9. Smartcard related jumper
Jumper Description
JP21
To connect Smartcard_IO to PC6, JP21must be fitted.
JP21 must not be fitted for Audio DAC connection to I2S.
Default setting: Not Fitted
Table 10. MicroSD Card related jumpers
Jumper Description
JP22 Description of JP22 is in Section 2.8: RS-232 and IrDA
JP16
PC9 is connected to MicroSDCard_D1 when JP16 is set as shown to the right:
(Default setting):
PC9 is connected to I2S_CKIN when JP16 is set as show to the right:
3 2 1
3 2 1
Hardware layout and configuration UM1057
16/66 Doc ID 018499 Rev 5
2.12 MEMS
A ST MEMS device LIS302DL is connected to the I2C1 bus of the STM32F207IGH6 on the
board.
2.13 Potentiometer
There is one 10 Kohm potentiometer, RV1, connected to PF9 of the STM32F207IGH6 on
the board.
2.14 ADC
Two test points (TP3 AIN-) and (TP4 AIN+) are placed close to port PC1 of the MCU
allowing precise measurements on ADC1, ADC2 or ADC3 channel 11. As PC1 is also used
as current A input on the motor control connector it is recommended to remove R219 to
optimize noise immunity on this input.
A potentiometer RV1 is connected to PF9 of STM32F207IGH6. If needed, a low pass filter
(R74 and C59) can be placed on this input to reduce the bandwidth of the analog input PF9.
It is also possible to place the Ethernet PHY (U5) in low power mode in order to reduce the
noise induced by this high frequency peripheral. Power down pin (MII_INT in the schematic)
is connected to PB14 of the MCU, so this I/O can be to be configured as output low during
analog precision measurement.
2.15 USB OTG FS
The STM3220G-EVAL evaluation board enables USB OTG full speed communication via a
USB Micro-AB connector (CN8) and USB power switch (U1) connected to VBUS. The
evaluation board can be powered by this USB connection at 5V DC with a 500 mA current
limitation.
LED LD6 indicates that power switch (U1) is ON and STM3220G-EVAL functions as a USB
host or that the VBUS is powered by another USB host while the STM3220G-EVAL
functions as a USB device. LED LD5 indicates an over-current.
UM1057 Hardware layout and configuration
Doc ID 018499 Rev 5 17/66
2.16 Ethernet
The STM3220G-EVAL evaluation board enables 10/100M ethernet communication by a
PHY DP83848CVV (U5) and integrated RJ45 connector (CN7). Both MII and RMII interface
modes can be selected by setting jumpers JP5, JP6 and JP8 as listed below:

Note: 1 A test point (TP2) is available on the board for the PTP_PPS feature test.
2 The Ethernet PHY U5 can be powered down by regulating PB14.
3 In RMII mode it is not possible to use MCO to output the 50 MHz clock to PHY due to the
PLL limitation explained in chapter 2.6.5 of STM32F20x & STM32F21x Errata sheet
(ES0005). In such a case it is possible to provide the 50 MHz clock by soldering a 50 MHz
oscillator (ref SM7745HEV-50.0M or equivalent) on the U3 footprint located under CN3 and
also removing jumper on JP5. This oscillator is not provided with the board.
Table 11. Ethernet related jumpers and solder bridges
Jumper Description
JP8
JP8 selects MII or RMII interface mode.
To enable MII, JP8 is not fitted. To enable RMII interface mode, JP8 is fitted.
Default setting: Not fitted
JP6
To enable MII interface mode, set JP6 as shown (Default setting):
To enable RMII interface mode, set JP6 as shown:
JP5
To provide 25 MHz clock for MII or 50 MHz clock for RMII by MCO at PA8, set
JP5 as shown (Default setting):
To provide 25 MHz clock by external crystal X1 (for MII interface mode only) set
JP5 as shown:
When clock is provided by external oscillator U3, JP5 must not be fitted (Default setting).
SB1
SB1 is used to select clock source only for RMII mode.
To connect the clock from MCO to RMII_REF_CLK, close SB1. The resistor R212 has to
be removed in this case.
Default setting: Open
3 2 1
3 2 1
3 2 1
3 2 1
Hardware layout and configuration UM1057
18/66 Doc ID 018499 Rev 5
2.17 USB OTG HS
The STM3220G-EVAL evaluation board enables USB OTG high speed communication via a
USB Micro-AB connector (CN9), USB high speed PHY (U8) and USB power switch (U4)
connected to VBUS. The evaluation board can be powered by this USB connector (CN9) at
5 V DC with a 500 mA current limitation.
The LED LD7 indicates that power switch (U4) is On and that the STM3220G-EVAL is
working as a USB host or that VBUS is powered by another USB host when STM3220G-
EVAL is working as a USB device. The LD8 indicates an over-current.
The USB ULPI bus is shared with CAN2 bus, JP10 and JP3 must be open for USB OTG HS.

Note: On boards MB786 prior to version B03 it is possible that after a board RESET the MCU is no
longer able to control communication with the OTG PHY (U8). When this issue occurs the
only way to recover OTG PHY control is to power the board OFF and ON. This issue is fixed
on MB786 version B03 or newer.
2.18 Camera module
A camera module is connected to the DCMI bus of the STM32F207IGH6 and shares the
same I/Os with the motor control connector. SB16 must be kept open for camera module
application.
There are two possible modules and omnivision cameras populated on the CN15 connector
of the board:
1.3 Megapixel: Module CN01302H1045-C: Camera OV9655
2 Megapixel: Module CN020VAH2554-C: Camera OV2640

Note: 1 When the camera demo loaded in Flash is executed, some green pixels may appear in high
contrast zones, depending on the image captured.
2 The camera is not firmly restricted on its connector (CN15). It is possible that during
shipment the camera could be unplugged. In such case you need to plug it into the right
position as shown on the picture below (pin 1 dot on top left corner of the socket).
It is not recommended to remove it in order to avoid false contact later.
Table 12. MicroSD Card related jumper
Jumper Description
JP31 To disable USB OTG PHY U8, remove JP31. Default setting: Fitted
Table 13. Camera module related jumpers
Jumper Description
JP26
To set power down mode for the camera module, JP26 is fitted.
Default setting: Not fitted
SB16 Description of SB16 is in Section 2.9: Motor control.
UM1057 Hardware layout and configuration
Doc ID 018499 Rev 5 19/66
Figure 4. Pin 1 camera plug
The camera extension connector CN23 is available on the boards to connect the ST camera
plug-in board.
2.19 SRAM
The 16 Mbit SRAM is connected to the FSMC bus of the STM32F207IGH6 which shares the
same I/Os with the CAN1 bus. JP3 and JP10 must not be fitted for SRAM and LCD
application.

Table 14. SRAM related jumpers
Jumper Description
JP1
Connect PE4 to SRAM as A20 by setting JP1 as shown (Default setting):
Connect PE4 to trace connector CN13 as TRACE_D1 by setting JP1 as shown:
JP2
Connect PE3 to SRAM as A19 by settiing JP2 as shown (Default setting):
Connect PE3 to trace connector CN13 as TRACE_D0 by setting JP2 as shown:
3 2 1
3 2 1
3 2 1
3 2 1
Hardware layout and configuration UM1057
20/66 Doc ID 018499 Rev 5
2.20 Development and debug support
Version 2 of the ST-LINK, called ST-LINK/V2, is embedded on the board. This tool allows
onboard program loading and debugging of the STM32F using the JTAG or SWD interface.
Third-party debug tools are also supported by the JTAG (CN14) or Trace (CN13)
connectors.
To communicate with the embedded ST-LINK/V2, a specific driver needs to be installed on
your PC. To download and install this driver, refer to the software and development tools
page for the STM32F family available on www.st.com (the install shield is called
ST-LINK_V2_USBdriver.exe).
Third-party toolchains, Atollic TrueSTUDIO, KEIL ARM-MDK, IAR EWARM and Tasking VX-
Toolset support ST-LINK/V2 according to the following table:

The embedded ST-LINK/V2 connects to the PC via a standard USB cable from connector
CN21. The bicolor LED LD10 (COM) indicates the status of the communication as follows:
Slow blinking Red/Off: At power-on before USB initialization
Fast blinking Red/Off: After the first correct communication between PC and
ST-LINK/V2 (enumeration)
Red LED On: When initialization between PC and ST-LINK/V2 is successfully finished
Green LED On: After successful target communication initialization
Blinking Red/Green: During communication with target
Green On: Communication finished and OK
Orange On: Communication failure
Note: 1 It is possible to power the board via CN21 (embedded ST-LINK/V2 USB connector) even if
an external tool is connected to CN13 (trace) or CN14 (external JTAG and SWD).
2 If the I2S interface is used, refer to the warning in Chapter 2.5.
Table 15. Third-party toolchain support
Manufacturer Toolchain Version
Atollic TrueSTUDIO 2.1
IAR EWARM 6.20.4
Keil MDK-ARM 4.20
Tasking VX-Toolset ARM Cortex-M 4.0.1
UM1057 Hardware layout and configuration
Doc ID 018499 Rev 5 21/66
2.21 Display and input devices
The 3.2 TFT color LCD connected to the FSMC bus and 4 general purpose color LEDs (LD
1, 2, 3, 4) are available as display devices. A touchscreen connected to an I/O expander
(U24), 4-direction joystick with selection key, general purpose button (B4), wakeup button
(B2) and tamper detection button (B3) are available as input devices.

Table 16. LCD modules
Pin on
CN19
Pin name Pin connection
Pin on
CN19
Pin name Pin connection
1 CS FSMC_NE3 (PG10) 18 PD14 FSMC_D12
2 RS FSMC_A0 19 PD15 FSMC_D13
3 WR/SCL FSMC_NWE 20 PD16 FSMC_D14
4 RD FSMC_NOE 21 PD17 FSMC_D15
5 RESET RESET# 22 BL_GND GND
6 PD1 FSMC_D0 23 BL_Control +5V
7 PD2 FSMC_D1 24 VDD +3V3
8 PD3 FSMC_D2 25 VCI +3V3
9 PD4 FSMC_D3 26 GND GND
10 PD5 FSMC_D4 27 GND GND
11 PD6 FSMC_D5 28 BL_VDD +5V
12 PD7 FSMC_D6 29 SDO NC
13 PD8 FSMC_D7 30 SDI NC
14 PD10 FSMC_D8 31 XL I/O expander U24
15 PD11 FSMC_D9 32 XR I/O expander U24
16 PD12 FSMC_D10 33 YD I/O expander U24
17 PD13 FSMC_D11 34 YU I/O expander U24
Connectors UM1057
22/66 Doc ID 018499 Rev 5
3 Connectors
3.1 Daughter board extension connectors CN1, 2, 3 and 4
Four male headers, CN1, 2, 3 and 4, can be used to connect with a daughterboard or
standard wrapping board to the STM3220G-EVAL evaluation board. A total number of140
GPIOs are available on the board.
Each pin on CN1, 2, 3 and 4 can be used by a daughterboard after disconnecting it from the
corresponding function block on the STM3220G-EVAL evaluation board. Refer to Table 17
and Table 20 for details.

Table 17. Daughter board extension connector CN1
Pin Description Alternative function
How to disconnect with function block on
STM3220G-EVAL board
1 GND - -
3 PE3 Trace_D0 and FSMC_A19 Keep JP2 on 2<->3
5 PE5 Trace_D2
7 PI8 LCD_HSYNC -
9 PC14 OSC32_IN Remove R84, SB4 closed
11 PC15 OSC32_OUT Remove R85, SB5 closed
13 PI10 MII_RX_ER Remove RS3
15 PF0 FSMC_A0 -
17 PF2 FSMC_A2 -
19 GND - -
21 PF5 FSMC_A5 -
23 PF7 Smartcard_RST -
25 PF9 Potentiometer Remove R151
27 PH0 OSC_IN SB6 closed
29 PC0 ULPI_STP -
31 PC1 MII_MDC SB11 open
33 PC3 MII_TX_CLK Remove R51
35 PA0 WakeUP Remove R139
37 PA2 MII_MDIO -
39 GND - -
41 PH4 ULPI_NXT Remove R61
43 NC - -
45 NC - -
47 EMU_3V3 - -
49 EMU_5V - -
UM1057 Connectors
Doc ID 018499 Rev 5 23/66

2 PE2 Trace_CLK -
4 PE4 Trace_D1 & FSMC_A20 Keep JP1 on 2<->3
6 PE6 Trace_D3 -
8 PC13 Anti-Tamper Remove R143
10 GND - -
12 PI9 LED3 Remove R141
14 PI11 ULPI_DIR Remove R62
16 PF1 FSMC_A1 -
18 PF3 FSMC_A3 -
20 PF4 FSMC_A4 -
22 PF6 Smartcard_OFF Remove R126
24 PF8 LCD_CS
26 PF10 Audio_IN Remove R196
28 PH1 OSC_OUT Remove R86, SB7 closed
30 GND - -
32 PC2 MII_TXD2 & MC SB12 open
34 VREF+ - -
36 PA1 MII_RX_CLK JP6 open
38 PH2 MII_CRS Remove RS3
40 PH3 MII_COL Remove RS3
42 PH5 OTG_FS_PowerSwitchOn Remove R18
44 NC - -
46 NC - -
48 APP_3V3 - -
50 GND - -
Table 18. Daughterboard extension connector CN2
Pin Description Alternative function
How to disconnect with function block on
STM3220G-EVAL board
1 GND - -
3 PA3 ULPI_D0 -
5 PA5 ULPI_CLK Remove R69
7 PA7 MII_RX_DV Remove RS2, JP8 open
9 PC5 MII_RXD1 Remove R58
Table 17. Daughter board extension connector CN1 (continued)
Pin Description Alternative function
How to disconnect with function block on
STM3220G-EVAL board
Connectors UM1057
24/66 Doc ID 018499 Rev 5
11 PB0 ULPI_D1 -
13 PB2 BOOT1 -
15 PF12 FSMC_A6 -
17 PF14 FSMC_A8 -
19 GND - -
21 PG1 FSMC_A11 -
23 PE8 FSMC_D5 -
25 PE10 FSMC_D7 -
27 PE12 FSMC_D9 -
29 PE14 FSMC_D11 -
31 PE15 FSMC_D12 -
33 PB11 ULPI_D4 -
35 PH7 MII_RXD3 Remove RS3
37 PH9 DCMI_D0 Remove camera module from CN15
39 GND - -
2 APP_VCC - -
4 PA4 Audio_DAC_OUT Remove R115
6 PA6 DCMI_PIXCK Remove camera module from CN15
8 PC4 MII_RXD0 Remove RS2
10 GND - -
12 PB1 ULPI_D2 -
14 PF11 OTG_FS_Overcurrent Remove R15
16 PF13 FSMC_A7 -
18 PF15 FSMC_A9 -
20 PG0 FSMC_A10 -
22 PE7 FSMC_D4 -
24 PE9 FSMC_D6 -
26 PE11 FSMC_D8 -
28 PE13 FSMC_D10 -
30 GND - -
32 PB10 ULPI_D3 -
34 PH6 MII_RXD2 Remove RS5
36 PH8 DCMI_HSYNC & MC
Remove camera module from CN15.
Disconnect motor control board from CN5.
Table 18. Daughterboard extension connector CN2 (continued)
Pin Description Alternative function
How to disconnect with function block on
STM3220G-EVAL board
UM1057 Connectors
Doc ID 018499 Rev 5 25/66

38 PH10 DCMI_D1 &MC
Remove camera module from CN15.
Disconnect motor control board from CN5.
40 PH11 DCMI_D2 &MC
Remove camera module from CN15.
Disconnect motor control board from CN5.
Table 19. Daughter board extension connector CN3
Pin Description Alternative function
How to disconnect with function block on
STM3220G-EVAL board
1 GND - -
3 PI1 I2S_CK -
5 PH15 Smartcard_3/5V and MC Disconnect motor control board from CN5
7 PH13 MicroSDCard_detect and MC
Remove MicroSD Card from CN6.
Disconnect motor control board from CN5.
9 PC13 Anti-tamper Remove R143
11 RESET# Reset button -
13 PA11 OTG_FS_DM Remove R17
15 PA9 VBUS_FS
Remove USB cable from CN8.
Remove R18.
17 PC9 MicroSDCard_D1 & I2S_CKIN Keep JP16 on open
19 EMU_5V - -
21 PC6 I2S_MCK & Smartcard_IO JP21 open
23 PG7 Smartcard_CLK -
25 PG5 FSMC_A15 -
27 PG3 FSMC_A13 -
29 PD15 FSMC_D1 -
31 PD14 FSMC_D0 -
33 PD12 FSMC_A17 SB15 open
35 PD10 FSMC_D15 -
37 PD8 FSMC_D13 -
39 GND - -
41 PB13 ULPI_D6 & CAN2_TX -
43 PH12 DCMI_D3 & MC
Remove camera module from CN15.
Disconnect motor control board from CN5.
45 NC - -
47 EMU_3V3 - -
49 EMU_5V - -
Table 18. Daughterboard extension connector CN2 (continued)
Pin Description Alternative function
How to disconnect with function block on
STM3220G-EVAL board
Connectors UM1057
26/66 Doc ID 018499 Rev 5
2 PI2 IO_Expandor_INT Remove R136
4 PI0 I2S_CMD -
6 PH14 DCMI_D4 & MC
Remove camera module from CN15.
Disconnect motor control board from CN5.
8 PA13 TMS/SWDIO -
10 GND - -
12 PA12 OTG_FS_DP Remove R19
14 PA10 OTG_FS_ID Remove R21
16 PA8 MCO JP5 open
18 PC8 MicroSDCard_D0 & MC
Remove MicroSD Card from CN6.
Disconnect motor control board from CN5.
20 PC7 LED4 Remove R140
22 PG8 LED2 Remove R154
24 PG6 LED1 Remove R155
26 PG4 FSMC_A14 -
28 PG2 FSMC_A12 -
30 GND - -
32 PD13 FSMC/MC
SB14 open.
Disconnect motor control board from CN5.
34 PD11 FSMC_A16 -
36 PD9 FSMC_D14 -
38 PB15 OneNAND_INT Remove R53
40 PB14 MII_INT Remove R41
42 PB12 ULPI_D5 -
44 NC - -
46 NC - -
48 APP_3V3 - -
50 GND - -
Table 20. Daughter board extension connector CN4
Pin Description Alternative function
How to disconnect with function block on
STM3220G-EVAL board
1 GND - -
3 PI6 DCMI_D6 & MC
Remove camera module from CN15.
Disconnect motor control board from CN5.
Table 19. Daughter board extension connector CN3 (continued)
Pin Description Alternative function
How to disconnect with function block on
STM3220G-EVAL board
UM1057 Connectors
Doc ID 018499 Rev 5 27/66
5 PI4 DCMI_D5 & MC
Remove camera module from CN15.
SB16 open
7 PE0 FSMC_BL0 -
9 PB8 MII_TXD3 & MC
Remove RS5
SB10 open
Disconnect motor control board from CN5.
11 BOOT0 BOOT0 -
13 PB6 I2C1_SCL Remove R103
15 PB4 TRST -
17 PG15 User button Remove R150
19 GND - -
21 PG12
Smartcard_CMDVCC &
CLD_VSYNC
Remove R128
23 PG10 FSMC_NE3 Remove LCD board MB785 from CN19
25 PD7 FSMC_NE1 Remove R52
27 PD5 FSMC_NWE -
29 PD3 FSMC_CLK -
31 PD2 MicroSDCard_CMD -
33 PD0 FSMC_D2 & CAN1_RX JP10 open
35 PC11
MicroSDCard_D3 &
RS232/IrDA_RX
JP22 open
Remove MicroSD Card from CN6
37 PA15 TDI -
39 GND - -
2 PI7 DCMI_D7 & MC
Remove camera module from CN15
Disconnect motor control board from CN5
4 PI5 DCMI_VSYNC & MC
Remove camera module from CN15
Disconnect motor control board from CN5
6 PE1 FSMC_BL1 -
8 PB9 I2C1_SDA Remove R111
10 GND - -
12 PB7 FSMC_NL -
14 PB5 ULPI_D7 & CAN2_RX JP10 open
16 PB3 TDO/SWO -
18 PG14 MII_TXD1 Remove RS6
20 PG13 MII_TXD0 Remove RS6
22 PG11 MII_TX_EN Remove RS6
Table 20. Daughter board extension connector CN4 (continued)
Pin Description Alternative function
How to disconnect with function block on
STM3220G-EVAL board
Connectors UM1057
28/66 Doc ID 018499 Rev 5
24 PG9 FSMC_NE2 Remove R47
26 PD6 FSMC_NWAIT Remove R54
28 PD4 FSMC_NOE -
30 GND - -
32 PD1 FSMC_D3 & CAN1_TX JP3 open
34 PC12 MicroSDCard_CLK Remove MicroSD Card from CN6
36 PC10
MicroSDCard_D2 &
RS232/IrDA_TX
Remove MicroSD Card from CN6
38 PA14 TCK/SWCLK -
40 PI3 I2S_DIN -
Table 20. Daughter board extension connector CN4 (continued)
Pin Description Alternative function
How to disconnect with function block on
STM3220G-EVAL board
UM1057 Connectors
Doc ID 018499 Rev 5 29/66
3.2 Motor control connector CN5
Figure 5. Motor control connector CN5

Table 21. Motor control connector CN5
Description
STM32F207IGH6
pin
CN5 pin CN5 pin
STM32F207IGH6
pin
Description
EMERGENCY
STOP
PI4 1 2 GND
PWM-UH PI5 3 4 GND
PWM-UL PH13 5 6 GND
PWM-VH PI6 7 8 GND
PWM-VL PH14 9 10 GND
PWM-WH PI7 11 12 GND
PWM-WL PH15 13 14 PC4 BUS VOLTAGE
PHASE A
CURRENT
PC1 15 16 GND
PHASE B
CURRENT
PC2 17 18 GND
PHASE C
CURRENT
PC3 19 20 GND
NTC BYPASS
RELAY
PH8 21 22 GND
DISSIPATIVE
BRAKE PWM
PC8 23 24 GND
+5V power +5V 25 26 PC5
Heatsink
temperature
PFC SYNC PH10 and PH11 27 28 VDD_Micro
PFC PWM PH12 29 30 GND
Encoder A PD12 31 32 GND
Encoder B PD13 33 34 PB8 Encoder Index
33 31 29 27 25 23 21 19 17 15 13 11 9 7 5 3 1
34 32 30 28 26 24 22 20 18 16 14 12 10 8 6 4 2
MS18913V1
Viewed from above PCB
Connectors UM1057
30/66 Doc ID 018499 Rev 5
3.3 MicroSD connector CN6
Figure 6. MicroSD connector CN6

3.4 Ethernet RJ45 connector CN7
Figure 7. Ethernet RJ45 connector CN7

Table 22. MicroSD connector CN6
Pin number Description Pin number Description
1 SDIO_D2 (PC10) 5 SDIO_CLK (PC12)
2 SDIO_D3 (PC11) 6 Vss/GND
3 SDIO_CMD (PD2) 7 SDIO_D0 (PC8)
4 +3V3 8 SDIO_D1 (PC9)
10 MicroSDcard_detect (PH13)
Table 23. RJ45 connector CN7
Pin Description Pin Description
1 TxData+ 2 TxData-
3 RxData+ 4 Shield
5 Shield 6 RxData-
7 Shield 8 Shield
069

)URQWYLHZ
UM1057 Connectors
Doc ID 018499 Rev 5 31/66
3.5 USB OTG FS Micro-AB connector CN8
Figure 8. USB OTG FS Micro-AB connector CN8

3.6 USB OTG HS Micro-AB connector CN9
Figure 9. USB OTG HS Micro-AB connector CN9

Table 24. USB OTG FS Micro-AB connector CN8
Pin Description Pin Description
1 VBUS (PA9) 4 ID (PA10)
2 D- (PA11) 5 GND
3 D+ (PA12)
069
Front view
Table 25. USB OTG HS Micro-AB connector CN9
Pin Description Pin Description
1 VBUS 4 ID
2 D- 5 GND
3 D+
069
Front view
Connectors UM1057
32/66 Doc ID 018499 Rev 5
3.7 CAN D-type 9-pin male connectors CN10 (CAN1 or CAN2)
Figure 10. CAN D-type 9-pin male connector CN10 (CAN1 or CAN2)

3.8 Audio connector CN11
A 3.5mm stereo audio jack CN11 is available on the STM3220G-EVAL board to support
headset (headphone and microphone integrated).
3.9 Trace debugging connector CN13
Figure 11. Trace debugging connector CN13

Table 26. CAN D-type 9-pin male connector CN10 (CAN1 or CAN2)
Pin Description Pin Description
1,4,8,9 NC 7 CANH
3,5,6 GND 2 CANL

)URQWYLHZ
069
Table 27. Trace debugging connector CN13
Pin Description Pin Description
1 3.3 V power 2 TMS/PA13
3 GND 4 TCK/PA14
5 GND 6 TDO/PB3
7 KEY 8 TDI/PA15
9 GND 10 RESET#
11 GND 12 TraceCLK/PE2
13 GND 14 TraceD0/PE3 or SWO/PB3
15 GND 16 TraceD1/PE4 or nTRST/PB4
17 GND 18 TraceD2/PE5
19 GND 20 TraceD3/PE6
069


9LHZHGIURPDERYH3&%
UM1057 Connectors
Doc ID 018499 Rev 5 33/66
3.10 JTAG debugging connector CN14
Figure 12. JTAG debugging connector CN14

Table 28. JTAG debugging connector CN14
Pin Description Pin Description
1 3.3V power 2 3.3V power
3 PB4 4 GND
5 PA15 6 GND
7 PA13 8 GND
9 PA14 10 GND
11 RTCK 12 GND
13 PB3 14 GND
15 RESET# 16 GND
17 DBGRQ 18 GND
19 DBGACK 20 GND
069


9LHZHGIURPDERYH3&%
Connectors UM1057
34/66 Doc ID 018499 Rev 5
3.11 Camera module connector CN15
Figure 13. Camera module connector CN15

Table 29. Camera module connector CN15
Pin Description Pin Description
1 DGND 13 XCLK1
2 DGND 14 Y6(PI6)
3 SIO_D (PB9) 15 DGND
4 AVDD (2.8V) 16 Y5(PI4)
5 SIO_C (PB6) 17 PCLK (PA6)
6 RESET 18 Y4(PH14)
7 VSYNC (PI5) 19 Y0 (PH9)
8 PWDN 20 Y3(PH12)
9 HREF (PH8) 21 Y1(PH10)
10 DVDD (1.8V) 22 Y2(PH11)
11 DOVDD (2.8V) 23 AGND
12 Y7(PI7) 24 AGND
Front view
MS19174V1
UM1057 Connectors
Doc ID 018499 Rev 5 35/66
3.12 RS-232 connector CN16
Figure 14. RS-232 connector CN16 with ISP support

3.13 Power connector CN18
The STM3220G-EVAL evaluation board can be powered from a 5 V DC power supply via
the external power supply jack (CN18) shown in Figure 15. The central pin of CN18 must be
positive.
Figure 15. Power supply connector CN18
3.14 TFT LCD connector CN19
One 34-pin male header CN19 is available on the board for connecting the LCD module
board MB785. Refer to Section 2.21: Display and input devices for details.
Table 30. RS-232 connector CN16 with ISP support
Pin Description Pin Description
1 NC 6 Bootloader_BOOT0
2 RS232_RX (PC11) 7 NC
3 RS232_TX (PC10) 8 Bootloader_RESET
4 NC 9 NC
5 GND

)URQWYLHZ
069
GND
MS18659V1
DC +5V
Connectors UM1057
36/66 Doc ID 018499 Rev 5
3.15 Smartcard connector CN20
Figure 16. Smartcard connector CN20

3.16 ST-LINK/V2 connector CN21
The USB type B connector CN21 is for ST-LINK/V2 connected between the
STM3220G-EVAL evaluation board and the PC for board debugging.
3.17 Camera extension connector CN23

Table 31. Smartcard connector CN20
Pin Description Pin Description
1 VCC 5 GND
2 RST 6 NC
3 CLK 7 I/O
4 NC 8 NC
17 Card presence detection pin 18 Card presence detection pin
1 2 3 4
5 6 7 8
Front view
17 18
MS19175V1
Table 32. Camera extension connector CN23
Pin Description Pin Description
1 +1V8 2 +1V8
3 GND 4 GND
5 NC 6 NC
7 GND 8 GND
9 NC 10 NC
11 GND 12 GND
13 SCL 14 SDA
15 Camera_Plug 16 GND
17 Camera_RST 18 NC
UM1057 Connectors
Doc ID 018499 Rev 5 37/66
3.18 STM3220G-EVAL pinout

19 Camera_XSDN 20 Camera_CLK
21 GND 22 GND
23 DCMI_D0 24 DCMI_D1
25 DCMI_D2 26 DCMI_D3
27 DCMI_D4 28 DCMI_D5
29 DCMI_D6 30 DCMI_D7
31 HSYSC 32 VSYSC
33 PIXCLK 34 NC
35 NC 36 NC
37 GND 38 GND
39 +2V8 40 +2V8
Table 32. Camera extension connector CN23
Pin Description Pin Description
Table 33. STM3220G-EVAL pinout
Pin Pin name Description
A2 PE2 TRACE_CLK / FSMC_A23
A1 PE3 TRACE_D0 / FSMC_A19
B1 PE4 TRACE_D1 / FSMC_A20
B2 PE5 TRACE_D2 / FSMC_A21
B3 PE6 TRACE_D3 / FSMC_A22
C1 VBAT VBAT
D2 PI8- ANTI TAMP2 LCD_HSYNC
D1 PC13-ANTI_TAMP ANTI-TAMPER_BUTTON
E1 PC14-OSC32_IN 32K_OSC
F1 PC15-OSC32_OUT 32K_OSC
D3 PI9 LED2
E3 PI10 ETHER_RX_ER
E4 PI11 USB_HS_DIR
F2 VSS_13
F3 VDD_13
E2 PF0 FSMC_A0
H3 PF1 FSMC_A1
H2 PF2 FSMC_A2
J2 PF3 FSMC_A3
Connectors UM1057
38/66 Doc ID 018499 Rev 5
J3 PF4 FSMC_A4
K3 PF5 FSMC_A5
G2 VSS_5
G3 VDD_5
K2 PF6 SmartCard_OFF
K1 PF7 SmartCard_RESET
L3 PF8 LCD_CS
L2 PF9 POTENTIOMETER
L1 PF10 Audio_IN
G1 PH0 - OSC_IN OSC_IN
H1 PH1 - OSC_OUT OSC_OUT
J1 NRST RESET_BUTTON
M2 PC0 USB_HS_STP
M3 PC1 ETHER_MDC / MC_ADC123_11 pin 15 (Current A)
M4 PC2 ETHER_TXD2 / MC_ADC123_1 2 pin 17 (Current B)
M5 PC3 ETHER_TX_CLK / MC_ADC123_13 pin 19 (Current C)
M1 VSSA
N1 VREF-
P1 VREF+
R1 VDDA
N3 PA0-WKUP WAKEUP_BUTTON
N2 PA1 ETHER_RX_CLK
P2 PA2 ETHER_MDIO
F4 PH2 ETHER_CRS
G4 PH3 ETHER_COL
H4 PH4 USB_HS_NXT
J4 PH5 USB_FS_POWER_ON
R2 PA3 USB_HS_D0
L4 BYPASS BYPASS
K4 VDD_4
N4 PA4 Audio_DAC_OUT
P4 PA5 USB_HS_CK
P3 PA6 CAM_PIXCK
R3 PA7 ETHER_DV
N5 PC4 ETHER_RXD0 / MC_ADC12_14 pin 14 (Bus voltage)
Table 33. STM3220G-EVAL pinout (continued)
Pin Pin name Description
UM1057 Connectors
Doc ID 018499 Rev 5 39/66
P5 PC5
ETHER_RXD1 / MC_ADC12_15 pin 26 (Heatsink
temperature)
R5 PB0 USB_HS_D1
R4 PB1 USB_HS_D2
M6 PB2 BOOT1 /
R6 PF11 USB_FS_OVERCURRENT
P6 PF12 FSMC_A6
M8 VSS6
N8 VDD_6
N6 PF13 FSMC_A7
R7 PF14 FSMC_A8
P7 PF15 FSMC_A9
N7 PG0 FSMC_A10
M7 PG1 FSMC_A11
R8 PE7 FSMC_D4
P8 PE8 FSMC_D5
P9 PE9 FSMC_D6
M9 VSS_7
N9 VDD_7
R9 PE10 FSMC_D7
P10 PE11 FSMC_D8
R10 PE12 FSMC_D9
N11 PE13 FSMC_D10
P11 PE14 FSMC_D11
R11 PE15 FSMC_D12
R12 PB10 USB_HS_D3
R13 PB11 ULPI_D4
M10 VCAP1 VCAP / 1.2V
N10 VDD_1
M11 PH6 ETHER_RXD2
N12 PH7 ETHER_RXD3
M12 PH8 CAM_HSYNC / MC_NTC_bypass
M13 PH9 CAM_D0
L13 PH10 CAM_D1 / MC_TIM5_ETR pin 27 (PFC SYNC)
L12 PH11 CAM_D2 / MC_TIM3_CH2 pin 27 (PFCSYNC)
Table 33. STM3220G-EVAL pinout (continued)
Pin Pin name Description
Connectors UM1057
40/66 Doc ID 018499 Rev 5
K12 PH12 CAM_D3 / TIM5_CH3 pin 29 (PFCPWM)
H12 VSS_14
J12 VDD_14
P12 PB12 ULPI_D5
P13 PB13 ULPI_D6 / CAN2_TX
R14 PB14 ETHER_INT
R15 PB15 OneNAND_INT
P15 PD8 FSMC_D13
P14 PD9 FSMC_D14
N15 PD10 FSMC_D15
N14 PD11 FSMC_A16
N13 PD12 FSMC_A17 / MC_TIM4_CH2 pin 33 (EnB)
M15 PD13 FSMC_A18 / MC_TIM4_CH2 pin 33 (EnB)
J13 VDD_8
M14 PD14 FSMC_D0
L14 PD15 FSMC_D1
L15 PG2 FSMC_A12
K15 PG3 FSMC_A13
K14 PG4 FSMC_A14
K13 PG5 FSMC_A15
J15 PG6 LED0
J14 PG7 SmartCard_CK
H14 PG8 LED1
G12 VSS_9
H13 VDD_9
H15 PC6 SmartCard_IO / Audio_I2S_ MCK
G15 PC7 LED3
G14 PC8 SDIO_D0/ MC_TIM3_CH3 pin23 (Dissipative Brake)
F14 PC9 SDIO_D1 I2S_CKIN
F15 PA8 MCO
E15 PA9 USB_FS_VBUS
D15 PA10 USB_FS_ID
C15 PA11 USB_FS_DM
B15 PA12 USB_FS_DP
A15 PA13 JTAG_TMS
Table 33. STM3220G-EVAL pinout (continued)
Pin Pin name Description
UM1057 Connectors
Doc ID 018499 Rev 5 41/66
F13 VCAP2 VCAP / 1.2V
F12 VSS 2
G13 VDD_2
E12 PH13 MC_TIM8_CH1N pin 5 (UL) / MicroSD Card detect
E13 PH14 CAM_D4 / MC_TIM8_CH2N pin 9 (VL)
D13 PH15 MC_TIM8_CH3N pin 13 (WL) / SmartCard_3/5V
E14 PI0 Audio_I2S_WS
D14 PI1 Audio_I2S_CK
C14 PI2 Expander_INT
C13 PI3 Audio_I2S_DOUT
D9 VSS_15
C9 VDD_15
A14 PA14 JTAG_TCK
A13 PA15 JTAG_TDI
B14 PC10 SDIO_D2 / RS232_TX
B13 PC11 SDIO_D3 / RS232_RX
A12 PC12 SDIO_CK
B12 PD0 FSMC_D2 / CAN1_RX
C12 PD1 FSMC_D3 / CAN1_TX
D12 PD2 SDIO_CMD
D11 PD3 FSMC_CLK
D10 PD4 FSMC_NOE
C11 PD5 FSMC_NWE
D8 VSS_10
C8 VDD_10
B11 PD6 FSMC_NWAIT
A11 PD7 FSMC_NE1
C10 PG9 FSMC_NE2
B10 PG10 FSMC_NE3
B9 PG11 ETHER_TXEN
B8 PG12 SmartCard_CMDVCC
A8 PG13 ETHER_TXD0
A7 PG14 ETHER_TXD1
D7 VSS_11
C7 VDD_11
Table 33. STM3220G-EVAL pinout (continued)
Pin Pin name Description
Connectors UM1057
42/66 Doc ID 018499 Rev 5
B7 PG15 USER_BUTTON
A10 PB3 JTAG_TDO
A9 PB4 JTAG_TRST
A6 PB5 CAN2_RX / ETHER_PPS_OUT / ULPI_D7
B6 PB6 I2C1_SCL
B5 PB7 FSMC_NL
D6 BOOT0 BOOT0
A5 PB8 ETHER_TXD3 / MC_TIM4_CH3 pin 34 (Index)
B4 PB9 I2C1_SDA
A4 PE0 FSMC_NBL0
A3 PE1 FSMC_NBL1
D5 VSS_SA
C6 VDD_3 POR Disable
C5 VDD_SA
D4 PI4 CAM_D5 / MC_TIM8_BKIN pin 1 (Stop)
C4 PI5 CAM_VSYNC / MC_TIM8_CH1 pin 3 (UH)
C3 PI6 CAM_D6 / MC_TIM8_CH2 pin 7 (VH)
C2 PI7 CAM_D7 / MC_TIM8_CH3 pin 11 (WH)
Table 33. STM3220G-EVAL pinout (continued)
Pin Pin name Description
UM1057 Schematics
Doc ID 018499 Rev 5 43/66
4 Schematics
The following schematic diagrams are listed:
Figure 17: STM3220G-EVAL on page 44
Figure 18: MCU on page 45
Figure 19: USB OTG HS on page 46
Figure 20: Camera on page 47
Figure 21: Ethernet on page 48
Figure 22: Audio on page 49
Figure 23: USB OTG FS on page 50
Figure 24: SRAM on page 51
Figure 25: LCD on page 52
Figure 26: RS-232 and IrDA on page 53
Figure 27: CAN on page 54
Figure 28: I/O peripherals on page 55
Figure 29: I/O Expandor on page 56
Figure 30: MicroSD Card on page 57
Figure 31: Motor control on page 58
Figure 32: Smartcard on page 59
Figure 33: JTAG and Trace on page 60
Figure 34: Power on page 61
Figure 35: Extension connector on page 62
Figure 36: ST-LINK/V2 on page 63
Figure 37: 3.2 LCD module with SPI and 16-bit interface on page 64
Schematics UM1057
44/66 Doc ID 018499 Rev 5
Figure 17. STM3220G-EVAL
1 1
2 2
3 3
4 4
5 5
6 6
7 7
8 8
D
D
C
C
B
B
A
A
S
T
M
i
c
r
o
e
l
e
c
t
r
o
n
i
c
s
T
itle
:
N
u
m
b
e
r
:
R
e
v
:
S
h
e
e
to
f
C
.
2
(
P
C
B
.
S
C
H
)
D
a
te
:
1
1
/
1
1
/
2
0
1
1
M
B
7
8
6
1
2
0
S
T
M
3
2

2
0
-
2
1
-
4
5
-
4
6

G
-
E
V
A
L
I
2
S
_
W
S
I
2
S
_
S
D
I
2
S
_
S
C
K
A
u
d
io
_
S
C
L
A
u
d
io
_
S
D
A
A
u
d
io
_
R
S
T
I
2
S
_
M
C
K
A
u
d
io
_
D
A
C
_
O
U
T
A
u
d
io
_
I
N
I
2
S
_
C
K
I
N
M
C
O
U
_
A
u
d
io
A
u
d
io
.S
c
h
D
o
c
D
C
M
I
_
P
I
X
C
K
D
C
M
I
_
V
S
Y
N
C
D
C
M
I
_
D
[
0
..7
]
D
C
M
I
_
H
S
Y
N
C
C
a
m
e
r
a
_
R
S
T
I
2
C
1
_
S
C
L
I
2
C
1
_
S
D
A
C
a
m
e
r
a
_
X
S
D
N
C
a
m
e
r
a
_
P
L
U
G
U
_
C
a
m
e
r
a
c
o
n
n
e
c
to
r
C
a
m
e
r
a
c
o
n
n
e
c
to
r
.S
c
h
D
o
c
C
A
N
1
_
T
X
C
A
N
1
_
R
X
C
A
N
2
_
T
X
C
A
N
2
_
R
X
U
_
C
A
N
C
A
N
.S
c
h
D
o
c
M
I
I
_
T
X
D
0
M
I
I
_
T
X
_
E
N
M
I
I
_
T
X
_
C
L
K
M
I
I
_
R
X
D
0
M
I
I
_
R
X
_
E
R
M
I
I
_
R
X
_
D
V
/
R
M
I
I
_
C
R
S
D
V
M
I
I
_
R
X
_
C
L
K
/R
M
I
I
_
R
E
F
_
C
L
K
M
I
I
_
C
O
L
M
I
I
_
C
R
S
M
I
I
_
M
D
C
M
I
I
_
M
D
I
O
M
C
O
R
E
S
E
T
#
M
I
I
_
T
X
D
1
M
I
I
_
T
X
D
2
M
I
I
_
T
X
D
3
M
I
I
_
R
X
D
1
M
I
I
_
R
X
D
2
M
I
I
_
R
X
D
3
M
I
I
_
I
N
T
U
_
E
th
e
r
n
e
t
E
t
h
e
r
n
e
t.S
c
h
D
o
c
R
E
S
E
T
#
P
A
[
0
..1
5
]
P
B
[
0
..1
5
]
P
C
[
0
..1
5
]
P
D
[
0
..1
5
]
P
E
[
0
..1
5
]
P
F
[
0
..1
5
]
P
G
[
0
..1
5
]
P
H
[
0
..1
5
]
P
I
[
0
..1
1
]
B
O
O
T
0
U
_
E
x
te
n
s
io
n
C
o
n
n
e
c
to
r
E
x
te
n
s
io
n
C
o
n
n
e
c
to
r
.S
c
h
D
o
c
L
E
D
4
L
E
D
3
L
E
D
1
L
E
D
2
P
o
te
n
tio
m
e
te
r
J
O
Y
_
S
E
L
J
O
Y
_
D
O
W
N
J
O
Y
_
L
E
F
T
J
O
Y
_
R
I
G
H
T
J
O
Y
_
U
P
A
n
ti_
T
a
m
p
e
r
W
A
K
E
U
P
U
s
e
r
_
B
u
tto
n
E
E
P
R
O
M
_
S
C
L
E
E
P
R
O
M
_
S
D
A
M
E
M
S
_
S
C
L
M
E
M
S
_
S
D
A
M
E
M
S
_
I
N
T
1
M
E
M
S
_
I
N
T
2
U
_
I
O
P
e
r
ip
h
e
r
a
ls
I
O
P
e
r
ip
h
e
r
a
ls
.S
c
h
D
o
c
I
O
_
E
x
p
a
n
d
o
r
_
S
C
K
I
O
_
E
x
p
a
n
d
o
r
_
S
D
A
I
O
_
E
x
p
a
n
d
o
r
_
I
N
T
T
o
u
c
h
S
c
r
e
e
n
_
X
+
T
o
u
c
h
S
c
r
e
e
n
_
X
-
T
o
u
c
h
S
c
r
e
e
n
_
Y
+
T
o
u
c
h
S
c
r
e
e
n
_
Y
-
E
X
P
_
I
O
2
E
X
P
_
I
O
3
E
X
P
_
I
O
4
E
X
P
_
I
O
5
E
X
P
_
I
O
6
E
X
P
_
I
O
7
E
X
P
_
I
O
8
E
X
P
_
I
O
9
E
X
P
_
I
O
1
0
E
X
P
_
I
O
1
1
E
X
P
_
I
O
1
2
E
X
P
_
I
O
1
U
_
I
O
_
E
x
p
a
n
d
o
r
I
O
_
E
x
p
a
n
d
o
r
.S
c
h
D
o
c
T
D
I
R
E
S
E
T
#
T
R
A
C
E
_
D
3
T
R
A
C
E
_
D
2
T
R
A
C
E
_
D
1
T
R
A
C
E
_
D
0
T
R
A
C
E
_
C
K
T
R
S
T
T
M
S
/S
W
D
I
O
T
C
K
/S
W
C
L
K
T
D
O
/S
W
O
U
_
J
T
A
G
&
T
r
a
c
e
J
T
A
G
&
T
r
a
c
e
.S
c
h
D
o
c
T
o
u
c
h
S
c
r
e
e
n
_
X
+
T
o
u
c
h
S
c
r
e
e
n
_
X
-
T
o
u
c
h
S
c
r
e
e
n
_
Y
+
T
o
u
c
h
S
c
r
e
e
n
_
Y
-
R
E
S
E
T
#
D
[
0
..1
5
]
A
[
0
..2
0
]
F
S
M
C
_
N
W
E
F
S
M
C
_
N
O
E
F
S
M
C
_
N
E
3
L
C
D
_
V
S
Y
N
C
L
C
D
_
H
S
Y
N
C
L
C
D
_
C
S
P
C
[
0
..1
5
]
U
_
L
C
D
L
C
D
.S
c
h
D
o
c
P
A
[
0
..1
5
]
P
B
[
0
..1
5
]
P
C
[
0
..1
5
]
P
D
[
0
..1
5
]
P
E
[
0
..1
5
]
R
E
S
E
T
#
B
o
o
tlo
a
d
e
r
_
B
O
O
T
0
B
o
o
tlo
a
d
e
r
_
R
E
S
E
T
D
C
M
I
_
P
I
X
C
K
D
C
M
I
_
V
S
Y
N
C
D
C
M
I
_
D
[
0
..7
]
D
C
M
I
_
H
S
Y
N
C
M
C
O
U
L
P
I
_
D
[
0
..7
]
U
L
P
I
_
C
L
K
U
L
P
I
_
D
I
R
U
L
P
I
_
N
X
T
U
L
P
I
_
S
T
P
R
S
2
3
2
/I
r
D
A
_
T
X
R
S
2
3
2
/I
r
D
A
_
R
X
O
T
G
_
F
S
_
P
o
w
e
r
S
w
itc
h
O
n
O
T
G
_
F
S
_
O
v
e
r
C
u
r
r
e
n
t
O
T
G
_
F
S
_
D
M
O
T
G
_
F
S
_
D
P
O
T
G
_
F
S
_
I
D
I
2
S
_
W
S
I
2
S
_
S
D
I
2
S
_
S
C
K
I
2
S
_
M
C
K
A
u
d
io
_
D
A
C
_
O
U
T
C
A
N
1
_
T
X
C
A
N
1
_
R
X
C
A
N
2
_
T
X
C
A
N
2
_
R
X
M
I
I
_
T
X
D
0
M
I
I
_
T
X
_
E
N
M
I
I
_
T
X
_
C
L
K
M
I
I
_
R
X
D
0
M
I
I
_
R
X
_
E
R
M
I
I
_
R
X
_
D
V
/
R
M
I
I
_
C
R
S
D
V
M
I
I
_
R
X
_
C
L
K
/R
M
I
I
_
R
E
F
_
C
L
K
M
I
I
_
C
O
L
M
I
I
_
C
R
S
M
I
I
_
M
D
C
M
I
I
_
M
D
I
O
M
I
I
_
T
X
D
1
M
I
I
_
T
X
D
2
M
I
I
_
T
X
D
3
M
I
I
_
R
X
D
1
M
I
I
_
R
X
D
2
M
I
I
_
R
X
D
3
M
I
I
_
I
N
T
L
E
D
4
L
E
D
3
L
E
D
1
L
E
D
2
P
o
te
n
tio
m
e
te
r
A
n
ti_
T
a
m
p
e
r
W
A
K
E
U
P
U
s
e
r
_
B
u
tto
n
I
2
C
1
_
S
C
L
I
2
C
1
_
S
D
A
I
O
_
E
x
p
a
n
d
o
r
_
I
N
T
T
D
I
T
R
A
C
E
_
D
3
T
R
A
C
E
_
D
2
T
R
A
C
E
_
D
1
T
R
A
C
E
_
D
0
T
R
A
C
E
_
C
K
T
R
S
T
T
M
S
/S
W
D
I
O
T
C
K
/S
W
C
L
K
T
D
O
/S
W
O
D
[
0
..1
5
]
A
[
0
..2
0
]
F
S
M
C
_
N
E
3
M
ic
r
o
S
D
C
a
r
d
_
C
L
K
M
ic
r
o
S
D
C
a
r
d
_
C
M
D
M
ic
r
o
S
D
C
a
r
d
_
D
0
M
ic
r
o
S
D
C
a
r
d
_
D
1
M
ic
r
o
S
D
C
a
r
d
_
D
2
M
ic
r
o
S
D
C
a
r
d
_
D
3
M
ic
r
o
S
D
C
a
r
d
_
D
e
te
c
t
M
C
_
E
m
e
r
g
e
n
c
y
S
T
O
P
M
C
_
C
u
r
r
e
n
tA
M
C
_
C
u
r
r
e
n
t
B
M
C
_
C
u
r
r
e
n
t
C
M
C
_
P
F
C
s
y
n
c
1
M
C
_
P
F
C
s
y
n
c
2
M
C
_
W
L
M
C
_
V
H
M
C
_
V
L
M
C
_
U
H
M
C
_
U
L
M
C
_
W
H
M
C
_
N
T
C
M
C
_
D
is
s
ip
a
tiv
e
B
r
a
k
e
M
C
_
P
F
C
p
w
m
M
C
_
E
n
A
M
C
_
E
n
B
M
C
_
H
e
a
ts
in
k
T
e
m
p
e
r
a
t
u
r
e
M
C
_
B
u
s
V
o
lta
g
e
M
C
_
E
n
I
n
d
e
x
S
m
a
r
tC
a
r
d
_
3
/
5
V
S
m
a
r
tC
a
r
d
_
I
O
S
m
a
r
tC
a
r
d
_
R
S
T
S
m
a
r
tC
a
r
d
_
C
L
K
S
m
a
r
tC
a
r
d
_
O
F
F
S
m
a
r
tC
a
r
d
_
C
M
D
V
C
C
F
S
M
C
_
N
E
1
F
S
M
C
_
N
E
2
F
S
M
C
_
N
W
E
F
S
M
C
_
N
O
E
F
S
M
C
_
B
L
N
0
F
S
M
C
_
B
L
N
1
F
S
M
C
_
N
W
A
I
T
F
S
M
C
_
C
L
K
F
S
M
C
_
N
L
O
n
e
N
A
N
D
_
I
N
T
P
F
[
0
..1
5
]
P
G
[
0
..1
5
]
P
H
[
0
..1
5
]
P
I
[
0
..1
1
]
B
O
O
T
0
A
u
d
io
_
I
N
L
C
D
_
V
S
Y
N
C
L
C
D
_
H
S
Y
N
C
L
C
D
_
C
S
I
2
S
_
C
K
I
N
U
_
M
C
U
M
C
U
.S
c
h
D
o
c
M
ic
r
o
S
D
C
a
r
d
_
C
L
K
M
ic
r
o
S
D
C
a
r
d
_
C
M
D
M
ic
r
o
S
D
C
a
r
d
_
D
0
M
ic
r
o
S
D
C
a
r
d
_
D
1
M
ic
r
o
S
D
C
a
r
d
_
D
2
M
ic
r
o
S
D
C
a
r
d
_
D
3
M
ic
r
o
S
D
C
a
r
d
_
D
e
te
c
t
U
_
M
ic
r
o
S
D
C
a
r
d
M
ic
r
o
S
D
C
a
r
d
.S
c
h
D
o
c
M
C
_
E
m
e
r
g
e
n
c
y
S
T
O
P
M
C
_
C
u
r
r
e
n
tA
M
C
_
C
u
r
r
e
n
t
B
M
C
_
C
u
r
r
e
n
t
C
M
C
_
P
F
C
s
y
n
c
1
M
C
_
P
F
C
s
y
n
c
2
M
C
_
W
L
M
C
_
V
H
M
C
_
V
L
M
C
_
U
H
M
C
_
U
L
M
C
_
W
H
M
C
_
N
T
C
M
C
_
D
is
s
ip
a
tiv
e
B
r
a
k
e
M
C
_
P
F
C
p
w
m
M
C
_
E
n
A
M
C
_
E
n
B
M
C
_
H
e
a
ts
in
k
T
e
m
p
e
r
a
t
u
r
e
M
C
_
B
u
s
V
o
lta
g
e
M
C
_
E
n
I
n
d
e
x
U
_
M
o
to
r
C
o
n
tr
o
l
M
o
to
r
C
o
n
tr
o
l.S
c
h
D
o
c
U
_
P
o
w
e
r
P
o
w
e
r
.S
c
h
D
o
c
A
[
0
..2
0
]
D
[
0
..1
5
]
F
S
M
C
_
N
E
1
F
S
M
C
_
N
E
2
F
S
M
C
_
N
W
E
F
S
M
C
_
N
O
E
F
S
M
C
_
B
L
N
0
F
S
M
C
_
B
L
N
1
F
S
M
C
_
N
W
A
I
T
F
S
M
C
_
C
L
K
F
S
M
C
_
N
L
O
n
e
N
A
N
D
_
I
N
T
U
_
S
R
A
M
&
O
n
e
N
A
N
D
S
R
A
M
&
O
n
e
N
A
N
D
.S
c
h
D
o
c
S
m
a
r
tC
a
r
d
_
3
/
5
V
S
m
a
r
tC
a
r
d
_
I
O
S
m
a
r
tC
a
r
d
_
R
S
T
S
m
a
r
tC
a
r
d
_
C
L
K
S
m
a
r
tC
a
r
d
_
O
F
F
S
m
a
r
tC
a
r
d
_
C
M
D
V
C
C
U
_
S
m
a
r
t
C
a
r
d
S
m
a
r
tC
a
r
d
.S
c
h
D
o
c
R
S
2
3
2
/I
r
D
A
_
T
X
R
S
2
3
2
/I
r
D
A
_
R
X
B
o
o
tlo
a
d
e
r
_
B
O
O
T
0
B
o
o
tlo
a
d
e
r
_
R
E
S
E
T
U
_
U
S
A
R
T
&
I
r
D
A
U
S
A
R
T
&
I
r
D
A
.S
c
h
D
o
c
O
T
G
_
F
S
_
P
o
w
e
r
S
w
itc
h
O
n
O
T
G
_
F
S
_
O
v
e
r
C
u
r
r
e
n
t
O
T
G
_
F
S
_
D
M
O
T
G
_
F
S
_
D
P
O
T
G
_
F
S
_
I
D
U
_
U
S
B
_
O
T
G
_
F
S
U
S
B
_
O
T
G
_
F
S
.S
c
h
D
o
c
U
L
P
I
_
D
[
0
..7
]
U
L
P
I
_
C
L
K
U
L
P
I
_
D
I
R
U
L
P
I
_
N
X
T
U
L
P
I
_
S
T
P
R
E
S
E
T
#
U
_
U
S
B
_
O
T
G
_
H
S
U
S
B
_
O
T
G
_
H
S
.S
c
h
D
o
c
R
1
1
1
0
R
1
0
3
0
R
1
3
3
1
K
5
R
1
1
8
1
K
5
+
2
V
8
T
D
I
T
R
S
T
T
M
S
/S
W
D
I
O
T
C
K
/S
W
C
L
K
T
D
O
/S
W
O
R
E
S
E
T
#
U
_
S
T
_
L
I
N
K
S
T
_
L
I
N
K
.S
C
H
D
O
C
M
o
d
if
ic
a
tio
n
s
o
n
P
C
B
r
e
v
.C
.1
:
1
.A
d
d
c
a
p
a
c
ito
r
C
X
1
.
2
.A
d
d
R
E
S
E
T
#
c
o
n
n
e
c
tio
n
o
n
p
in
C
4
o
f
U
8
.
3
.A
d
d
S
B
1
&
R
2
1
2
r
e
la
te
d
c
o
n
f
ig
u
r
a
tio
n
ta
b
le
.
4
.C
h
a
n
g
e
F
4
M
C
U
p
a
r
t
n
u
m
b
e
r
.
5
.R
e
m
o
v
e
P
C
1
2
f
r
o
m
L
C
D
e
x
te
n
tio
n
c
o
n
n
e
c
to
r
C
N
2
2
M
o
d
if
ic
a
tio
n
s
o
n
P
C
B
r
e
v
.C
.2
:
1
.A
d
d
n
o
te
to
h
ig
h
lig
h
tc
a
p
a
c
ito
r
s
C
3
3
&
C
5
0
a
r
e
c
e
r
a
m
ic
c
a
p
a
c
ito
r
w
it
h
lo
w
E
S
R
.
UM1057 Schematics
Doc ID 018499 Rev 5 45/66
Figure 18. MCU
1 1
2 2
3 3
4 4
5 5
6 6
7 7
8 8
D
D
C
C
B
B
A
A
S
T
M
i
c
r
o
e
l
e
c
t
r
o
n
i
c
s
T
itle
:
N
u
m
b
e
r
:
R
e
v
:
S
h
e
e
to
f
C
.
2
(
P
C
B
.
S
C
H
)
D
a
te
:
1
1
/
1
1
/
2
0
1
1
M
B
7
8
6
2
2
0
S
T
M
3
2

2
0
-
2
1
-
4
5
-
4
6

G
-
E
V
A
L

M
C
U
P
A
[
0
..1
5
]
P
A
[
0
..1
5
]
P
B
[
0
..1
5
]
P
B
[
0
..1
5
]
P
C
[
0
..1
5
]
P
C
[
0
..1
5
]
P
D
[
0
..1
5
]
P
D
[
0
..1
5
]
P
E
[
0
..1
5
]
P
E
[
0
..1
5
]
1 4
3 2
B
1
R
E
S
E
T
R
1
3
7
d
o
n
o
tf
it
+
3
V
3
C
1
2
3
1
0
0
n
F
C
7
3
2
0
p
F
C
7
2
2
0
p
F
X
4
2
5
M
H
z
(
w
it
h
s
o
c
k
e
t)
R
8
6
3
9
0
R
8
9
1
0
K
+
3
V
3 2
3
1
S
W
2
0
9
.0
3
2
9
0
.0
1
R
E
S
E
T
#
R
8
8
1
0
K
+
3
V
3
2
3 1
S
W
1
0
9
.0
3
2
9
0
.0
1
4
1
3
2
X
3
M
C
3
0
6
-
G
-
0
6
Q
-
3
2
.7
6
8
(
m
a
n
u
f
a
c
t
u
r
e
r
J
F
V
N
Y
)
C
7
1
6
.8
p
F
C
7
0
6
.8
p
F
R
8
5
0
R
8
4
0
T
P
2
P
T
P
_
P
P
S
B
o
o
tlo
a
d
e
r
_
B
O
O
T
0
B
o
o
tlo
a
d
e
r
_
R
E
S
E
T
D
C
M
I
_
P
I
X
C
K
D
C
M
I
_
V
S
Y
N
C
D
C
M
I
_
D
[
0
..7
]
D
C
M
I
_
H
S
Y
N
C
M
C
O
U
L
P
I
_
D
[
0
..7
]
U
L
P
I
_
C
L
K
U
L
P
I
_
D
I
R
U
L
P
I
_
N
X
T
U
L
P
I
_
S
T
P
R
S
2
3
2
/I
r
D
A
_
T
X
R
S
2
3
2
/I
r
D
A
_
R
X
O
T
G
_
F
S
_
P
o
w
e
r
S
w
itc
h
O
n
O
T
G
_
F
S
_
O
v
e
r
C
u
r
r
e
n
t
O
T
G
_
F
S
_
D
M
O
T
G
_
F
S
_
D
P
O
T
G
_
F
S
_
I
D
I
2
S
_
W
S
I
2
S
_
S
D
I
2
S
_
S
C
K
I
2
S
_
M
C
K
A
u
d
io
_
D
A
C
_
O
U
T
C
A
N
1
_
T
X
C
A
N
1
_
R
X
C
A
N
2
_
T
X
C
A
N
2
_
R
X
M
I
I
_
T
X
D
0
M
I
I
_
T
X
_
E
N
M
I
I
_
T
X
_
C
L
K
M
I
I
_
R
X
D
0
M
I
I
_
R
X
_
E
R
M
I
I
_
R
X
_
D
V
/
R
M
I
I
_
C
R
S
D
V
M
I
I
_
R
X
_
C
L
K
/R
M
I
I
_
R
E
F
_
C
L
K
M
I
I
_
C
O
L
M
I
I
_
C
R
S
M
I
I
_
M
D
C
M
I
I
_
M
D
I
O
M
I
I
_
T
X
D
1
M
I
I
_
T
X
D
2
M
I
I
_
T
X
D
3
M
I
I
_
R
X
D
1
M
I
I
_
R
X
D
2
M
I
I
_
R
X
D
3
M
I
I
_
I
N
T
L
E
D
4
L
E
D
3
L
E
D
1
L
E
D
2
P
o
te
n
tio
m
e
te
r
A
n
ti_
T
a
m
p
e
r
W
A
K
E
U
P
U
s
e
r
_
B
u
tto
n
I
2
C
1
_
S
C
L
I
2
C
1
_
S
D
A
I
O
_
E
x
p
a
n
d
o
r
_
I
N
T
T
D
I
T
R
A
C
E
_
D
3
T
R
A
C
E
_
D
2
T
R
A
C
E
_
D
1
T
R
A
C
E
_
D
0
T
R
A
C
E
_
C
K
T
R
S
T
T
M
S
/S
W
D
I
O
T
C
K
/S
W
C
L
K
T
D
O
/S
W
O
D
[
0
..1
5
]
A
[
0
..2
0
]
F
S
M
C
_
N
E
3
M
ic
r
o
S
D
C
a
r
d
_
C
L
K
M
ic
r
o
S
D
C
a
r
d
_
C
M
D
M
ic
r
o
S
D
C
a
r
d
_
D
0
M
ic
r
o
S
D
C
a
r
d
_
D
1
M
ic
r
o
S
D
C
a
r
d
_
D
2
M
ic
r
o
S
D
C
a
r
d
_
D
3
M
ic
r
o
S
D
C
a
r
d
_
D
e
te
c
t
M
C
_
E
m
e
r
g
e
n
c
y
S
T
O
P
M
C
_
C
u
r
r
e
n
tA
M
C
_
C
u
r
r
e
n
t
B
M
C
_
C
u
r
r
e
n
t
C
M
C
_
P
F
C
s
y
n
c
1
M
C
_
P
F
C
s
y
n
c
2
M
C
_
W
L
M
C
_
V
H
M
C
_
V
L
M
C
_
U
H
M
C
_
U
L
M
C
_
W
H
M
C
_
N
T
C
M
C
_
D
is
s
ip
a
tiv
e
B
r
a
k
e
M
C
_
P
F
C
p
w
m
M
C
_
E
n
A
M
C
_
E
n
B
M
C
_
H
e
a
ts
in
k
T
e
m
p
e
r
a
t
u
r
e
M
C
_
B
u
s
V
o
lta
g
e
M
C
_
E
n
I
n
d
e
x
S
m
a
r
tC
a
r
d
_
3
/
5
V
S
m
a
r
tC
a
r
d
_
I
O
S
m
a
r
tC
a
r
d
_
R
S
T
S
m
a
r
tC
a
r
d
_
C
L
K
S
m
a
r
tC
a
r
d
_
O
F
F
S
m
a
r
tC
a
r
d
_
C
M
D
V
C
C
F
S
M
C
_
N
E
1
F
S
M
C
_
N
E
2
F
S
M
C
_
N
W
E
F
S
M
C
_
N
O
E
F
S
M
C
_
B
L
N
0
F
S
M
C
_
B
L
N
1
F
S
M
C
_
N
W
A
I
T
F
S
M
C
_
C
L
K
F
S
M
C
_
N
L
O
n
e
N
A
N
D
_
I
N
T
P
E
0
P
E
1
P
E
2
P
E
3
P
E
4
P
E
5
P
E
6
P
E
7
P
E
8
P
E
9
P
E
1
0
P
E
1
1
P
E
1
2
P
E
1
3
P
E
1
4
P
E
1
5
P
I
0
P
I
1
P
I
2
P
I
3
P
I
4
P
I
5
P
I
6
P
I
7
P
I
8
P
I
9
P
I
1
0
P
I
1
1
P
C
0
P
C
1
P
C
2
P
C
3
P
C
4
P
C
5
P
C
6
P
C
7
P
C
8
P
C
9
P
C
1
0
P
C
1
1
P
C
1
2
P
C
1
3
P
C
1
4
P
C
1
5
P
F
0
P
F
1
P
F
2
P
F
3
P
F
4
P
F
5
P
F
6
P
F
7
P
F
8
P
F
9
P
F
1
0
P
F
1
1
P
F
1
2
P
F
1
3
P
F
1
4
P
F
1
5
A
0
A
1
A
2
A
3
A
4
A
5
P
A
0
P
A
1
P
A
2
P
A
3
P
A
4
P
A
5
P
A
6
P
A
7
P
A
8
P
A
1
0
P
A
1
1
P
A
1
2
P
A
1
3
P
A
1
4
P
A
1
5
P
H
0
P
H
1
P
H
2
P
H
3
P
H
4
P
H
5
P
H
6
P
H
7
P
H
8
P
H
9
P
H
1
0
P
H
1
1
P
H
1
2
P
H
1
3
P
H
1
4
P
H
1
5
U
L
P
I
_
D
0
U
L
P
I
_
D
1
U
L
P
I
_
D
2
P
B
0
P
B
1
P
B
2
P
B
3
P
B
4
P
B
5
P
B
6
P
B
7
P
B
8
P
B
9
P
B
1
0
P
B
1
1
P
B
1
2
P
B
1
3
P
B
1
4
P
B
1
5
A
6
A
7
A
8
A
9
P
G
0
P
G
1
P
G
2
P
G
3
P
G
4
P
G
5
P
G
6
P
G
7
P
G
8
P
G
9
P
G
1
0
P
G
1
1
P
G
1
2
P
G
1
3
P
G
1
4
P
G
1
5
A
1
0
A
1
1
U
L
P
I
_
D
3
D
C
M
I
_
D
0
D
C
M
I
_
D
1
D
C
M
I
_
D
2
D
C
M
I
_
D
3
P
D
0
P
D
1
P
D
2
P
D
3
P
D
4
P
D
5
P
D
6
P
D
7
P
D
8
P
D
9
P
D
1
0
P
D
1
1
P
D
1
2
P
D
1
3
P
D
1
4
P
D
1
5
D
1
3
D
1
4
D
1
5
D
4
D
5
D
6
D
7
D
8
D
9
D
1
0
D
1
1
D
1
2
A
1
6
A
1
7
D
0
D
1
A
1
2
A
1
3
A
1
4
A
1
5
D
C
M
I
_
D
4
D
2
D
3
D
C
M
I
_
D
5
D
C
M
I
_
D
6
D
C
M
I
_
D
7
D
C
M
I
_
D
[
0
..7
]
A
[
0
..2
0
]
D
[
0
..1
5
]
U
L
P
I
_
D
[
0
..7
]
V
B
U
S
_
F
S
P
F
[
0
..1
5
]
P
F
[
0
..1
5
]
P
G
[
0
..1
5
]
P
G
[
0
..1
5
]
P
H
[
0
..1
5
]
P
H
[
0
..1
5
]
P
I
[
0
..1
1
]
P
I
[
0
..1
1
]
J
P
2
1
B
T
1
C
R
1
2
2
0
h
o
ld
e
r
L
3
B
E
A
D
C
7
4
1
u
F
C
6
9
1
0
0
n
F
R
8
7
4
7
V
D
D
A
V
D
D
_
M
C
U
V
R
E
F
+ C
6
8
1
0
0
n
F
T
P
5
V
R
E
F
1
2
3
J
P
1
9
+
3
V
3
V
D
D
_
M
C
U
C
6
0
1
0
0
n
F
V
D
D
_
M
C
U
C
4
8
1
0
0
n
F
C
3
7
1
0
0
n
F
C
3
5
1
0
0
n
F
C
5
4
1
0
0
n
F
C
6
7
1
0
0
n
F
C
3
4
1
0
0
n
F
C
5
7
1
0
0
n
F
V
D
D
_
M
C
U
C
5
6
1
0
0
n
F
C
3
6
1
0
0
n
F
C
6
1
1
0
0
n
F
C
6
6
1
0
0
n
F
C
5
3
1
0
0
n
F
C
4
9
1
0
0
n
F
C
6
5
1
0
0
n
F
I
O
s
M
u
ltip
le
x
e
d
I
O
s
M
u
ltip
le
x
e
d
U
L
P
I
_
D
4
U
L
P
I
_
D
5
U
L
P
I
_
D
6
U
L
P
I
_
D
7
R
7
1
1
0
K
C
7
5
1
u
F
B
O
O
T
0
B
O
O
T
0
D
1
B
A
T
6
0
J
F
I
L
M
J
P
2
9
D
2
B
A
T
6
0
J
F
I
L
M
T
P
1
4
M
C
O
1
T
P
1
6
C
P
U
C
K
T
P
1
5
M
C
O
2
A
1
8
A
1
9
A
2
0
A
u
d
io
_
I
N
J
P
3
4
S
B
1
0
S
B
1
1
S
B
1
2
S
B
1
4
S
B
1
5
S
B
1
6
R
3
4
0
R
2
0
4
[
N
/A
]
R
5
8
0
R
2
0
5
[
N
/A
]
1
2
3
J
P
1 1
2
3
J
P
2
P
E
2
A
2
P
E
3
A
1
P
E
4
B
1
P
E
5
B
2
P
E
6
B
3
P
I
8
D
2
P
C
1
3
D
1
P
C
1
4
E
1
P
C
1
5
F
1
P
I
9
D
3
P
I
1
0
E
3
P
I
1
1
E
4
P
F
0
E
2
P
F
1
H
3
P
F
2
H
2
P
F
3
J
2
P
F
4
J
3
P
F
5
K
3
P
F
6
K
2
P
F
7
K
1
P
F
8
L
3
P
F
9
L
2
P
F
1
0
L
1
P
H
0
G
1
P
H
1
H
1
N
R
S
T
J
1
P
C
0
M
2
P
C
1
M
3
P
C
2
M
4
P
C
3
M
5
P
A
0
N
3
P
A
1
N
2
P
A
2
P
2
P
H
2
F
4
P
H
3
G
4
P
H
4
H
4
P
H
5
J
4
P
A
3
R
2
P
A
4
N
4
P
A
5
P
4
P
A
6
P
3
P
A
7
R
3
P
C
4
N
5
P
C
5
P
5
P
B
0
R
5
P
B
1
R
4
P
B
2
M
6
P
F
1
1
R
6
P
F
1
2
P
6
P
F
1
3
N
6
P
F
1
4
R
7
P
F
1
5
P
7
P
G
0
N
7
P
G
1
M
7
P
E
7
R
8
P
E
8
P
8
P
E
9
P
9
P
E
1
0
R
9
P
E
1
1
P
1
0
P
E
1
2
R
1
0
P
E
1
3
N
1
1
P
E
1
4
P
1
1
P
E
1
5
R
1
1
P
B
1
0
R
1
2
P
B
1
1
R
1
3
P
H
6
M
1
1
P
H
7
N
1
2
P
H
8
M
1
2
P
H
9
M
1
3
P
H
1
0
L
1
3
P
H
1
1
L
1
2
P
H
1
2
K
1
2
P
B
1
2
P
1
2
P
B
1
3
P
1
3
P
B
1
4
R
1
4
P
B
1
5
R
1
5
P
D
8
P
1
5
P
D
9
P
1
4
P
D
1
0
N
1
5
P
D
1
1
N
1
4
P
D
1
2
N
1
3
P
D
1
3
M
1
5
P
D
1
4
M
1
4
P
D
1
5
L
1
4
P
G
2
L
1
5
P
G
3
K
1
5
P
G
4
K
1
4
P
G
5
K
1
3
P
G
6
J
1
5
P
G
7
J
1
4
P
G
8
H
1
4
P
C
6
H
1
5
P
C
7
G
1
5
P
C
8
G
1
4
P
C
9
F
1
4
P
A
8
F
1
5
P
A
9
E
1
5
P
A
1
0
D
1
5
P
A
1
1
C
1
5
P
A
1
2
B
1
5
P
A
1
3
A
1
5
P
H
1
3
E
1
2
P
H
1
4
E
1
3
P
H
1
5
D
1
3
P
I
0
E
1
4
P
I
1
D
1
4
P
I
2
C
1
4
P
I
3
C
1
3
P
A
1
4
A
1
4
P
A
1
5
A
1
3
P
C
1
0
B
1
4
P
C
1
1
B
1
3
P
C
1
2
A
1
2
P
D
0
B
1
2
P
D
1
C
1
2
P
D
2
D
1
2
P
D
3
D
1
1
P
D
4
D
1
0
P
D
5
C
1
1
P
D
6
B
1
1
P
D
7
A
1
1
P
G
9
C
1
0
P
G
1
0
B
1
0
P
G
1
1
B
9
P
G
1
2
B
8
P
G
1
3
A
8
P
G
1
4
A
7
P
G
1
5
B
7
P
B
3
A
1
0
P
B
4
A
9
P
B
5
A
6
P
B
6
B
6
P
B
7
B
5
B
O
O
T
0
D
6
P
B
8
A
5
P
B
9
B
4
P
E
0
A
4
P
E
1
A
3
P
I
4
D
4
P
I
5
C
4
P
I
6
C
3
P
I
7
C
2
U
1
6
A
S
T
M
3
2
F
2
0
7
I
G
H
6
V
B
A
T
C
1
V
S
S
F
2
V
D
D
F
3
V
S
S
G
2
V
D
D
G
3
V
R
E
F
-
N
1
V
S
S
A
M
1
V
R
E
F
+
P
1
V
D
D
A
R
1
B
Y
P
A
S
S
_
R
E
G
L
4
V
D
D
K
4
V
S
S
M
8
V
D
D
N
8
V
S
S
M
9
V
D
D
N
9
V
C
A
P
M
1
0
V
D
D
N
1
0
V
S
S
H
1
2
V
D
D
J
1
2
V
S
S
_
S
A
D
5
V
D
D
J
1
3
V
S
S
G
1
2
V
D
D
H
1
3
V
C
A
P
F
1
3
V
S
S
F
1
2
V
D
D
G
1
3
V
S
S
D
9
V
D
D
C
9
V
S
S
D
8
V
D
D
C
8
V
S
S
D
7
V
D
D
C
7
R
F
U
C
6
V
D
D
_
S
A
C
5
U
1
6
B
S
T
M
3
2
F
2
0
7
I
F
T
6
L
C
D
_
H
S
Y
N
C
L
C
D
_
V
S
Y
N
C
L
C
D
_
C
S
R
8
1
1
0
K J
P
4
+
3
V
3
1
2
3
J
P
1
6
I
2
S
_
C
K
I
N
R
5
1
0
R
6
6
[
N
/A
]
C
5
8
4
.7
u
F
S
T
M
3
2
F
2
1
7
I
G
H
6
S
T
M
3
2
F
4
0
7
I
G
H
6
S
T
M
3
2
F
4
1
7
I
G
H
6
O
p
tio
n
a
l
-
R
F
U
m
e
a
n
s
R
e
s
e
r
v
e
d
f
o
r
F
u
tu
r
e
U
s
e
.
-
A
s
a
n
o
p
tio
n
,R
F
U
c
o
u
ld
b
e
tie
d
to
V
D
D
o
r
V
S
S
f
o
r
f
o
r
w
a
r
d

c
o
m
p
a
tib
ility
w
ith
f
u
t
u
r
e
S
T
M
3
2
F
p
r
o
d
u
c
ts
.H
o
w
e
v
e
r
,u
s
e
r
m
a
y
le
a
v
e

R
F
U
p
in
c
o
n
n
e
c
te
d
to
V
D
D
,o
r
V
S
S
,o
r
N
C
f
o
r
S
T
M
3
2
F
2
x
x
e
x
c
lu
s
iv
e

u
s
e
.
-
J
P
4
s
h
o
u
ld
b
e
f
itte
d
f
o
r
f
u
t
u
r
e
b
a
c
k
w
a
r
d
c
o
m
p
a
tib
ility
w
it
h
s
T
M
3
2
F
2
x
x
.
C
3
3
2
.2
u
F
C
5
0
2
.2
u
F
C
e
r
a
m
ic
c
a
p
a
c
ito
r
(
L
o
w
E
S
R
)
Schematics UM1057
46/66 Doc ID 018499 Rev 5
Figure 19. USB OTG HS
1 1
2 2
3 3
4 4
D
D
C
C
B
B
A
A
S
T
M
i
c
r
o
e
l
e
c
t
r
o
n
i
c
s
T
i
t
l
e
:
N
u
m
b
e
r
:
R
e
v
:
S
h
e
e
t

o
f
C
.
2
(
P
C
B
.
S
C
H
)
D
a
t
e
:
1
1
/
1
1
/
2
0
1
1
M
B
7
8
6
3
2
0
S
T
M
3
2

2
0
-
2
1
-
4
5
-
4
6

G
-
E
V
A
L

U
S
B

O
T
G

H
S
U
L
P
I
_
D
[
0
.
.
7
]
U
L
P
I
_
C
L
K
U
L
P
I
_
D
0
U
L
P
I
_
D
1
U
L
P
I
_
D
2
U
L
P
I
_
D
3
U
L
P
I
_
D
I
R
U
L
P
I
_
N
X
T
U
L
P
I
_
S
T
P
C
4
4
4
.
7
u
F
+
5
V
R
3
2
1
0
K
+
3
V
3
R
2
8
3
3
0
1 2
L
D
7
G
r
e
e
n
1
2 L
D
8
R
e
d
R
2
9
6
2
0
C
2
5
4
.
7
u
F
G
N
D
2
I
N
5
E
N
4
O
U
T
1
F
A
U
L
T
3
U
4
S
T
M
P
S
2
1
4
1
S
T
R
@
5
4
R
5
0
1
2
K
[
1
%
]
V
B
U
S
1
D
M
2
D
P
3
I
D
4
G
N
D
5
S
h
i
e
l
d
0
U S B _ M i c r o - A B r e c e p t a c l e
C
N
9
4
7
5
9
0
0
0
0
1
V
B
U
S
_
H
S
C
2
4
0
.
1
u
F
P
I
1
1
P
C
0
P
H
4
P
A
3
P
A
5
P
B
0
P
B
1
P
B
1
0
+
3
V
3
C
3
9
0
.
1
u
F
C
2
9
0
.
1
u
F
C
4
3
0
.
1
u
F
C
4
2
0
.
1
u
F
C
3
1
4
.
7
u
F
C
2
6
0
.
1
u
F
C
4
0
3
3
p
F
X
2
2
6
M
H
z
R
5
5
1
M
C
4
1
3
3
p
F
U
L
P
I
_
D
[
0
.
.
7
]
P
B
1
1
P
B
1
2
P
B
1
3
P
B
5
U
L
P
I
_
D
4
U
L
P
I
_
D
5
U
L
P
I
_
D
6
U
L
P
I
_
D
7
R
3
1
1
0
K
R
4
6
2
K
2
R
6
1
0
R
6
2
0
R
6
9
0
G
N
D
D
2
G
N
D
C
5
P
S
W
_
N
D
4
V
B
U
S
F
4
I
D
D
3
V
C
C
F
3
D
P
D
1
D
M
C
1
R
E
S
E
T
_
N
C
4
F
A
U
L
T
E
2
N
X
T
D
5
D
I
R
E
5
S
T
P
D
6
C
L
O
C
K
A
4
V
C
C
(
I
/
O
)
B
2
D
7
C
6
D
6
B
6
D
5
A
6
D
4
A
5
D
3
A
3
D
2
A
2
D
1
A
1
D
0
B
1
V
C
C
(
I
/
O
)
B
5
X
T
A
L
2
F
6
X
T
A
L
1
F
5
R
E
G
1
V
8
E
6
R
E
G
_
3
V
3
E
3
R
R
E
F
C
2
C
F
G
0
E
1
N
C
F
1
N
C
F
2
G
N
D
E
4
C
F
G
1
B
4
C
F
G
2
B
3
C
H
I
P
_
S
E
L
_
N
C
3
U
8
I
S
P
1
7
0
5
A
E
T
+
3
V
3
R
7
2
[
N
/
A
]
+
3
V
3
R
7
0
1
0
0
K
J
P
3
1
+
3
V
3
+
3
V
3
C
4
6
0
.
1
u
F
C
4
7
0
.
1
u
F
C
6
4
0
.
1
u
F
C
1
3
1
0
.
1
u
F
A 1
A 2
B 1
B 2
U
1
0
U
S
B
U
L
C
6
-
2
F
3
A 1
A 2
B 1
B 2
U
1
2
E
S
D
A
1
4
V
2
-
2
B
F
3
3
2
1
T
3
9
0
1
3
R
2
0
7
4
7
K
R
2
0
6
[
N
/
A
]
+
3
V
3
R
E
S
E
T
#
UM1057 Schematics
Doc ID 018499 Rev 5 47/66
Figure 20. Camera
1 1
2 2
3 3
4 4
D
D
C
C
B
B
A
A
S
T
M
i
c
r
o
e
l
e
c
t
r
o
n
i
c
s
T
i
t
l
e
:
N
u
m
b
e
r
:
R
e
v
:
S
h
e
e
t

o
f
C
.
2
(
P
C
B
.
S
C
H
)
D
a
t
e
:
1
1
/
1
1
/
2
0
1
1
M
B
7
8
6
4
2
0
S
T
M
3
2

2
0
-
2
1
-
4
5
-
4
6

G
-
E
V
A
L

C
a
m
e
r
a
D
C
M
I
_
P
I
X
C
K
D
C
M
I
_
V
S
Y
N
C
D
C
M
I
_
D
[
0
.
.
7
]
D
C
M
I
_
H
S
Y
N
C
C
a
m
e
r
a
_
R
S
T
E
N
1
G
N
D
2
O
U
T
3
V
C
C
4
U
2
7
S
M
7
7
4
5
H
E
W
-
2
4
.
0
M
+
2
V
5
R
1
3
0
1
0
K
D
C
M
I
_
D
[
0
.
.
7
]
D
C
M
I
_
D
0
D
C
M
I
_
D
1
D
C
M
I
_
D
2
D
C
M
I
_
D
3
D
C
M
I
_
D
4
D
C
M
I
_
D
5
D
C
M
I
_
D
6
D
C
M
I
_
D
7
R
1
0
8
1
0
K
+
2
V
8
C
9
0
0
.
1
u
F
I
2
C
1
_
S
C
L
I
2
C
1
_
S
D
A
C
a
m
e
r
a
_
C
L
K
C
8
4
0
.
1
u
F
D
G
N
D
1
D
G
N
D
2
S
I
O
_
D
3
A
V
D
D
(
2
.
8
V
)
4
S
I
O
_
C
5
R
E
S
E
T
6
V
S
Y
N
C
7
P
W
D
N
8
H
R
E
F
9
D
V
D
D
(
1
.
8
V
)
1
0
D
O
V
D
D
(
2
.
8
V
)
1
1
Y
7
1
2
X
C
L
K
1
1
3
Y
6
1
4
D
G
N
D
1
5
Y
5
1
6
P
C
L
K
1
7
Y
4
1
8
Y
0
1
9
Y
3
2
0
Y
1
2
1
Y
2
2
2
A
G
N
D
2
3
A
G
N
D
2
4
C
N
1
5
2
4
-
5
8
0
5
-
0
2
4
-
0
0
0
-
8
2
9
+
+
2
V
8
J
P
2
6
C
8
5
0
.
1
u
F
+
1
V
8
+
2
V
8
P
H
8
P
I
5
P
A
6
P
B
9
P
B
6
P
H
9
P
H
1
0
P
H
1
1
P
H
1
2
P
I
4
P
H
1
4
P
I
6
P
I
7
+
2
V
8
R
1
0
9
1
0
K
1
2
3
4
5
6
7
8
9
1
0
1
1
1
2
1
3
1
4
1
5
1
6
1
7
1
8
1
9
2
0
2
1
2
2
2
3
2
4
2
5
2
6
2
7
2
8
2
9
3
0
3
1
3
2
3
3
3
4
3
5
3
6
3
7
3
8
3
9
4
0
C
N
2
3
C
L
M
-
1
2
0
-
0
2
-
L
-
D
+
1
V
8
+
1
V
8
S
C
L
S
D
A
S
C
L
S
D
A
C
a
m
e
r
a
_
C
L
K
D
C
M
I
_
D
0
D
C
M
I
_
D
1
D
C
M
I
_
D
2
D
C
M
I
_
D
3
D
C
M
I
_
D
4
D
C
M
I
_
D
5
D
C
M
I
_
D
6
D
C
M
I
_
D
7
H
S
Y
S
C
V
S
Y
S
C
P
I
X
C
L
K
H
S
Y
S
C
V
S
Y
S
C
P
I
X
C
L
K
+
2
V
8
+
2
V
8
C
1
5
1
0
.
1
u
F
C
1
5
2
0
.
1
u
F
C
1
5
0
0
.
1
u
F
C
1
4
9
0
.
1
u
F
C
a
m
e
r
a
_
R
S
T
C
a
m
e
r
a
_
R
S
T
C
a
m
e
r
a
_
X
S
D
N
+
2
V
8
R
2
1
3
1
0
K
C
a
m
e
r
a
_
P
L
U
G
Schematics UM1057
48/66 Doc ID 018499 Rev 5
Figure 21. Ethernet
1 1
2 2
3 3
4 4
D
D
C
C
B
B
A
A
S
T
M
i
c
r
o
e
l
e
c
t
r
o
n
i
c
s
T
i
t
l
e
:
N
u
m
b
e
r
:
R
e
v
:
S
h
e
e
t

o
f
C
.
2
(
P
C
B
.
S
C
H
)
D
a
t
e
:
1
1
/
1
1
/
2
0
1
1
M
B
7
8
6
5
2
0
S
T
M
3
2

2
0
-
2
1
-
4
5
-
4
6

G
-
E
V
A
L

E
t
h
e
r
n
e
t
M
I
I
_
T
X
D
0
M
I
I
_
T
X
_
E
N
M
I
I
_
T
X
_
C
L
K
M
I
I
_
R
X
D
0
M
I
I
_
R
X
_
E
R
M
I
I
_
R
X
_
D
V
/
R
M
I
I
_
C
R
S
D
V
M
I
I
_
R
X
_
C
L
K
/
R
M
I
I
_
R
E
F
_
C
L
K
M
I
I
_
C
O
L
M
I
I
_
C
R
S
M
I
I
_
M
D
C
M
I
I
_
M
D
I
O
X
1
2
5
M
H
z

(
w
i
t
h

s
o
c
k
e
t
)
C
1
4
2
2
p
F
C
1
5
2
2
p
F
1
2
3 J
P
5
M
C
O
E
N
1
G
N
D
2
O
U
T
3
V
C
C
4
U
3
S
M
7
7
4
5
H
E
V
-
5
0
.
0
M

(
d
o

n
o
t

f
i
t
)
+
3
V
3
R
2
6
1
0
K
R
E
S
E
T
#
R
2
4
0
J
P
8
R
4
0
2
K
2
+
3
V
3
+
3
V
3
R
2
5
4
.
8
7
K
R
3
0
2
K
2
+
3
V
3
R
3
3
2
K
2
C
2
1
0
.
1
u
F
C
1
7
0
.
1
u
F
C
1
9
0
.
1
u
F
C
1
3
1
0
u
F
R
4
2
2
K
2
M
I
I
_
T
X
D
1
M
I
I
_
T
X
D
2
M
I
I
_
T
X
D
3
M
I
I
_
R
X
D
1
M
I
I
_
R
X
D
2
M
I
I
_
R
X
D
3
T
X
_
C
L
K
1
T
X
_
E
N
2
T
X
D
_
0
3
T
X
D
_
1
4
T
X
D
_
2
5
T
X
D
_
3
/
S
N
I
_
M
O
D
E
6
P
W
R
_
D
O
W
N
/
I
N
T
7
N
C
8
N
C
9
N
C
1
0
N
C
1
1
N
C
1
2
R
D
-
1
3
R
D
+
1
4
A
G
N
D
1
5
T
D
-
1
6
T
D
+
1
7
P
F
B
I
N
1
1
8
A
G
N
D
1
9
N
C
2
0
N
C
2
1
A
V
D
D
3
3
2
2
P
F
B
O
U
T
2
3
R
B
I
A
S
2
4
2
5
M
H
z
_
O
U
T
2
5
L
E
D
_
A
C
T
/
C
O
L
/
A
N
_
E
N
2
6
L
E
D
_
S
P
E
E
D
/
A
N
1
2
7
L
E
D
_
L
I
N
K
/
A
N
0
2
8
R
E
S
E
T
_
N
2
9
M
D
I
O
3
0
M
D
C
3
1
I
O
V
D
D
3
3
3
2
X
2
3
3
X
1
3
4
I
O
G
N
D
3
5
D
G
N
D
3
6
P
F
B
I
N
2
3
7
R
X
_
C
L
K
3
8
R
X
_
D
V
/
M
I
I
_
M
O
D
E
3
9
C
R
S
/
C
R
S
_
D
V
/
L
E
D
_
C
F
G
4
0
R
X
_
E
R
/
M
D
I
X
_
E
N
4
1
C
O
L
/
P
H
Y
A
D
0
4
2
R
X
D
_
0
/
P
H
Y
A
D
1
4
3
R
X
D
_
1
/
P
H
Y
A
D
2
4
4
R
X
D
_
2
/
P
H
Y
A
D
3
4
5
R
X
D
_
3
/
P
H
Y
A
D
4
4
6
I
O
G
N
D
4
7
I
O
V
D
D
3
3
4
8
U
5
D
P
8
3
8
4
8
C
V
V
+
3
V
3
C
1
6
0
.
1
u
F
C
2
7
0
.
1
u
F
C
2
0
0
.
1
u
F
+
3
V
3
R
4
3
1
.
5
K
1
2
3
J
P
6
T
P
1
2
5
M
H
z
T
D
+
1
T
C
T
4
T
D
-
2
R
D
+
3
R
C
T
5
R
D
-
6
N
C
7
C
H
S

G
N
D
8
L
E
D
(
g
r
e
e
n
)
_
A
9
L
E
D
(
g
r
e
e
n
)
_
K
1
0
L
E
D
(
Y
e
l
l
o
w
)
_
A
1
2
L
E
D
(
Y
e
l
l
o
w
)
_
K
1
1
S
h
i
e
l
d
1
3
S
h
i
e
l
d
1
4
C
N
7
J
0
0
1
1
D
2
1
B
R
2
2
2
4
0
R
1
2
4
0
+
3
V
3
C
7
0
.
1
u
F
C
6
0
.
1
u
F
+
3
V
3
M
I
I
_
I
N
T
R
4
1
0
R
4
4
0
S
e
c
o
n
d

s
o
u
r
c
e
:

S
I
-
6
0
1
1
6
-
F
R
S
5
5
1
R
S
3
5
1
R
S
6
5
1
+
3
V
3
U
n
u
s
e
d

i
n

R
M
I
I

m
o
d
e
R
S
1
2
K
2
R
S
4
5
1
R
S
2
5
1
P
I
1
0
P
C
1
P
C
2
P
C
3
P
A
1
P
A
2
P
H
2
P
H
3
P
A
7
P
C
4
P
C
5
P
G
1
1
P
H
6
P
H
7
P
G
1
3
P
G
1
4
P
B
1
4
P
B
8
P
A
8
S
B
1
R
2
0
8
5
1
R
2
1
2
1
5
0
S
T
M
3
2
4
x
G
-
E
V
A
L
S
T
M
3
2
2
x
G
-
E
V
A
L
S
B
1
R
2
1
2
C
l
o
s
e
O
p
e
n
N
o
t

f
i
t
t
e
d
1
5
0
o
h
m
B
o
a
r
d

c
o
n
f
i
g
u
r
a
t
i
o
n
UM1057 Schematics
Doc ID 018499 Rev 5 49/66
Figure 22. Audio
1 1
2 2
3 3
4 4
D
D
C
C
B
B
A
A
S
T
M
i
c
r
o
e
l
e
c
t
r
o
n
i
c
s
T
i
t
l
e
:
N
u
m
b
e
r
:
R
e
v
:
S
h
e
e
t

o
f
C
.
2
(
P
C
B
.
S
C
H
)
D
a
t
e
:
1
1
/
1
1
/
2
0
1
1
M
B
7
8
6
6
2
0
S
T
M
3
2

2
0
-
2
1
-
4
5
-
4
6

G
-
E
V
A
L

A
u
d
i
o
I
2
S
_
W
S
I
2
S
_
S
D
I
2
S
_
S
C
K
R
1
3
4
1
0
K
A
u
d
i
o
_
S
C
L
A
u
d
i
o
_
S
D
A
R
1
1
0
5
1
1 2
U
1
9
K
S
S
-
1
5
0
8

o
r

K
S
S
G
1
7
0
8
A
u
d
i
o
_
R
S
T
I
2
S
_
M
C
K
+
5
V
I
2
C

a
d
d
r
e
s
s

0
x
9
4
C
8
7
1
u
F

(
X
7
R
)
C
9
5
1
u
F
C
1
0
3
0
.
1
u
F
S
D
A
1
S
C
L
2
A
0
3
S
P
K
R
_
O
U
T
A
+
4
V
P
5
S
P
K
R
_
O
U
T
A
-
6
S
P
K
R
_
O
U
T
B
+
7
V
P
8
S
P
K
R
_
O
U
T
B
-
9
-
V
H
P
F
I
L
T
1
0
F
L
Y
N
1
1
F
L
Y
P
1
2
+
V
H
P
1
3
H
P
/
L
I
N
E
_
O
U
T
B
1
4
H
P
/
L
I
N
E
_
O
U
T
A
1
5
V
A
1
6
A
G
N
D
1
7
F
I
L
T
+
1
8
V
Q
1
9
T
S
T
O
2
0
A
I
N
4
A
2
1
A
I
N
4
B
2
2
A
I
N
3
A
2
3
A
I
N
3
B
2
4
A
I
N
2
A
2
5
A
I
N
2
B
2
6
A
F
I
L
T
A
2
7
A
F
I
L
T
B
2
8
A
I
N
1
A
2
9
A
I
N
1
B
3
0
S
P
K
R
/
H
P
3
1
R
E
S
E
T
3
2
V
L
3
3
V
D
3
4
D
G
N
D
3
5
T
S
T
O
3
6
M
C
L
K
3
7
S
C
L
K
3
8
S
D
I
N
3
9
L
R
C
K
4
0
G
N
D
/
T
h
e
r
m
a
l

P
a
d
0
U
2
3
C
S
4
3
L
2
2
C
8
8
1
u
F
(
X
7
R
)
C
9
8
0
.
1
u
F
C
9
2
0
.
1
u
F
+
2
V
5
C
1
0
4
0
.
1
u
F
+
3
V
3
C
1
0
2
1
u
F
(
X
5
R
)
C
1
0
1
1
u
F
(
X
5
R
)
C
1
0
7
1
5
0
p
F
(
C
O
G
)
C
1
0
8
1
5
0
p
F
(
C
O
G
)
C
9
4
0
.
1
u
F
C
8
6
0
.
0
2
2
u
F
R
1
1
2
5
1
C
9
7
0
.
0
2
2
u
F
C
9
3
0
.
1
u
F
F
r
o
m

I
O

e
x
p
a
n
d
o
r
A
u
d
i
o
_
D
A
C
_
O
U
T
R
1
2
2
1
0
0
R
1
1
3
1
0
0
K
C
1
0
5
1
u
F
(
X
7
R
)
R
1
1
5
0
P
B
9
P
B
6
P
C
6
P
I
0
P
I
1
P
I
3
P
A
4
A
u
d
i
o
_
I
N
B
Y
P
2
G
N
D
4
I
N
-
5
I
N
+
8
G
S
9
B
I
A
S
1
0
C
1
1
4
C
2
1
5
O
U
T
-
1
6
O
U
T
+
1
7
V
C
C
2
0
S
T
B
Y
2
1
G
N
D
2
2
U
3
3
T
S
4
7
2
I
Q
T
1 2
U
3
4
K
E
C
G
2
7
4
0
T
B
L
[
N
/
A
]
C
1
4
3
1
0
0
n
F
R
1
9
8
0
C
1
4
1
1
u
F
R
1
9
7
1
K
C
1
4
4
1
0
0
n
F
C
1
4
2
1
u
F
+
3
V
3
C
1
4
0
1
u
F
C
1
4
5
1
0
0
p
F
C
1
4
6
1
0
0
p
F
C
1
4
7
0
o
h
m
R
1
9
9
1
0
0
K
R
1
9
6
0
P
F
1
0
R
2
0
0
4
7
+
3
V
3
R
1
9
5
1
0
K
J
P
3
3
2 6 4 3
C
N
1
1
P
J
3
0
2
8
B
-
3
R
2
1
6
0
R
2
1
5
0
R
2
1
7
[
N
/
A
]
R
2
1
8
[
N
/
A
]
F
i
t

f
o
r

I
p
h
o
n
e

h
e
a
d
s
e
t
F
i
t

f
o
r

N
o
k
ia

h
e
a
d
s
e
t
V
D
1
G
N
D
2
C
L
K
_
O
U
T
3
A
U
X
_
O
U
T
4
T
S
T
_
I
N
5
X
T
O
6
X
T
I
/
R
E
F
_
C
L
K
7
A
D
0
/
C
S
8
S
C
L
/
C
C
L
K
9
S
D
A
/
C
D
I
N
1
0
U
3
6
C
S
2
2
0
0
-
C
P
M
C
O
+
3
V
3
C
1
5
8
0
.
1
u
F
P
A
8
I
2
S
_
C
K
I
N
C
1
5
9
1
u
F
P
C
9
R
2
0
9
0
C
1
5
5
[
N
/
A
]
C
1
5
4
[
N
/
A
]
X
6
[
N
/
A
]
R
2
1
1
0
R
2
2
1
1
0
K
+
3
V
3
0
o
h
m

r
e
s
i
s
t
o
r

m
o
u
n
t
e
d

f
o
r

D
C

c
o
u
p
lin
g
Schematics UM1057
50/66 Doc ID 018499 Rev 5
Figure 23. USB OTG FS
1 1
2 2
3 3
4 4
D
D
C
C
B
B
A
A
S
T
M
i
c
r
o
e
l
e
c
t
r
o
n
i
c
s
T
i
t
l
e
:
N
u
m
b
e
r
:
R
e
v
:
S
h
e
e
t

o
f
C
.
2
(
P
C
B
.
S
C
H
)
D
a
t
e
:
1
1
/
1
1
/
2
0
1
1
M
B
7
8
6
7
2
0
S
T
M
3
2

2
0
-
2
1
-
4
5
-
4
6

G
-
E
V
A
L

U
S
B
_
O
T
G
_
F
S
+
5
V
R
2
0
1
0
K
O
T
G
_
F
S
_
P
o
w
e
r
S
w
i
t
c
h
O
n
O
T
G
_
F
S
_
O
v
e
r
C
u
r
r
e
n
t
R
1
6
4
7
K
+
3
V
3
1 2
L
D
5
R
e
d
R
2
3
6
2
0
O
T
G
_
F
S
_
D
M
O
T
G
_
F
S
_
D
P
O
T
G
_
F
S
_
I
D
C
5
4
.
7
u
F
R
1
8
0
R
2
1
0
R
1
5
0
G
N
D
2
I
N
5
E
N
4
O
U
T
1
F
A
U
L
T
3
U
1
S
T
M
P
S
2
1
4
1
S
T
R
@
5
4
V
B
U
S
1
D
M
2
D
P
3
I
D
4
G
N
D
5
S
h
i
e
l
d
0
U S B _ M i c r o - A B r e c e p t a c l e
C
N
8
4
7
5
9
0
0
0
0
1
V
B
U
S
_
F
S
P
H
5
P
F
1
1
P
A
9
P
A
1
0
P
A
1
1
P
A
1
2
R
1
7
2
2
R
1
9
2
2
D
z
A
2
I
D
A
3
P
d
1
B
1
P
u
p
B
2
V
b
u
s
B
3
D
+
i
n
C
1
P
d
2
C
2
D
+
o
u
t
C
3
D
-
i
n
D
1
G
N
D
D
2
D
-
o
u
t
D
3
U
2
E
M
I
F
0
2
-
U
S
B
0
3
F
2
R
2
7
3
3
0
1 2
L
D
6
G
r
e
e
n
3
2
1
T
1
9
0
1
3
R
1
8
0
4
7
K
+
3
V
3
R
1
8
1
[
N
/
A
]
+
3
V
3
UM1057 Schematics
Doc ID 018499 Rev 5 51/66
Figure 24. SRAM
1 1
2 2
3 3
4 4
D
D
C
C
B
B
A
A
S
T
M
i
c
r
o
e
l
e
c
t
r
o
n
i
c
s
T
i
t
l
e
:
N
u
m
b
e
r
:
R
e
v
:
S
h
e
e
t

o
f
C
.
2
(
P
C
B
.
S
C
H
)
D
a
t
e
:
1
1
/
1
1
/
2
0
1
1
A
1
A
2
A
3
A
4
A
5
A
6
A
7
A
8
A
9
A
1
0
A
1
1
A
1
2
A
1
3
A
1
4
A
1
5
A
[
0
.
.
2
0
]
D
[
0
.
.
1
5
]
F
S
M
C
_
N
E
1
F
S
M
C
_
N
W
E
F
S
M
C
_
N
O
E
F
S
M
C
_
N
W
A
I
T
D
[
0
.
.
1
5
]
A
[
0
.
.
2
0
]
R
3
6
1
0
K
+
3
V
3
A
0
R
4
8
1
0
K
C
2
8
1
0
0
n
F
+
3
V
3
R
4
9
1
0
K
+
3
V
3
F
S
M
C
_
C
L
K
F
S
M
C
_
N
L
W
E
A
1
R
P
A
2
D
Q
1
4
A
3
V
s
s
A
4
V
s
s
A
5
D
Q
1
3
A
6
D
Q
1
2
B
1
D
Q
8
B
2
D
Q
1
B
3
O
E
B
4
D
Q
9
B
5
V
c
c
C
o
r
e
B
6
D
Q
7
C
1
D
Q
4
C
2
D
Q
1
1
C
3
D
Q
1
0
C
4
D
Q
3
C
5
V
c
c
I
O
C
6
D
Q
1
5
D
1
A
1
2
D
2
D
Q
0
D
3
A
1
5
D
4
D
Q
5
D
5
D
Q
6
D
6
C
L
K
E
1
C
E
E
2
D
Q
2
E
3
N
C
E
4
N
C
E
5
A
9
E
6
A
1
4
F
1
A
1
3
F
2
A
V
D
F
3
A
7
F
4
A
1
1
F
5
A
8
F
6
I
N
T
G
1
A
0
G
2
A
1
G
3
N
C
G
4
A
1
0
G
5
A
6
G
6
R
D
Y
H
1
A
4
H
2
A
5
H
3
A
2
H
4
A
3
H
5
N
C
H
6
U
7
K
F
G
1
2
1
6
U
2
A
-
D
I
B
6
C
1
8
1
0
0
n
F
C
2
2
1
0
0
n
F
+
3
V
3
R
5
2
0
R
4
5
1
0
K
+
3
V
3
R
5
3
0
O
n
e
N
A
N
D
_
I
N
T
R
5
4
0
M
B
7
8
6
8
2
0
S
T
M
3
2

2
0
-
2
1
-
4
5
-
4
6

G
-
E
V
A
L

S
R
A
M

&

O
n
e
N
A
N
D
P
D
5
P
D
4
P
D
3
P
B
7
P
D
6
P
D
7
P
B
1
5
D
0
D
1
D
2
D
3
D
4
D
5
D
6
D
7
D
8
D
9
D
1
0
D
1
1
D
1
2
D
1
3
D
1
4
D
1
5
A
1
A
2
A
3
A
4
A
5
A
6
A
7
A
8
A
9
A
1
0
A
1
1
A
1
2
A
1
3
A
1
4
A
1
5
A
1
6
F
S
M
C
_
N
E
2
F
S
M
C
_
B
L
N
0
F
S
M
C
_
B
L
N
1
A
0
A
1
7
P
E
0
P
E
1
R
4
7
0
R
3
5
1
0
K
+
3
V
3
P
G
9
A
1
8
A
1
9
C
3
0
1
0
0
n
F
C
2
3
1
0
0
n
F
+
3
V
3
D
0
D
1
D
2
D
3
D
4
D
5
D
6
D
7
D
8
D
9
D
1
0
D
1
1
D
1
2
D
1
3
D
1
4
D
1
5
A
2
0
C
Y
7
C
1
0
7
1
D
V
3
3
-
1
2
B
A
X
I
A
4
B
4
A
3
B
3
A
2
A
5
A
1
A
4
A
0
A
3
C
E
B
5
I
/
O
0
B
6
I
/
O
1
C
5
I
/
O
2
C
6
I
/
O
3
D
5
V
C
C
D
6
V
S
S
D
1
I
/
O
4
E
5
I
/
O
5
F
5
I
/
O
6
F
6
I
/
O
7
G
6
W
E
G
5
A
1
6
E
4
A
1
5
F
4
A
1
4
F
3
A
1
3
G
4
A
1
2
G
3
A
1
1
H
5
A
1
0
H
4
A
9
H
3
A
8
H
2
I
/
O
8
B
1
I
/
O
9
C
1
I
/
O
1
0
C
2
I
/
O
1
1
D
2
V
C
C
E
1
I
/
O
1
2
E
2
I
/
O
1
3
F
2
I
/
O
1
4
F
1
I
/
O
1
5
G
1
B
L
E
A
1
B
H
E
B
2
O
E
A
2
A
7
D
4
A
6
C
4
A
5
C
3
A
1
7
D
3
A
1
8
H
1
V
S
S
E
6
A
1
9
G
2
A
2
0
H
6
C
E
2
A
6
U
6
I
S
6
1
W
V
1
0
2
4
1
6
B
L
L
-
1
0
M
L
I
N
o
t

f
i
t
t
e
d
Schematics UM1057
52/66 Doc ID 018499 Rev 5
Figure 25. LCD
1 1
2 2
3 3
4 4
D
D
C
C
B
B
A
A
S
T
M
i
c
r
o
e
l
e
c
t
r
o
n
i
c
s
T
i
t
l
e
:
N
u
m
b
e
r
:
R
e
v
:
S
h
e
e
t

o
f
C
.
2
(
P
C
B
.
S
C
H
)
D
a
t
e
:
1
1
/
1
1
/
2
0
1
1
T
o
u
c
h
S
c
r
e
e
n
_
X
+
T
o
u
c
h
S
c
r
e
e
n
_
X
-
T
o
u
c
h
S
c
r
e
e
n
_
Y
+
T
o
u
c
h
S
c
r
e
e
n
_
Y
-
C
S
1
R
S
2
W
R
/
S
C
L
3
R
D
4
R
E
S
E
T
5
V
D
D
2
4
V
C
I
2
5
G
N
D
2
6
G
N
D
2
7
B
L
_
V
D
D
2
8
B
L
_
C
o
n
t
r
o
l
2
3
B
L
_
G
N
D
2
2
P
D
1
6
P
D
2
7
P
D
3
8
P
D
4
9
P
D
5
1
0
P
D
6
1
1
P
D
7
1
2
P
D
8
1
3
P
D
1
0
1
4
P
D
1
1
1
5
P
D
1
2
1
6
P
D
1
3
1
7
P
D
1
4
1
8
P
D
1
5
1
9
P
D
1
6
2
0
P
D
1
7
2
1
S
D
O
2
9
S
D
I
3
0
X
L
3
1
X
R
3
2
Y
D
3
3
Y
U
3
4
C
N
1
9
3
.
2
"

L
C
D
_
c
o
n
n
e
c
t
o
r

(
M
B
7
8
5

w
i
t
h

A
M
-
2
4
0
3
2
0
D
4
T
O
Q
W
-
T
0
0
H
(
R
)
)
+
3
V
3
+
5
V
M
B
7
8
6
9
2
0
S
T
M
3
2

2
0
-
2
1
-
4
5
-
4
6

G
-
E
V
A
L

L
C
D
T
o

I
O

e
x
p
a
n
d
o
r
R
E
S
E
T
#
D
[
0
.
.
1
5
]
A
[
0
.
.
2
0
]
A
[
0
.
.
2
0
]
D
[
0
.
.
1
5
]
F
S
M
C
_
N
W
E
F
S
M
C
_
N
O
E
F
S
M
C
_
N
E
3
D
0
D
1
D
2
D
3
D
4
D
5
D
6
D
7
D
8
D
9
D
1
0
D
1
1
D
1
2
D
1
3
D
1
4
D
1
5
A
0
P
D
5
P
D
4
P
G
1
0
R
1
7
0
1
5
0
R
1
7
1
1
5
0
R
1
7
2
1
5
0
R
1
7
3
1
5
0
R
1
7
4
1
5
0
R
1
7
5
1
5
0
R
1
7
6
1
5
0
R
1
7
7
1
5
0
R
8
2
1
5
0
R
1
6
1
1
5
0
R
1
6
3
1
5
0
R
1
6
5
1
5
0
R
1
6
6
1
5
0
R
1
6
7
1
5
0
R
1
6
8
1
5
0
R
1
6
9
1
5
0
R
7
8
1
5
0
R
1
1
9
1
5
0
R
1
6
2
1
5
0
R
1
6
4
1
5
0
C
1
4
8
1
0
u
F
L
2
B
E
A
D
C
1
5
3
1
0
0
n
F
L
1
B
E
A
D
L
C
D
_
H
S
Y
N
C
L
C
D
_
V
S
Y
N
C
L
C
D
_
C
S
1
2
3
4
5
6
C
N
2
2
H
e
a
d
e
r

3
X
2
H
P
C
[
0
.
.
1
5
]
P
C
1
0
P
C
1
1
P
C
[
0
.
.
1
5
]
R
e
s
e
r
v
e
d

f
o
r

L
C
D

c
o
n
n
e
c
t
o
r
R
6
7
0
+
3
V
3
R
6
8
[
N
/
A
]
UM1057 Schematics
Doc ID 018499 Rev 5 53/66
Figure 26. RS-232 and IrDA
1 1
2 2
3 3
4 4
D
D
C
C
B
B
A
A
S
T
M
i
c
r
o
e
l
e
c
t
r
o
n
i
c
s
T
i
t
l
e
:
N
u
m
b
e
r
:
R
e
v
:
S
h
e
e
t

o
f
C
.
2
(
P
C
B
.
S
C
H
)
D
a
t
e
:
1
1
/
1
1
/
2
0
1
1
R
S
2
3
2
/
I
r
D
A
_
T
X
R
S
2
3
2
/
I
r
D
A
_
R
X
S
D
5
T
x
D
3
G
N
D
8
A
n
o
d
e

(
V
C
C
2
)
1
C
a
t
h
o
d
e
2
R
x
D
4
V
C
C
1
6
N
C
7
U
1
1
T
F
D
U
6
3
0
0
R
6
4
5
R
6
3
4
7
C
5
1
0
.
1
u
F
C
5
2
0
.
1
u
F
+
3
V
3
C
5
5
4
.
7
u
F
C
4
5
4
.
7
u
F
I
r
D
A
3
2
1
J
P
2
2
M
B
7
8
6
1
0
2
0
S
T
M
3
2

2
0
-
2
1
-
4
5
-
4
6

G
-
E
V
A
L

R
S
2
3
2
&
I
r
D
A
C
2
+
1
C
2
-
2
V
-
3
R
1
I
N
4
R
2
I
N
5
R
3
I
N
6
R
4
I
N
7
R
5
I
N
8
T
1
O
U
T
9
T
2
O
U
T
1
0
T
3
O
U
T
1
1
T
3
I
N
1
2
T
2
I
N
1
3
T
1
I
N
1
4
R
5
O
U
T
1
5
R
4
O
U
T
1
6
R
3
O
U
T
1
7
R
2
O
U
T
1
8
R
1
O
U
T
1
9
R
2
O
U
T
B
2
0
R
1
O
U
T
B
2
1
n
S
H
D
N
2
2
n
E
N
2
3
C
1
-
2
4
G
N
D
2
5
V
C
C
2
6
V
+
2
7
C
1
+
2
8
U
1
7
S
T
3
2
4
1
E
B
P
R
C
7
8
1
0
0
n
F
C
8
0 1
0
0
n
F
C
7
6
1
0
0
n
F
C
7
7
1
0
0
n
F
C
7
9
1
0
0
n
F
+
3
V
3
1 6 2 7 3 8 4 9 5
C
N
1
6
D
B
9
-
m
a
l
e

U
A
R
T
3

o
r

4
+
3
V
3
B
o
o
t
l
o
a
d
e
r
_
B
O
O
T
0
B
o
o
t
l
o
a
d
e
r
_
R
E
S
E
T
P
C
1
0
P
C
1
1
R
X
D
T
X
D
C
T
S
D
S
R
Schematics UM1057
54/66 Doc ID 018499 Rev 5
Figure 27. CAN
1 1
2 2
3 3
4 4
D
D
C
C
B
B
A
A
S
T
M
i
c
r
o
e
l
e
c
t
r
o
n
i
c
s
T
i
t
l
e
:
N
u
m
b
e
r
:
R
e
v
:
S
h
e
e
t

o
f
C
.
2
(
P
C
B
.
S
C
H
)
D
a
t
e
:
1
1
/
1
1
/
2
0
1
1
C
A
N
1
_
T
X
C
A
N
1
_
R
X
D
1
G
N
D
2
V
C
C
3
R
4
V
r
e
f
5
C
A
N
L
6
C
A
N
H
7
R
S
8
U
9
S
N
6
5
H
V
D
2
3
0
+
3
V
3
+
3
V
3
R
3
8
1
2
0
J
P
9
3
2
1
J
P
7
R
3
7
1
0
K
+
3
V
3
C
3
2
1
0
0
n
F
R
3
9
0
C
A
N
2
_
T
X
C
A
N
2
_
R
X
R
6
0
0
R
5
6
d
o

n
o
t

f
i
t
D
e
f
a
u
l
t

s
e
t
t
i
n
g
:

1
<
-
>
2
D
e
f
a
u
l
t

s
e
t
t
i
n
g
:

O
p
e
n
M
B
7
8
6
1
1
2
0
S
T
M
3
2

2
0
-
2
1
-
4
5
-
4
6

G
-
E
V
A
L

C
A
N
1 6 2 7 3 8 4 9 5
C
N
1
0
D
B
9
-
m
a
l
e

C
A
N

c
o
n
n
e
c
t
o
r
P
D
1
P
D
0
P
B
1
3
P
B
5
3
2
1
J
P
3
3
2
1
J
P
1
0
UM1057 Schematics
Doc ID 018499 Rev 5 55/66
Figure 28. I/O peripherals
1 1
2 2
3 3
4 4
D
D
C
C
B
B
A
A
S
T
M
i
c
r
o
e
l
e
c
t
r
o
n
i
c
s
T
i
t
l
e
:
N
u
m
b
e
r
:
R
e
v
:
S
h
e
e
t

o
f
C
.
2
(
P
C
B
.
S
C
H
)
D
a
t
e
:
1
1
/
1
1
/
2
0
1
1
1
2
L
D
3
R
e
d
1
2
L
D
2
O
r
a
n
g
e
1
2
L
D
4
B
l
u
e
1
2
L
D
1
G
r
e
e
n
R
1
5
5
5
1
0
R
1
5
4
6
8
0
R
1
4
1
6
8
0
R
1
4
0
6
8
0
L
E
D
4
L
E
D
3
L
E
D
1
L
E
D
2
3 1
2
R
V
1
3
3
8
6
P
-
1
0
3
H
[
1
0
%
]
R
1
5
1
0
+
3
V
3
P
o
t
e
n
t
i
o
m
e
t
e
r
C
1
2
2
1
0
n
F
R 1 4 7
1 0 K
R 1 4 6
1 0 K
R 1 4 5
1 0 K
R 1 4 4
1 0 K
R 1 4 9
1 0 K
+
2
V
8
C 1 1 9 1 0 0 n F
C 1 2 8 1 0 0 n F
C 1 2 0 1 0 0 n F
C 1 2 9 1 0 0 n F
C 1 2 1 1 0 0 n F
R
1
5
9
1
0
0
C
O
M
M
O
N
5
S
e
l
e
c
t
i
o
n
2
D
W
O
N
3
L
E
F
T
1
R
I
G
H
T
4
U
P
6
U
3
2
M
T
0
0
8
-
A
R
1
5
3
2
2
0
K
1
4 3
2
B
2
W
K
U
P
C
1
2
4
d
o

n
o
t

f
i
t
+
3
V
3
R
1
3
8
1
0
0
R
1
4
8
4
K
7
1
4 3
2
B
4
U
S
E
R
C
1
3
0
1
0
0
n
F
+
3
V
3
R
1
6
0
1
0
0
R
1
4
2
4
K
7
1
4 3
2
B
3
T
a
m
p
e
r
C
1
1
8
1
0
0
n
F
+
3
V
3
R
1
5
6
1
0
0
R
1
3
9
3
3
0
R
1
5
0
0
R
1
4
3
0
J
O
Y
_
S
E
L
J
O
Y
_
D
O
W
N
J
O
Y
_
L
E
F
T
J
O
Y
_
R
I
G
H
T
J
O
Y
_
U
P
A
n
t
i
_
T
a
m
p
e
r
W
A
K
E
U
P
U
s
e
r
_
B
u
t
t
o
n
E
E
P
R
O
M
_
S
C
L
E
E
P
R
O
M
_
S
D
A
M
E
M
S
_
S
C
L
M
E
M
S
_
S
D
A
M
E
M
S
_
I
N
T
1
M
E
M
S
_
I
N
T
2
E
0
1
E
1
2
E
2
3
V
S
S
4
S
D
A
5
S
C
L
6
W
C
7
V
C
C
8
U
1
8
M
2
4
C
6
4
-
R
M
N
6
T
P
+
3
V
3
R
1
0
2
1
0
K
J
P
2
4
V
D
D
_
I
O
1
G
N
D
2
R
e
s
e
r
v
e
d
3
G
N
D
4
G
N
D
5
V
D
D
6
C
S
7
I
N
T
1
8
I
N
T
2
9
G
N
D
1
0
R
e
s
e
r
v
e
d
1
1
S
D
O
1
2
S
D
A
1
3
S
C
L
1
4
U
2
0
L
I
S
3
0
2
D
L
+
2
V
8
R
1
0
7
1
0
K
+
2
V
8
M
B
7
8
6
1
2
2
0
S
T
M
3
2

2
0
-
2
1
-
4
5
-
4
6

G
-
E
V
A
L

I
O

P
e
r
i
p
h
e
r
a
l
s
J
o
y
s
t
i
c
k
L
E
D
s
E
E
P
R
O
M
M
E
M
S
B
U
T
T
O
N
P
o
t
e
n
t
i
o
m
e
t
e
r
C
8
1
1
0
0
n
F
C
8
9
1
0
0
n
F
T
o

I
O

e
x
p
a
n
d
o
r
T
o

I
O

e
x
p
a
n
d
o
r
R
1
5
2
1
K
P
G
6
P
G
8
P
I
9
P
C
7
P
C
1
3
P
A
0
P
G
1
5
P
F
9
P
B
9
P
B
6
P
B
9
P
B
6
Schematics UM1057
56/66 Doc ID 018499 Rev 5
Figure 29. I/O Expandor
1 1
2 2
3 3
4 4
D
D
C
C
B
B
A
A
S
T
M
i
c
r
o
e
l
e
c
t
r
o
n
i
c
s
T
i
t
l
e
:
N
u
m
b
e
r
:
R
e
v
:
S
h
e
e
t

o
f
C
.
2
(
P
C
B
.
S
C
H
)
D
a
t
e
:
1
1
/
1
1
/
2
0
1
1
M
B
7
8
6
1
3
2
0
S
T
M
3
2

2
0
-
2
1
-
4
5
-
4
6

G
-
E
V
A
L

I
O
_
E
x
p
a
n
d
o
r
Y
-
1
I
N
T
2
A
0
/
D
a
t
a

O
u
t
3
S
C
L
K
4
S
D
A
T
5
V
C
C
6
D
a
t
a

i
n
7
I
N
0
8
I
N
1
9
G
N
D
1
0
I
N
2
1
1
I
N
3
1
2
X
+
1
3
V
i
o
1
4
Y
+
1
5
X
-
1
6
U
2
4
S
T
M
P
E
8
1
1
Q
T
R
Y
-
1
I
N
T
2
A
0
/
D
a
t
a

O
u
t
3
S
C
L
K
4
S
D
A
T
5
V
C
C
6
D
a
t
a

i
n
7
I
N
0
8
I
N
1
9
G
N
D
1
0
I
N
2
1
1
I
N
3
1
2
X
+
1
3
V
i
o
1
4
Y
+
1
5
X
-
1
6
U
2
9
S
T
M
P
E
8
1
1
Q
T
R
R
1
3
5
1
0
K
+
2
V
8
I
2
C

d
e
v
i
c
e

a
d
d
r
e
s
s
:
0
x
8
2
I
2
C

d
e
v
i
c
e

a
d
d
r
e
s
s
:
0
x
8
8
+
2
V
8
+
2
V
8
R
1
1
6
1
0
0
K
R
1
3
2
1
0
0
K
I
O
_
E
x
p
a
n
d
o
r
_
S
C
K
I
O
_
E
x
p
a
n
d
o
r
_
S
D
A
I
O
_
E
x
p
a
n
d
o
r
_
I
N
T
T
o
u
c
h
S
c
r
e
e
n
_
X
+
T
o
u
c
h
S
c
r
e
e
n
_
X
-
T
o
u
c
h
S
c
r
e
e
n
_
Y
+
T
o
u
c
h
S
c
r
e
e
n
_
Y
-
E
X
P
_
I
O
2
E
X
P
_
I
O
3
E
X
P
_
I
O
4
E
X
P
_
I
O
5
E
X
P
_
I
O
6
E
X
P
_
I
O
7
E
X
P
_
I
O
8
E
X
P
_
I
O
9
E
X
P
_
I
O
1
0
E
X
P
_
I
O
1
1
E
X
P
_
I
O
1
2
E
X
P
_
I
O
1
R
1
2
1
1
0
K
R
1
3
6
0 +
2
V
8
R
1
1
7
1
0
K
R
1
3
1
1
0
K
+
2
V
8
C
9
9
1
0
0
n
F
C
1
1
5
1
0
0
n
F
P
B
9
P
B
6
P
I
2
UM1057 Schematics
Doc ID 018499 Rev 5 57/66
Figure 30. MicroSD Card
1 1
2 2
3 3
4 4
D
D
C
C
B
B
A
A
S
T
M
i
c
r
o
e
l
e
c
t
r
o
n
i
c
s
T
i
t
l
e
:
N
u
m
b
e
r
:
R
e
v
:
S
h
e
e
t

o
f
C
.
2
(
P
C
B
.
S
C
H
)
D
a
t
e
:
1
1
/
1
1
/
2
0
1
1
M
i
c
r
o
S
D

c
a
r
d
M
B
7
8
6
1
4
2
0
S
T
M
3
2

2
0
-
2
1
-
4
5
-
4
6

G
-
E
V
A
L

M
i
c
r
o
S
D

c
a
r
d
+
3
V
3
M
i
c
r
o
S
D
C
a
r
d
_
C
L
K
M
i
c
r
o
S
D
C
a
r
d
_
C
M
D
S
M
S
0
6
4
F
F

o
r

S
M
S
1
2
8
F
F
1
2
3
4
5
6
7
8
S W 2
9
S W 1
1 0
C
N
6
P
J
S
0
0
8
-
2
0
0
0
M
i
c
r
o
S
D
C
a
r
d
_
D
0
M
i
c
r
o
S
D
C
a
r
d
_
D
1
M
i
c
r
o
S
D
C
a
r
d
_
D
2
M
i
c
r
o
S
D
C
a
r
d
_
D
3
M
i
c
r
o
S
D
C
a
r
d
_
D
e
t
e
c
t
R
9
4
7
K
R
8
4
7
K
R
2
0
R
1
1
4
7
K
R
1
0
4
7
K
R
6
5
4
7
K
+
3
V
3
P
C
8
P
C
9
P
C
1
0
P
C
1
1
P
C
1
2
P
D
2
P
H
1
3
Schematics UM1057
58/66 Doc ID 018499 Rev 5
Figure 31. Motor control
1 1
2 2
3 3
4 4
D
D
C
C
B
B
A
A
S
T
M
i
c
r
o
e
l
e
c
t
r
o
n
i
c
s
T
i
t
l
e
:
N
u
m
b
e
r
:
R
e
v
:
S
h
e
e
t

o
f
C
.
2
(
P
C
B
.
S
C
H
)
D
a
t
e
:
1
1
/
1
1
/
2
0
1
1
R
7
3
.
3
K
C
4
1
n
F
+
3
V
3
+
3
V
3
+
5
V
R
1
4
0
C
3
1
0
0
n
F
C
1
2
1
0
0
n
F
R
7
4
0
C
5
9
d
o

n
o
t

f
i
t
C
6
2
d
o

n
o
t

f
i
t
C
6
3
d
o

n
o
t

f
i
t
C
1
1
d
o

n
o
t

f
i
t
C
3
8
d
o

n
o
t

f
i
t
C
2
1
0
n
F
C
8
d
o

n
o
t

f
i
t
C
1
d
o

n
o
t

f
i
t
R
7
7
0
R
8
0
0
R
1
2
0 R
4
0
C
1
0
d
o

n
o
t

f
i
t
E
M
E
R
G
E
N
C
Y

S
T
O
P
1
M
C
-
U
H
3
M
C
_
U
L
5
M
C
_
V
H
7
M
C
_
V
L
9
M
C
_
W
H
1
1
M
C
_
W
L
1
3
C
U
R
R
E
N
T

A
1
5
C
U
R
R
E
N
T

C
1
9
C
U
R
R
E
N
T

B
1
7
N
T
C

B
Y
P
A
S
S

R
E
L
A
Y
2
1
D
I
S
S
I
P
A
T
I
V
E

B
R
A
K
E
2
3
+
5
V

P
O
W
E
R
2
5
P
F
C

S
Y
N
C
2
7
P
F
C

P
W
M
2
9
E
n
c
o
d
e
r

A
3
1
E
n
c
o
d
e
r

B
3
3
G
N
D
2
G
N
D
4
G
N
D
6
G
N
D
8
G
N
D
1
0
G
N
D
1
2
B
U
S

V
O
L
T
A
G
E
1
4
G
N
D
1
6
G
N
D
1
8
G
N
D
2
0
G
N
D
2
2
G
N
D
2
4
H
e
a
t
s
i
n
k

T
e
m
p
e
r
a
t
u
r
e
2
6
V
d
d
_
M
i
c
r
o
2
8
G
N
D
3
0
G
N
D
3
2
E
n
c
o
d
e
r

I
n
d
e
x
3
4
C
N
5
M
C
_
c
o
n
n
e
c
t
o
r
M
C
_
E
m
e
r
g
e
n
c
y
S
T
O
P
M
C
_
C
u
r
r
e
n
t
A
M
C
_
C
u
r
r
e
n
t
B
M
C
_
C
u
r
r
e
n
t
C
M
C
_
P
F
C
s
y
n
c
1
M
C
_
P
F
C
s
y
n
c
2
M
C
_
W
L
M
C
_
V
H
M
C
_
V
L
M
C
_
U
H
M
C
_
U
L
M
C
_
W
H
M
C
_
N
T
C
M
C
_
D
i
s
s
i
p
a
t
i
v
e
B
r
a
k
e
M
C
_
P
F
C
p
w
m
M
C
_
E
n
A
M
C
_
E
n
B
M
C
_
H
e
a
t
s
i
n
k
T
e
m
p
e
r
a
t
u
r
e
M
C
_
B
u
s
V
o
l
t
a
g
e
M
C
_
E
n
I
n
d
e
x
R
6
1
0
0
K
C
9
d
o

n
o
t

f
i
t
M
o
t
o
r

c
o
n
t
r
o
l

c
o
n
n
e
c
t
o
r
D
e
f
a
u
l
t

s
e
t
t
i
n
g
:

O
p
e
n
D
e
f
a
u
l
t

s
e
t
t
i
n
g
:

O
p
e
n
M
B
7
8
6
1
5
2
0
S
T
M
3
2

2
0
-
2
1
-
4
5
-
4
6

G
-
E
V
A
L

M
o
t
o
r

c
o
n
t
r
o
l
R
7
3
0 R
7
6
0 R
7
9
0
R
1
3
0
R
5
0
P
C
4
P
C
5
P
C
1
P
C
2
P
C
3
P
H
8
P
H
1
0
P
H
1
1
P
D
1
3
P
C
8
P
B
8
P
H
1
3
P
H
1
4
P
H
1
5
P
D
1
2
P
I
4
P
I
5
P
I
6
P
I
7
R
3
0
R
5
9
0
R
5
7
0
T
P
4
A
I
N
+
T
P
3
A
I
N
-
S
B
1
7
S
B
1
8
R
2
1
9
0
UM1057 Schematics
Doc ID 018499 Rev 5 59/66
Figure 32. Smartcard
1 1
2 2
3 3
4 4
D
D
C
C
B
B
A
A
S
T
M
i
c
r
o
e
l
e
c
t
r
o
n
i
c
s
T
i
t
l
e
:
N
u
m
b
e
r
:
R
e
v
:
S
h
e
e
t

o
f
C
.
2
(
P
C
B
.
S
C
H
)
D
a
t
e
:
1
1
/
1
1
/
2
0
1
1
V
C
C
1
R
S
T
2
C
L
K
3
N
C
4
G
N
D
5
N
C
6
I
/
O
7
N
C
8
1
8
1
7
C
N
2
0
C
8
1
6
C
L
K
D
I
V
1
1
C
L
K
D
I
V
2
2
5
V
/
3
V
3
P
G
N
D
4
C
1
+
5
V
d
d
p
6
C
1
-
7
V
u
p
8
P
R
E
S
9
P
R
E
S
1
0
I
/
O
1
1
A
U
X
2
1
2
A
U
X
1
1
3
C
G
N
D
1
4
C
L
K
1
5
R
S
T
1
6
V
c
c
1
7
P
O
R
A
D
J
1
8
C
M
D
V
C
C
1
9
R
S
T
I
N
2
0
V
d
d
2
1
G
N
D
2
2
O
F
F
2
3
X
T
A
L
1
2
4
X
T
A
L
2
2
5
I
/
O
U
C
2
6
A
U
X
1
U
C
2
7
A
U
X
2
U
C
2
8
U
3
1
S
T
8
0
2
4
C
D
R
C
1
2
5
1
0
0
n
F
C
1
2
6
1
0
0
n
F
C
1
1
7
4
7
u
F
+
5
V
C
1
2
7
1
0
0
n
F
C
1
0
9
1
0
0
n
F
R
1
5
8
1
0
0
K
+
3
V
3
R
1
5
7
4
K
7
+
3
V
3
R
1
2
9
1
0
K
R
1
2
3
1
0
K
+
3
V
3
C
1
1
1
1
0
0
n
F
C
1
1
4
4
7
u
F
+
3
V
3
R
1
2
4
1
0
K
+
3
V
3
R
1
2
7
0
R
1
2
6
0
R
1
2
5
1
0
K
T
P
1
1
A
U
X
2
T
P
1
2
A
U
X
1
S
m
a
r
t
C
a
r
d
_
3
/
5
V
S
m
a
r
t
C
a
r
d
_
I
O
S
m
a
r
t
C
a
r
d
_
R
S
T
S
m
a
r
t
C
a
r
d
_
C
L
K
S
m
a
r
t
C
a
r
d
_
O
F
F
S
m
a
r
t
C
a
r
d
_
C
M
D
V
C
C
M
B
7
8
6
1
6
2
0
S
T
M
3
2

2
0
-
2
1
-
4
5
-
4
6

G
-
E
V
A
L

S
m
a
r
t

c
a
r
d
R
1
2
8
0
P
F
7 P
F
6
P
G
7
P
C
6
P
G
1
2
P
H
1
5
Schematics UM1057
60/66 Doc ID 018499 Rev 5
Figure 33. JTAG and Trace
1 1
2 2
3 3
4 4
D
D
C
C
B
B
A
A
S
T
M
i
c
r
o
e
l
e
c
t
r
o
n
i
c
s
T
i
t
l
e
:
N
u
m
b
e
r
:
R
e
v
:
S
h
e
e
t

o
f
C
.
2
(
P
C
B
.
S
C
H
)
D
a
t
e
:
1
1
/
1
1
/
2
0
1
1
1 2 3 4 5 6 7 8 9 1
0
1
1
1
2
1
3
1
4
1
5
1
6
1
7
1
8
1
9
2
0
C
N
1
3
F
T
S
H
-
1
1
0
-
0
1
-
L
-
D
V
+
3
V
3
R
9
7
[
N
/
A
]
R
9
6
[
N
/
A
]
R
1
0
1
[
N
/
A
]
R
9
5
[
N
/
A
]
R
9
8
d
o

n
o
t

f
i
t
+
3
V
3
1 2 3 4 5 6 7 8 9 1
0
1
1
1
2
1
3
1
4
1
5
1
6
1
7
1
8
1
9
2
0
C
N
1
4
J
T
A
G
+
3
V
3
+
3
V
3
R
1
0
5
1
0
K
R
1
0
6
1
0
K
R
9
9
1
0
K
R
9
2
[
N
/
A
]
R
1
0
4
0
R
1
0
0
d
o

n
o
t

f
i
t
R
9
4
0
R
9
1
d
o

n
o
t

f
i
t
T
R
A
C
E
_
D
3
T
R
A
C
E
_
D
2
T
R
A
C
E
_
D
1
T
R
A
C
E
_
D
0
T
R
A
C
E
_
C
K
T
r
a
c
e

c
o
n
n
e
c
t
o
r
J
T
A
G

c
o
n
n
e
c
t
o
r
K
E
Y
M
B
7
8
6
1
7
2
0
S
T
M
3
2

2
0
-
2
1
-
4
5
-
4
6

G
-
E
V
A
L

J
T
A
G

&

T
r
a
c
e
P
A
1
3
P
A
1
4
P
B
3
P
A
1
5
P
B
4
P
E
6
P
E
5
P
E
4
P
E
3
P
E
2
T
D
I
R
E
S
E
T
#
T
R
S
T
T
M
S
/
S
W
D
I
O
T
C
K
/
S
W
C
L
K
T
D
O
/
S
W
O
D
8
Z 5 V 1
R
S
7
2
2
D
3
Z 5 V 1
D
4
Z 5 V 1
D
5
Z 5 V 1
D
6
Z 5 V 1
D
7
Z 5 V 1
UM1057 Schematics
Doc ID 018499 Rev 5 61/66
Figure 34. Power
1 1
2 2
3 3
4 4
D
D
C
C
B
B
A
A
S
T
M
i
c
r
o
e
l
e
c
t
r
o
n
i
c
s
T
i
t
l
e
:
N
u
m
b
e
r
:
R
e
v
:
S
h
e
e
t

o
f
C
.
2
(
P
C
B
.
S
C
H
)
D
a
t
e
:
1
1
/
1
1
/
2
0
1
1
V
i
n
3
G N D
1
V
o
u
t
2
U
2
2
L
D
1
0
8
6
D
2
M
3
3
T
R
C
1
1
3
2
2
0
u
F
C
8
3
1
0
u
F
C
8
2
1
0
0
n
F
+
5
V
T
P
7
3
V
3
S
V
1
S
G
2
C
V
3
C
G
1
4
C
G
2
5
C
G
3
6
U
2
5
B
N
X
0
0
2
-
0
1
C
1
0
6
1
0
u
F
E
5
V
T
P
8
5
V
+
5
V
R
9
3
1
K
1 2
L
D
9
r
e
d
T
P
1
3
G
r
o
u
n
d
1 3 2
C
N
1
8
D
C
-
1
0
B
Z
1
S
M
A
J
5
.
0
A
-
T
R
C
1
1
2
1
0
0
n
F
1
2
3
U
2
8
Z
E
N
0
5
6
V
1
3
0
A
2
4
L
S
+
3
V
3
D
5
V
E
5
V
M
B
7
8
6
1
8
2
0
S
T
M
3
2

2
0
-
2
1
-
4
5
-
4
6

G
-
E
V
A
L

P
o
w
e
r
V
i
n
3
V
o
u
t
2
1
U
2
1
L
D
1
1
1
7
S
2
5
T
R
+
5
V
C
9
1
1
0
u
F
+
2
V
5
T
P
6
2
V
5
C
9
6
2
2
0
u
F
V
B
U
S
_
F
S
V
B
U
S
_
H
S
V
i
n
3
V
o
u
t
2
1
U
3
0
L
D
1
1
1
7
S
1
8
T
R
C
1
1
6
1
0
u
F
+
1
V
8
V
i
n
3
V
o
u
t
2
1
U
2
6
L
D
1
1
1
7
S
T
R
+
5
V
C
1
1
0
1
0
u
F
+
2
V
8
T
P
9
2
V
8
R
1
1
4
1
2
0
C
1
0
0
1
0
u
F
R
1
2
0
1
5
0
T
P
1
0
1
V
8
+
3
V
3
V
D
D
_
M
C
U
J
P
3
2
1
2
3
4
5
6
7
8
9
1
0
J
P
1
8
H
e
a
d
e
r

5
X
2
U
5
V
_
S
T
_
L
I
N
K
Schematics UM1057
62/66 Doc ID 018499 Rev 5
Figure 35. Extension connector
1 1
2 2
3 3
4 4
D
D
C
C
B
B
A
A
S
T
M
i
c
r
o
e
l
e
c
t
r
o
n
i
c
s
T
i
t
l
e
:
N
u
m
b
e
r
:
R
e
v
:
S
h
e
e
t

o
f
C
.
2
(
P
C
B
.
S
C
H
)
D
a
t
e
:
1
1
/
1
1
/
2
0
1
1
R
E
S
E
T
#
R
E
S
E
T
#
M
B
7
8
6
1
9
2
0
S
T
M
3
2

2
0
-
2
1
-
4
5
-
4
6

G
-
E
V
A
L

E
x
t
e
n
s
i
o
n

c
o
n
n
e
c
t
o
r
1
2
3
4
5
6
7
8
9
1
0
1
1
1
2
1
3
1
4
1
5
1
6
1
7
1
8
1
9
2
0
2
1
2
2
2
3
2
4
2
5
2
6
2
7
2
8
2
9
3
0
3
1
3
2
3
3
3
4
3
5
3
6
3
7
3
8
3
9
4
0
C
N
4
2
1
N
2
2
5
6
4
-
4
0
S
1
0
B
1 2
3 4
5 6
7 8
9 1 0
1 1 1 2
1 3 1 4
1 5 1 6
1 7 1 8
1 9 2 0
2 1 2 2
2 3 2 4
2 5 2 6
2 7 2 8
2 9 3 0
3 1 3 2
3 3 3 4
3 5 3 6
3 7 3 8
3 9 4 0
4 1 4 2
4 3 4 4
4 5 4 6
4 7 4 8
4 9 5 0
C
N
1
2
1
N
2
2
5
6
4
-
5
0
S
1
0
B
1
2
3
4
5
6
7
8
9
1
0
1
1
1
2
1
3
1
4
1
5
1
6
1
7
1
8
1
9
2
0
2
1
2
2
2
3
2
4
2
5
2
6
2
7
2
8
2
9
3
0
3
1
3
2
3
3
3
4
3
5
3
6
3
7
3
8
3
9
4
0
C
N
2
2
1
N
2
2
5
6
4
-
4
0
S
1
0
B
1 2
3 4
5 6
7 8
9 1 0
1 1 1 2
1 3 1 4
1 5 1 6
1 7 1 8
1 9 2 0
2 1 2 2
2 3 2 4
2 5 2 6
2 7 2 8
2 9 3 0
3 1 3 2
3 3 3 4
3 5 3 6
3 7 3 8
3 9 4 0
4 1 4 2
4 3 4 4
4 5 4 6
4 7 4 8
4 9 5 0
C
N
3
2
1
N
2
2
5
6
4
-
5
0
S
1
0
B
P A 0 P A 1
P A 2
P
A
3
P
A
4
P
A
5
P
A
6
P
A
7
P A 8
P A 1 0 P A 1 1
P A 1 2
P A 1 3
P
A
1
4
P
A
1
5
P
B
0
P
B
1
P
B
2
P
B
3
P
B
4
P
B
5
P
B
6
P
B
7
P
B
8
P
B
9
P
B
1
0
P
B
1
1
P B 1 2 P B 1 3
P B 1 4
P B 1 5
P C 0
P C 1 P C 2
P C 3
P
C
4
P
C
5
P C 6
P C 7
P C 8 P C 9
P
C
1
0
P
C
1
1
P
C
1
2
P C 1 3
E X T _ P C 1 4
E X T _ P C 1 5
P
D
0
P
D
1
P
D
2
P
D
3
P
D
4
P
D
5
P
D
6
P
D
7
P D 8
P D 9 P D 1 0
P D 1 1 P D 1 2
P D 1 3 P D 1 4
P D 1 5
P
E
0
P
E
1
P E 2
P E 3 P E 4
P E 5 P E 6
P
E
7
P
E
8
P
E
9
P
E
1
0
P
E
1
1
P
E
1
2
P
E
1
3
P
E
1
4
P
E
1
5
P F 0 P F 1
P F 2 P F 3
P F 4
P F 5 P F 6
P F 7 P F 8
P F 9 P F 1 0
P
F
1
1
P
F
1
2
P
F
1
3
P
F
1
4
P
F
1
5
P
G
0
P
G
1
P G 2 P G 3
P G 4 P G 5
P G 6 P G 7
P G 8
P
G
9
P
G
1
0
P
G
1
1
P
G
1
2
P
G
1
3
P
G
1
4
P
G
1
5
E X T _ P H 0 E X T _ P H 1
P H 2
P H 3
P H 4 P H 5
P
H
6
P
H
7
P
H
8
P
H
9
P
H
1
0
P
H
1
1
P H 1 2
P H 1 3
P H 1 4 P H 1 5
P I 0 P I 1
P I 2
P
I
3
P
I
4
P
I
5
P
I
6
P
I
7
P I 8
P I 9
P I 1 0 P I 1 1
V
R
E
F
+
E
M
U
_
3
V
3
E
M
U
_
5
V
A
P
P
_
3
V
3
A
P
P
_
V
C
C
P C 1 3
R E S E T #
E
M
U
_
5
V
E
M
U
_
3
V
3
E
M
U
_
5
V
A
P
P
_
3
V
3
B
O
O
T
0
(
L
e
f
t
)
(
R
i
g
h
t
)
(
B
u
t
t
o
m
)
(
T
o
p
)
P
A
[
0
.
.
1
5
]
P
A
[
0
.
.
1
5
]
P
B
[
0
.
.
1
5
]
P
B
[
0
.
.
1
5
]
P
C
[
0
.
.
1
5
]
P
C
[
0
.
.
1
5
]
P
D
[
0
.
.
1
5
]
P
D
[
0
.
.
1
5
]
P
E
[
0
.
.
1
5
]
P
E
[
0
.
.
1
5
]
P
F
[
0
.
.
1
5
]
P
F
[
0
.
.
1
5
]
P
G
[
0
.
.
1
5
]
P
G
[
0
.
.
1
5
]
P
H
[
0
.
.
1
5
]
P
H
[
0
.
.
1
5
]
P
I
[
0
.
.
1
1
]
P
I
[
0
.
.
1
1
]
A
P
P
_
3
V
3
A
P
P
_
V
C
C
E
M
U
_
5
V
D
5
V
+
3
V
3
S
B
6
S
B
7
S
B
4
S
B
5
P
H
0
P
H
1
P
C
1
4
P
C
1
5
E
X
T
_
P
H
0
E
X
T
_
P
H
1
E
X
T
_
P
C
1
4
E
X
T
_
P
C
1
5
C
l
o
s
e

t
o

c
r
y
s
t
a
l

o
n

P
C
B
B
O
O
T
0
B
O
O
T
0
V
B
U
S
_
F
S
C
X
1
3
3
p
F
UM1057 Schematics
Doc ID 018499 Rev 5 63/66
Figure 36. ST-LINK/V2
1 1
2 2
3 3
4 4
D
D
C
C
B
B
A
A
S
T
M
i
c
r
o
e
l
e
c
t
r
o
n
i
c
s
T
i
t
l
e
:
N
u
m
b
e
r
:
R
e
v
:
S
h
e
e
t

o
f
C
.
2
(
P
C
B
.
S
C
H
)
D
a
t
e
:
1
1
/
1
1
/
2
0
1
1
2
0
2
0
V
B
A
T
1
P
C
1
3
2
P
C
1
4
3
P
C
1
5
4
O
S
C
_
I
N
5
O
S
C
_
O
U
T
6
/
R
S
T
7
V
S
S
A
8
V
D
D
A
9
P
A
0
1
0
P
A
1
1
1
U
2
_
T
X
1
2
U 2 _ R X
1 3
U 2 _ C K
1 4
S 1 _ C K
1 5
S 1 _ M I S O
1 6
S 1 _ M O S I
1 7
P B 0
1 8
P B 1
1 9
P B 2 / B O O T 1
2 0
P B 1 0
2 1
V S S _ 1
2 3
V D D _ 1
2 4
P
B
1
2
2
5
P B 1 1
2 2
S
2
_
C
K
2
6
S
2
_
M
I
S
O
2
7
S
2
_
M
O
S
I
2
8
P
A
8
2
9
P
A
9
3
0
P
A
1
0
3
1
P
A
1
1
3
2
P
A
1
2
3
3
J
T
M
S
3
4
V
S
S
_
2
3
5
V
D
D
_
2
3
6
J T C K
3 7
J T D I
3 8
J T D O
3 9
J N R S T
4 0
P B 5
4 1
P B 6
4 2
P B 7
4 3
B O O T 0
4 4
P B 8
4 5
P B 9
4 6
V S S _ 3
4 7
V D D _ 3
4 8
U
1
5
S
T
M
3
2
F
1
0
3
C
8
T
6
2
0
p
F
C
1
3
9
2
0
p
F
C
1
3
8
1
2
X
5
8
M
H
z
+
3
V
3
U
S
B
_
D
M
U
S
B
_
D
P
S
T
M
_
R
S
T
T _ J T C K
T
_
J
T
C
K
T _ J T D O
T _ J T D I
T
_
J
T
M
S
S
T
M
_
J
T
M
S
_
S
W
D
I
O
S T M _ J T C K _ S W C L K
L
E
D
_
S
T
L
I
N
K
O
S
C
_
I
N
O
S
C
_
O
U
T
T _ N R S T
T _ J R S T
L
E
D
_
S
T
L
I
N
K
T
_
J
T
C
K
T
_
J
T
D
I
T
_
J
T
D
O
T
_
N
R
S
T
T
_
J
T
M
S
T
_
J
R
S
T
R
1
8
5
4
K
7
R
1
8
6
4
K
7
A
I
N
_
1
C
1
3
7
1
0
0
n
F
R
1
8
3
1
0
0
K
R
2
0
1
1
0
0
+
3
V
3
+
3
V
3
+
3
V
3
+
3
V
3
+
3
V
3
+
3
V
3
A
I
N
_
1
V
C
C
1
D
-
2
D
+
3
G
N
D
4
S
H
E
L
L
0
S
H
E
L
L
0
C
N
2
1
U
S
B
-
t
y
p
e
B

c
o
n
n
e
c
t
o
r
U
S
B
_
D
M
U
S
B
_
D
P
+
3
V
3
C
1
3
5
1
0
0
n
F
C
1
3
3
1
0
0
n
F
C
1
3
6
1
0
0
n
F
C
1
3
4
1
0
0
n
F
R
1
9
2
1
0
R
1
9
3
1
0
M
C
U
U
S
B
J
T
A
G
S
T
M
_
J
T
M
S
_
S
W
D
I
O
S
T
M
_
J
T
C
K
_
S
W
C
L
K
+
3
V
3
U
5
V
_
S
T
_
L
I
N
K
T
D
I
T
R
S
T
T
M
S
/
S
W
D
I
O
T
C
K
/
S
W
C
L
K
T
D
O
/
S
W
O
R
E
S
E
T
#
S
W
I
M
_
P
U
_
C
T
R
L
R
1
8
2
1
0
0
K
T
_
S
W
O
T
_
S
W
D
I
O
_
I
N
T
_
S
W
D
I
O
_
I
N
T
_
S
W
O
1
2
3
4
5
C
N
1
7
J
T
A
G
R
1
9
4
1
.
5
K
R
1
8
9
1
0
K
R
1
9
1
3
6
K
2
3 1
T
2
9
0
1
3
+
3
V
3
U
5
V
_
S
T
_
L
I
N
K
R
1
8
7
[
N
/
A
]
R
1
8
8
1
0
K
R
1
8
4
1
0
0
K
M
C
O
2 1
4 3
R
e
d
Y
e
l
l
o
w
L
D
1
0
H
S
M
F
-
A
2
0
1
-
A
0
0
J
1
R
2
0
2
1
0
0
R
1
9
0
0
+
3
V
3
M
B
7
8
6
S
T
M
3
2

2
0
-
2
1
-
4
5
-
4
6

G
-
E
V
A
L

S
T
-
L
I
N
K
/
V
2
Schematics UM1057
64/66 Doc ID 018499 Rev 5
Figure 37. 3.2 LCD module with SPI and 16-bit interface
1 1
2 2
3 3
4 4
D
D
C
C
B
B
A
A
S
T
M
i
c
r
o
e
l
e
c
t
r
o
n
i
c
s
T
i
t
l
e
:
N
u
m
b
e
r
:
R
e
v
:
S
h
e
e
t

o
f
A
.
1
(
P
C
B
.
S
C
H
)
D
a
t
e
:
3
/
2
7
/
2
0
0
9
E
n
a
b
l
e
D
o
t
C
l
k
H
S
Y
N
C
V
S
Y
N
C
C
S
R
S
W
R
R
D
#
R
E
S
E
T
S
D
O
S
D
I
V
D
D
P
D
0
P
D
1
P
D
2
P
D
3
P
D
4
P
D
5
P
D
6
P
D
7
P
D
8
P
D
9
P
D
1
0
P
D
1
1
P
D
1
2
P
D
1
3
P
D
1
4
P
D
1
5
P
D
1
6
P
D
1
7
Y
U
Y
D
X
R
X
L
V
S
S
1
X
L
2
X
R
3
Y
D
4
Y
U
5
V
S
S
6
I
M
0
/
I
D
7
I
M
1
8
I
M
3
9
S
D
O
1
0
N
C
1
1
S
D
I
1
2
D
1
7
1
3
D
1
6
1
4
D
1
5
1
5
D
1
4
1
6
D
1
3
1
7
D
1
2
1
8
D
1
1
1
9
D
1
0
2
0
D
9
2
1
D
8
2
2
D
7
2
3
D
6
2
4
D
5
2
5
D
4
2
6
D
3
2
7
D
2
2
8
D
1
2
9
D
0
3
0
C
S
3
1
R
E
S
E
T
3
2
R
S
3
3
W
R
/
S
C
L
3
4
R
D
3
5
V
S
Y
N
C
3
6
H
S
Y
N
C
3
7
D
O
T
C
L
K
3
8
E
N
A
B
L
E
3
9
V
C
C
4
0
V
C
C
4
1
V
S
S
4
2
L
E
D
_
K
4
3
L
E
D
_
A
4
4
V
S
S
4
5
C
N
2
F
F
0
2
4
5
S
S
1
R
4
0
R
1
1
0
K
V
D
D
R
P
1
1
0
K
R
P
2
1
0
K
R
P
4
1
0
K
P
D
0
P
D
2
P
D
4
P
D
6
P
D
8
P
D
9
P
D
1
0
P
D
1
1
P
D
1
2
P
D
1
3
P
D
1
4
P
D
1
5
P
D
1
6
P
D
1
7
E
n
a
b
l
e
D
o
t
C
l
k
H
S
Y
N
C
V
S
Y
N
C
V
D
D
C
3
1
u
F
/
5
0
V
R
3
4
K
7
R
2
4
K
7
S
D
I
S
D
O R
D
R
S
S
o
l
d
e
r
e
d

f
o
r

S
e
r
i
a
l

i
n
t
e
r
f
a
c
e

o
n
l
y
S
o
l
d
e
r
e
d

f
o
r

i
8
0
-
s
y
s
t
e
m

1
6
-
b
i
t

i
n
t
e
r
f
a
c
e
T
h
e

3
4
-
p
i
n

c
o
n
n
e
c
t
o
r

t
o

m
o
t
h
e
r

b
o
a
r
d

f
o
r

b
o
t
h

s
e
r
i
a
l

&

1
6
b
i
t

i
n
t
e
r
f
a
c
e
.

C
o
m
p
a
t
i
b
l
e

w
i
t
h

M
B
6
9
4

w
i
t
h

T
o
u
c
h

s
c
r
e
e
n

s
i
g
n
a
l
s

a
d
d
e
d

o
n

P
i
n

3
1
-
3
4
.
C
S
R
S
W
R
R
D
#
R
E
S
E
T
V
D
D
B
L
G
N
D
B
L
V
D
D
B
L
_
C
o
n
t
r
o
l
P
D
1
P
D
2
P
D
3
P
D
4
P
D
5
P
D
6
P
D
7
P
D
8
P
D
1
0
P
D
1
1
P
D
1
2
P
D
1
3
P
D
1
4
P
D
1
5
P
D
1
6
P
D
1
7
S
D
O
S
D
I
A K
Z
1
S
T
P
S
1
L
4
0
M
C
1
4
.
7
u
F
/
5
0
V
L
1
4
.
7
u
H
(
1
A
)
R
7
1
0
B
L
G
N
D
B
L
V
D
D
R
6
1
0
0
K
C
2
2
.
2
u
F
R
5
0
R
8
d
o

n
o
t

f
i
t
B
L
V
D
D
B
L
_
C
o
n
t
r
o
l
B
L
G
N
D
B
L
G
N
D
B
L
G
N
D
A
M
-
2
4
0
3
2
0
D
4
T
O
Q
W
-
T
0
0
H
(
R
)
V
i
1
R
s
e
t
2
G
N
D
3
F
B
4
N
C
5
V
o
6
E
N
7
S
W
8
P
G
N
D
9
U
1
S
T
L
D
4
0
D
P
M
R
C
S
1
R
S
2
W
R
/
S
C
L
3
R
D
4
R
E
S
E
T
5
V
D
D
2
4
V
C
I
2
5
G
N
D
2
6
G
N
D
2
7
B
L
_
V
D
D
2
8
B
L
_
C
o
n
t
r
o
l
2
3
B
L
_
G
N
D
2
2
P
D
1
6
P
D
2
7
P
D
3
8
P
D
4
9
P
D
5
1
0
P
D
6
1
1
P
D
7
1
2
P
D
8
1
3
P
D
1
0
1
4
P
D
1
1
1
5
P
D
1
2
1
6
P
D
1
3
1
7
P
D
1
4
1
8
P
D
1
5
1
9
P
D
1
6
2
0
P
D
1
7
2
1
S
D
O
2
9
S
D
I
3
0
X
L
3
1
X
R
3
2
Y
D
3
3
Y
U
3
4
C
N
1
3
.
2
L
C
D
_
c
o
n
n
e
c
t
o
r
Y
U
Y
D
X
R
X
L
L
C
D

b
o
a
r
d

c
o
n
n
e
c
t
o
r

t
o

M
o
t
h
e
r

b
o
a
r
d
M
B
7
8
5
1
1
3
.
2
"

L
C
D

m
o
d
u
l
e

w
i
t
h

b
o
t
h

S
P
I

&

1
6
b
i
t

i
n
t
e
r
f
a
c
e
R
P
3
1
0
K
R
P
6
1
0
K
R
P
5
1
0
K
P
D
1
P
D
3
P
D
5
P
D
7
UM1057 Revision history
Doc ID 018499 Rev 5 65/66
5 Revision history

Table 34. Document revision history
Date Revision Changes
15-Apr-2011 1 Initial release.
23-May-2011 2 Updated preliminary watermarks.
26-July-2011 3
Added Section 1.4: Delivery recommendations.
Updated Section 2.16: Ethernet, Section 2.17: USB OTG HS,
Section 2.18: Camera module and Section 4: Schematics.
07-Oct-2011 4
Updated Table 1 JP4 description, Table 4 JP24 description and
Section 4: Schematics. Added warning in Chapter 2.5 and note in
Chapter 2.20.
09-Jan-2012 5 Added note in Chapter 2.5 and updated Chapter 4: Schematics.
UM1057
66/66 Doc ID 018499 Rev 5


Please Read Carefully:
Information in this document is provided solely in connection with ST products. STMicroelectronics NV and its subsidiaries (ST) reserve the
right to make changes, corrections, modifications or improvements, to this document, and the products and services described herein at any
time, without notice.
All ST products are sold pursuant to STs terms and conditions of sale.
Purchasers are solely responsible for the choice, selection and use of the ST products and services described herein, and ST assumes no
liability whatsoever relating to the choice, selection or use of the ST products and services described herein.
No license, express or implied, by estoppel or otherwise, to any intellectual property rights is granted under this document. If any part of this
document refers to any third party products or services it shall not be deemed a license grant by ST for the use of such third party products
or services, or any intellectual property contained therein or considered as a warranty covering the use in any manner whatsoever of such
third party products or services or any intellectual property contained therein.
UNLESS OTHERWISE SET FORTH IN STS TERMS AND CONDITIONS OF SALE ST DISCLAIMS ANY EXPRESS OR IMPLIED
WARRANTY WITH RESPECT TO THE USE AND/OR SALE OF ST PRODUCTS INCLUDING WITHOUT LIMITATION IMPLIED
WARRANTIES OF MERCHANTABILITY, FITNESS FOR A PARTICULAR PURPOSE (AND THEIR EQUIVALENTS UNDER THE LAWS
OF ANY JURISDICTION), OR INFRINGEMENT OF ANY PATENT, COPYRIGHT OR OTHER INTELLECTUAL PROPERTY RIGHT.
UNLESS EXPRESSLY APPROVED IN WRITING BY TWO AUTHORIZED ST REPRESENTATIVES, ST PRODUCTS ARE NOT
RECOMMENDED, AUTHORIZED OR WARRANTED FOR USE IN MILITARY, AIR CRAFT, SPACE, LIFE SAVING, OR LIFE SUSTAINING
APPLICATIONS, NOR IN PRODUCTS OR SYSTEMS WHERE FAILURE OR MALFUNCTION MAY RESULT IN PERSONAL INJURY,
DEATH, OR SEVERE PROPERTY OR ENVIRONMENTAL DAMAGE. ST PRODUCTS WHICH ARE NOT SPECIFIED AS "AUTOMOTIVE
GRADE" MAY ONLY BE USED IN AUTOMOTIVE APPLICATIONS AT USERS OWN RISK.
Resale of ST products with provisions different from the statements and/or technical features set forth in this document shall immediately void
any warranty granted by ST for the ST product or service described herein and shall not create or extend in any manner whatsoever, any
liability of ST.
ST and the ST logo are trademarks or registered trademarks of ST in various countries.
Information in this document supersedes and replaces all information previously supplied.
The ST logo is a registered trademark of STMicroelectronics. All other names are the property of their respective owners.
2012 STMicroelectronics - All rights reserved
STMicroelectronics group of companies
Australia - Belgium - Brazil - Canada - China - Czech Republic - Finland - France - Germany - Hong Kong - India - Israel - Italy - Japan -
Malaysia - Malta - Morocco - Philippines - Singapore - Spain - Sweden - Switzerland - United Kingdom - United States of America
www.st.com

You might also like